Add start of msp-forth translation to NS430
authorDan White <dan@whiteaudio.com>
Wed, 9 May 2012 15:55:18 +0000 (10:55 -0500)
committerDan White <dan@whiteaudio.com>
Wed, 9 May 2012 15:55:18 +0000 (10:55 -0500)
14 files changed:
msp4th/fsmall.c [new file with mode: 0644]
msp4th/ldscript [new file with mode: 0755]
msp4th/ldscript.old [new file with mode: 0755]
msp4th/ldscript_debug [new file with mode: 0644]
msp4th/ldscript_fourth_chip [new file with mode: 0644]
msp4th/ldscript_ns430 [new file with mode: 0644]
msp4th/mspforth.c [new file with mode: 0644]
msp4th/mspforth.tar [new file with mode: 0644]
msp4th/mspforth01.tgz [new file with mode: 0644]
msp4th/x.c [new file with mode: 0644]
msp4th/x.c~ [new file with mode: 0644]
msp4th/x.lst [new file with mode: 0644]
msp4th/x.xout [new file with mode: 0644]
msp4th/z [new file with mode: 0755]

diff --git a/msp4th/fsmall.c b/msp4th/fsmall.c
new file mode 100644 (file)
index 0000000..7ec7409
--- /dev/null
@@ -0,0 +1,897 @@
+
+// forth interp, written as simple as it can be.
+
+#include <stdio.h>
+#include <stdlib.h>
+#include <stdint.h>
+#include <strings.h>
+#include <math.h>
+
+
+#define DEBUG_STUFF 1          // just print lots of junk
+#define CMD_LIST_SIZE 1024
+#define MATH_STACK_SIZE 32
+#define ADDR_STACK_SIZE 32
+#define PROG_SPACE 512
+#define USR_OPCODE_SIZE 32
+
+#define BI_PROG_SHIFT 10000
+
+
+// #define CRASH printf("CRASH in line %d\n",__LINE__);exit(1);
+
+// must end in a space !!!!
+// The order is important .... don't insert anything!
+// the order matches the execN function
+
+uint8_t cmdListBi[] = {"exit + - * / "              // 1 -> 5
+              ". dup drop swap < "                  // 6 -> 10
+              "> = .hb gw dfn "                     // 11 -> 15
+              "16 , p@ p! not "                     // 16 -> 20
+              "list if then else begin "            // 21 -> 25
+              "until 27 .h ] num "                  // 26 -> 30
+              "push0 goto exec lu pushn "           // 31 -> 35
+              "over push1 pwrd emit ; "             // 36 -> 40
+              "@ ! h@ do loop "                     // 41 -> 45
+              "i "                                  // 46 -> 50
+              };
+
+// these commands are interps
+
+uint8_t cmdListBi2[] = {"[ : "};
+
+// these values point to where in progBi[] these routines start
+
+int16_t cmdList2N[] = {0,10000,10032};  // need an extra zero at the front
+
+#define LAST_PREDEFINED 40     // update this when we add commands to the built in list
+
+int16_t mathStack[MATH_STACK_SIZE];
+
+int16_t addrStack[ADDR_STACK_SIZE];
+int16_t addrStackPtr;
+
+int16_t prog[PROG_SPACE];  // user programs are placed here
+int16_t progPtr;           // next open space for user opcodes
+int16_t progOps[USR_OPCODE_SIZE];
+int16_t progOpsPtr;
+uint8_t cmdList[CMD_LIST_SIZE];  // just a string of user defined names
+int16_t cmdListPtr;
+
+int16_t *dirMemory;
+
+
+
+// to flag the initial built in functions from the rest, save the negative of them in the program space (prog).
+
+int16_t progBi[] = { // address actually start at 10000
+
+   // this is the monitor in compiled forth code (by hand)
+
+   20025,        //   0 begin
+   20014,        //   1 gw      get word
+   20030,        //   2 num     test if number
+   20022,10008,  //   3 if
+   20031,        //   5 push0    push a zero on math stack
+   20032,10030,  //   6 goto     jump to until function
+
+   20008,        //   8 drop
+   20034,        //   9 lu       look up word
+   20022,10026,  //  10 if       did we found the word in the dictionary
+   
+   20035,']',    //  12 pushn    next value on math stack  look for ]
+
+   20036,        //  14 over
+   20012,        //  15 equal    test if function was a ']'
+   20022,10022,  //  16 if
+
+   20008,        //  18 drop     it was the ']' exit function
+   20037,        //  19 push1    put a true on the math stack 
+   20032,10030,  //  20 goto     jump to until func
+
+   20033,        //  22 exec     execute the function on the math stack (it is a call so we return to here)
+   20031,        //  23 push0
+   20032,10030,  //  24 goto     jump to until func
+   
+   // undefined string
+   
+   20035,'?',    //  26 pushn    put the '?' on math stack
+   20039,        //  28 emit     output the ? to the terminal
+   20031,        //  29 push0
+   
+   20026,        //  30 until
+   20040,        //  31 return function   
+
+
+
+
+
+
+   // this is the ':' function hand compiled
+   
+   20035,0x5555, //  32 just push a known value on the stack, will test at the end
+   20014,        //  34 get a word from the input
+
+   20015,        //  35 define it
+   20025,        //  36 begin
+
+   20014,        //  37 get a word 
+   20030,        //  38 see if number
+   20022,10047,  //  39 if
+   
+   // it is a number
+   
+   20035,20035,  //  41 put the push next number opcode on stack
+   20017,        //  43 put that opcode in the def
+   20017,        //  44 put the actual value next
+   20031,        //  45 push 0
+   20026,        //  46 until     // we can have many untils for one begin
+   
+   // wasn't a number, we need to test for many other things
+
+   20008,        //  47 drop   
+   20034,        //  48 look in dictionary
+   20020,        //  49 not
+
+
+   20022,10058,  //  50 if        not found .... let them know and just ignore
+   20035,'?',    //  52 push a '?' on the stack
+   20039,        //  54 emit
+   20038,        //  55 tell them what we couldn't find
+   20031,        //  56 push0
+   20026,        //  57 until
+   
+   // we found it in the dictionary
+   
+   20035,20022,  //  58 pushn     see if it is an if function
+   20036,        //  60 over
+   20012,        //  61 equal
+   20022,10070,  //  62 if
+   
+   // it is an if function
+
+   20017,        //  64 append the if statement to the stack (it was still on the stack
+   20043,        //  65 h@ get location of next free word
+   20007,        //  66 dup    ( leave a copy on the math stack for the "then" statement
+   20017,        //  67 append it to memory
+   20031,        //  68 push0
+   20026,        //  69 until
+   
+   // ********************** 
+     
+   20035,20024,  //  70 pushn     see if it is an "else" function
+   20036,        //  72 over
+   20012,        //  73 equal
+   20022,10088,  //  74 if
+   
+    //  it is an "else" statement
+    
+   20035,20032,  //  76 push a goto command on the math stack
+   20017,        //  78 append it to the program
+   20043,        //  79 h@ get location of next free word
+   20009,        //  80 swap
+   20017,        //  81 append
+   20009,        //  82 swap
+   20043,        //  83 h@
+   20009,        //  84 swap
+   20019,        //  85 !    this will be in prog space
+   20031,        //  86 push0
+   20026,        //  87 until
+   
+   // *******************************   
+
+   20035,20023,  //  88 pushn    see if it is a "then" function
+
+   20036,        //  90 over
+   20012,        //  91 equal    test if function was a 'then'
+   20022,10100,  //  92 if
+
+      // it is a "then"
+
+   20008,        //  94 drop
+   20043,        //  95 h@
+   20009,        //  96 swap
+   20019,        //  97 !
+   20031,        //  98 push0
+   20026,        //  99 until
+   
+   // *********************************
+   
+   20035,10001,  // 100 pushn    see if it is a "[" function
+
+   20036,        // 102 over
+   20012,        // 103 equal   
+   20022,10109,  // 104 if
+
+      // it is a "["
+   
+   10001,        // 106 recurse into the monitor
+   20031,        // 107 push0
+   20026,        // 108 until
+   
+   // ********************************************   
+   
+   20035,20040,  // 109 pushn    next value on math stack  look for built in func ';'
+
+   20036,        // 111 over
+   20012,        // 112 equal    test if function was a ';'
+   20020,        // 113 not
+   20022,10119,  // 114 if      
+
+         // this must be just an ordinary function ..... just push it in the prog
+
+   20017,        // 116 append   
+   20031,        // 117 push0
+   20026,        // 118 until
+   
+   //  must be the ';'
+
+   20017,        // 119 append return function to prog
+
+   20035,0x5555, // 120 just push a known value on the stack, will test at the end
+   20012,        // 122 equal
+   20020,        // 123 not
+   20022,10132,  // 124 if
+   
+   20035,'?',    // 126 push a '?' on the stack
+   20039,        // 128 emit
+   20035,'s',    // 129 push a 's' on the stack
+   20039,        // 131 emit
+
+   20037,        // 132 push1
+   20026,        // 133 until
+   20040,        // 134 return
+   };   
+         
+int16_t progCounter;
+
+uint8_t lineBuffer[256];      /* input line buffer */
+
+uint16_t lineBufferPtr;                 /* input line buffer pointer */
+uint8_t xit;                    /* set to 1 to kill program */
+
+uint8_t wordBuffer[32];                // just get a word
+
+
+
+// this is the pc version
+
+uint8_t get_key(){
+  uint8_t i;
+  i = lineBuffer[lineBufferPtr];
+  if(i != 0) lineBufferPtr++;
+  return(i);
+}
+
+void getLine(){
+  lineBufferPtr = 0;
+  lineBuffer[0] = 250;
+  printf("\n>");
+  fgets((char *)lineBuffer,256,stdin);
+}
+
+
+void emit(uint8_t c){
+  putchar(c);
+}
+
+
+
+void getWord(){
+  int16_t k;
+  uint8_t c;
+  wordBuffer[0] = 0;
+  while(wordBuffer[0] == 0){
+    k = 0;
+    c = get_key();
+    while(( c <= ' ') && ( c != 0 )) c = get_key();    /* strip leading spaces */
+    if( c > 0 ){
+      if( c == '"' ){
+        c = get_key();
+        while((c != '"')&&(c != 0)){
+          if(c != '"') wordBuffer[k++] = c;
+          c = get_key();
+        }
+      } else {
+        while(c > ' ' && c != 0){
+          wordBuffer[k++] = c;
+          c = get_key();
+        }
+      }
+      wordBuffer[k] = 0;
+    } else {
+      wordBuffer[0] = 0;
+      getLine();     
+    }
+  }
+}
+
+void printString(uint8_t *c){
+  while(c[0]){
+    emit(c[0]);
+    c++;
+  }
+}
+
+
+int16_t sc(uint8_t *x,uint8_t *y){
+  int16_t i;
+  i = 1;
+  while(x[0] != 0 && y[0] != 0){
+    if(x[0] != y[0]){
+      i = 0;
+    }
+    x++;
+    y++;
+  }
+  return(i);
+}
+
+void inline listFunction(){
+  printString(cmdListBi);
+  printString(cmdListBi2);
+  printString(cmdList);
+}
+  
+int16_t popMathStack(){
+  int16_t i,j;
+
+  j = mathStack[0];
+  for(i=1;i<MATH_STACK_SIZE;i++){
+    mathStack[i-1] = mathStack[i];
+  }
+
+  return(j);
+}
+
+void pushMathStack(int16_t n){
+  uint16_t i;
+  for(i=MATH_STACK_SIZE - 2;i > 0;i--){
+    mathStack[i] = mathStack[i-1];
+  }
+  mathStack[0] = n;
+}
+
+int16_t popAddrStack(){
+  int16_t j;
+  j = addrStack[addrStackPtr];
+  addrStackPtr++;
+  return(j);
+}
+
+void pushAddrStack(int16_t n){
+  addrStackPtr--;
+  addrStack[addrStackPtr] = n;
+}
+
+int16_t lookupToken(uint8_t *x,uint8_t *l){    // looking for x in l
+  int16_t i,j,k,n;
+  j = 0;
+  k = 0;
+  n=1;
+  i=0;
+  while(l[i] != 0){
+    if(x[j] != 0){   
+      // we expect the next char to match
+      if(l[i] == ' '){
+        // can't match x is longer than the one we were looking at
+        j = 0;
+        n++;
+        while(l[i] > ' '){ i++; }
+      } else {
+        if(l[i] == x[j]){
+          j++;
+        } else {
+          j = 0;
+          while(l[i] > ' '){ i++; }
+          n++;
+        }
+      }
+    } else {
+      // ran out of input ... did we hit the space we expected???
+      if(l[i] == ' '){
+        // we found it.
+        k = n;
+        while(l[i] != 0){
+          i++;
+        }
+      } else {
+        // missed it
+        j = 0;
+        n++;
+        while(l[i] > ' '){ i++; }
+
+      }
+    }
+    i++;
+  }
+
+  return(k);
+}
+
+void luFunc(){
+  int16_t i;
+  
+  i = lookupToken(wordBuffer,cmdListBi);
+  
+  if(i){
+    i += 20000;
+    pushMathStack(i);
+    pushMathStack(1);
+  } else {
+    // need to test internal interp commands
+    i = lookupToken(wordBuffer,cmdListBi2);
+    if(i){
+      i += 10000;
+      pushMathStack(i);
+      pushMathStack(1);
+    } else {
+      i = lookupToken(wordBuffer,cmdList);
+      if(i){
+        pushMathStack(i);
+        pushMathStack(1);
+      } else {
+        pushMathStack(0);
+      }
+    }
+  }  
+} 
+
+void numFunc(){  // the word to test is in wordBuffer
+  int16_t i,j,n;
+  // first check for neg sign
+  i = 0;
+  if(wordBuffer[0] == '-'){
+    i++;
+  }
+  if((wordBuffer[i] >= '0') && (wordBuffer[i] <= '9')){
+    // it is a number 
+    j = 1;
+    // check if hex
+    if(wordBuffer[0] == '0' && wordBuffer[1] == 'x'){
+      // base 16 number ... just assume all characters are good
+      i=2;
+      n = 0;
+      while(wordBuffer[i]){
+        n = n << 4;
+        n += wordBuffer[i] - '0';
+        if(wordBuffer[i] > '9'){
+          n += -7;
+        }
+        i++;
+      }
+    } else {
+      // base 10 number
+      n = 0;
+      while(wordBuffer[i]){
+        n *= 10;
+        n += wordBuffer[i] - '0';
+        i++;
+      }
+      if(wordBuffer[0] == '-'){
+        n = -n;
+      }
+    }
+  } else {
+    n = 0;
+    j = 0;
+  }
+  pushMathStack(n);
+  pushMathStack(j);
+}
+
+void ifFunc(uint8_t x){     // used as goto if x == 1
+  int16_t addr;
+  int16_t i;
+  if(progCounter > 9999){
+    addr = progBi[progCounter - 10000];
+  } else {
+    addr = prog[progCounter];
+  }
+  progCounter++;
+
+  if(x == 1){
+    // this is a goto
+    progCounter = addr;
+  } else {
+    // this is the "if" processing
+    i = popMathStack();
+    if(!i){
+      progCounter = addr;
+    }
+  }
+}
+
+void pushnFunc(){
+  int16_t i;
+  if(progCounter > 9999){
+    i = progBi[progCounter - 10000];
+  } else {
+    i = prog[progCounter];
+  }
+  progCounter++;
+  pushMathStack(i);
+}
+
+void overFunc(){
+  int16_t i;
+  i = mathStack[1];
+  pushMathStack(i);
+}
+
+void dfnFunc(){
+  uint16_t i;
+  // this function adds a new def to the list and creats a new opcode
+  i = 0;
+  while(wordBuffer[i]){
+    cmdList[cmdListPtr++] = wordBuffer[i];
+    i++;
+  }
+  cmdList[cmdListPtr++] = ' ';
+  cmdList[cmdListPtr] = 0;
+  i = lookupToken(wordBuffer,cmdList);
+  progOps[i] = progPtr;
+}
+
+
+void printNumber(int16_t n){
+  int16_t k,x[7];
+  int16_t i,j;
+  k = n;
+  if(k < 0){
+    k = -k;
+  }
+
+  i=0;
+  do{
+    j = k % 10;
+    k = k / 10;
+
+    x[i++] = j + '0';
+  }while(k);
+  i--;
+  
+  if(n < 0){
+    emit('-');
+  }
+  do{
+    emit(x[i--]);
+  }while(i >= 0);
+  emit(' ');
+}
+
+void printHexChar(int16_t n){
+  n &= 0x0F;
+  if(n > 9){
+    n += 7;
+  }
+  n += '0';
+  emit(n);
+}
+
+void printHexByte(int16_t n){
+  n &= 0xFF;
+  printHexChar(n >> 4);
+  printHexChar(n);
+}
+
+void printHexWord(int16_t n){
+  printHexByte(n >> 8);
+  printHexByte(n);
+}
+
+void execN(int16_t n); // proto ... this could get recursive
+
+void execFunc(){
+  int16_t opcode;
+  opcode = popMathStack();
+
+  if(opcode > 19999){
+    // this is a built in opcode
+
+    execN(opcode - 20000);
+
+  } else if(opcode > 9999){
+
+    pushAddrStack(progCounter);
+    progCounter = cmdList2N[opcode-10000];
+
+  } else {
+
+    pushAddrStack(progCounter);
+    progCounter = progOps[opcode];
+
+  }
+
+}
+
+
+void execN(int16_t n){
+  int16_t i,j,k,m;
+  switch(n){
+    case 1:
+      xit = 1;
+      break;
+    case 2:
+      // +
+      mathStack[1] += mathStack[0];
+      popMathStack();
+      break;
+    case 3:
+      // -
+      mathStack[1] += -mathStack[0];
+      popMathStack();
+      break;
+    case 4:
+      // *
+      mathStack[1] = mathStack[0] * mathStack[1];
+      popMathStack();
+      break;
+    case 5:
+      // /
+      mathStack[1] = mathStack[1] / mathStack[0];
+      popMathStack();
+      break;
+    case 6:
+      // .
+      printNumber(popMathStack());
+      break;
+    case 7:
+      // dup
+      pushMathStack(mathStack[0]);
+      break;
+    case 8:
+      // drop
+      i = popMathStack();
+      break;
+    case 9:
+      // swap
+      i = mathStack[0];
+      mathStack[0] = mathStack[1];
+      mathStack[1] = i;
+      break;
+    case 10:
+      // <
+      i = popMathStack();
+      if(mathStack[0] < i){
+        mathStack[0] = 1;
+      } else {
+        mathStack[0] = 0;
+      }
+      break;      
+    case 11:
+      // >
+      i = popMathStack();
+      if(mathStack[0] > i){
+        mathStack[0] = 1;
+      } else {
+        mathStack[0] = 0;
+      }
+      break;      
+    case 12:
+      // =
+      i = popMathStack();
+      if(i == mathStack[0]){
+        mathStack[0] = 1;
+      } else {
+        mathStack[0] = 0;
+      }
+      break;      
+
+    case 13:
+      printHexByte(popMathStack());
+      break;
+
+    case 14:
+      getWord();
+      break;
+
+    case 15:
+      dfnFunc();
+      break;
+
+//    case 16:
+//      break;
+
+    case 17:
+      prog[progPtr++] = popMathStack();
+      break;
+
+    case 18:  // @
+      i = mathStack[0];
+      mathStack[0] = prog[i];
+      break;
+
+    case 19:  // !
+      i = popMathStack();
+      j = popMathStack();
+      prog[i] = j;
+      break;
+
+    case 20: // not
+      if(mathStack[0]){
+        mathStack[0] = 0;
+      } else {
+        mathStack[0] = 1;
+      }
+      break;
+
+    case 21: // list
+      listFunction();
+      break;
+
+    case 22: // if
+      ifFunc(0);
+      break;
+
+//    case 23: // then      ( trapped in ':')
+//      break;
+
+//    case 24: // else      ( trapped in ':')
+//      break;
+
+    case 25:  // begin
+      pushAddrStack(progCounter);
+      break;
+
+    case 26:  // until
+      i = popAddrStack();
+      j = popMathStack();
+      if(!j){
+        addrStackPtr--;  // number is still there ... just fix the pointer
+        progCounter = i;
+      }
+      break;    
+
+//    case 27:
+//      break;
+
+    case 28:  // .h
+      printHexWord(popMathStack());
+      break;
+
+
+    case 30:  // num
+      numFunc();
+      break;
+
+    case 31:  // push0
+      pushMathStack(0);
+      break;
+
+    case 32:  // goto   ( for internal use only )
+      ifFunc(1);
+      break;
+
+    case 33: // exec
+      execFunc();
+      break;
+
+    case 34: // lu
+      luFunc();
+      break;
+
+    case 35: // pushn   ( internal use only )
+      pushnFunc();
+      break;
+
+    case 36: // over
+      overFunc();
+      break;
+
+    case 37:  // push1
+      pushMathStack(1);
+      break;
+
+    case 38: // pwrd
+      printString(wordBuffer);
+      break;
+
+    case 39: // emit
+      emit(popMathStack());
+      break;
+
+    case 40: // ;
+      i = progCounter;
+      progCounter = popAddrStack();
+      break;
+
+    case 41: // @ read directly from memory address
+      i = popMathStack();
+      i = i >> 1;  // divide by to   
+      j = dirMemory[i];
+      pushMathStack(j);
+      break;
+      
+    case 42: // ! write directly to memory address words only!
+      i = popMathStack();  //  address to write to
+      i = i >> 1;
+      j = popMathStack();  //  value to write
+      dirMemory[i] = j;
+      break;
+
+    case 43: // h@
+      pushMathStack(progPtr);
+      break;
+
+    case 44: // do
+      i = popMathStack();  // start of count
+      j = popMathStack();  // end count
+      k = progCounter;
+
+      pushAddrStack(j);  // limit on count
+      pushAddrStack(i);  // count  (I)
+      pushAddrStack(k);  // address to remember for looping
+      break;
+
+    case 45: // loop
+      j = popAddrStack();  // loop address
+      k = popAddrStack();  // count
+      m = popAddrStack();  // limit
+      k++;                // up the count
+      if(k >= m){
+        // we are done
+      } else {
+        // put it all back and loop
+        pushAddrStack(m);
+        pushAddrStack(k);
+        pushAddrStack(j);
+        progCounter = j;
+
+      }
+      break;
+      
+    case 46: // i
+      j = addrStack[addrStackPtr+1];
+      pushMathStack(j);
+      break;
+
+    default:
+      printString((uint8_t *)"opcode unfinished");      
+      break;
+  }
+}
+
+void processLoop(){            // this processes the forth opcodes.
+  int16_t opcode;
+
+  while(xit == 0){
+
+    if(progCounter > 9999){
+      opcode = progBi[progCounter - 10000];
+    } else {
+      opcode = prog[progCounter];
+    }
+
+    progCounter++;
+
+    if(opcode > 19999){
+      // this is a built in opcode
+      execN(opcode - 20000);
+    } else {
+      pushAddrStack(progCounter);
+      progCounter = progOps[opcode];
+    }
+  }
+}
+
+
+int main(int argc,char *argv[]){
+  uint8_t i;
+  xit = 0;
+  addrStackPtr = ADDR_STACK_SIZE;    // this is one past the end !!!! as it should be
+  progCounter = 10000;
+  progPtr = 1;                 // this will be the first opcode
+  i=0;
+  cmdListPtr = 0;
+  progOpsPtr = 1;      // just skip location zero .... it makes it easy for us
+
+  dirMemory = (void *) 0;   // its an array starting at zero
+
+  processLoop();
+
+  return(0);
+}
+
+
diff --git a/msp4th/ldscript b/msp4th/ldscript
new file mode 100755 (executable)
index 0000000..e28c098
--- /dev/null
@@ -0,0 +1,201 @@
+/* Default linker script, for normal executables */\r
+OUTPUT_FORMAT("elf32-msp430","elf32-msp430","elf32-msp430")\r
+OUTPUT_ARCH(msp:20)\r
+MEMORY\r
+{\r
+  text   (rx)          : ORIGIN = 0x0B00,    LENGTH = 0x011FF\r
+  data   (rwx)         : ORIGIN = 0x0100,        LENGTH = 0x0A00\r
+  vectors (rw)         : ORIGIN = 0x0000 LENGTH = 32\r
+  bootloader(rx)       : ORIGIN = 0x1E00,            LENGTH = 512\r
+  infomem(rx)          : ORIGIN = 0x2000,            LENGTH = 256\r
+  infomemnobits(rx)    : ORIGIN = 0x2000,        LENGTH = 256\r
+}\r
+SECTIONS\r
+{\r
+  /* Read-only sections, merged into text segment.  */\r
+  .hash          : { *(.hash)             }\r
+  .dynsym        : { *(.dynsym)           }\r
+  .dynstr        : { *(.dynstr)           }\r
+  .gnu.version   : { *(.gnu.version)      }\r
+  .gnu.version_d   : { *(.gnu.version_d)  }\r
+  .gnu.version_r   : { *(.gnu.version_r)  }\r
+  .rel.init      : { *(.rel.init) }\r
+  .rela.init     : { *(.rela.init) }\r
+  .rel.text      :\r
+    {\r
+      *(.rel.text)\r
+      *(.rel.text.*)\r
+      *(.rel.gnu.linkonce.t*)\r
+    }\r
+  .rela.text     :\r
+    {\r
+      *(.rela.text)\r
+      *(.rela.text.*)\r
+      *(.rela.gnu.linkonce.t*)\r
+    }\r
+  .rel.fini      : { *(.rel.fini) }\r
+  .rela.fini     : { *(.rela.fini) }\r
+  .rel.rodata    :\r
+    {\r
+      *(.rel.rodata)\r
+      *(.rel.rodata.*)\r
+      *(.rel.gnu.linkonce.r*)\r
+    }\r
+  .rela.rodata   :\r
+    {\r
+      *(.rela.rodata)\r
+      *(.rela.rodata.*)\r
+      *(.rela.gnu.linkonce.r*)\r
+    }\r
+  .rel.data      :\r
+    {\r
+      *(.rel.data)\r
+      *(.rel.data.*)\r
+      *(.rel.gnu.linkonce.d*)\r
+    }\r
+  .rela.data     :\r
+    {\r
+      *(.rela.data)\r
+      *(.rela.data.*)\r
+      *(.rela.gnu.linkonce.d*)\r
+    }\r
+  .rel.ctors     : { *(.rel.ctors)        }\r
+  .rela.ctors    : { *(.rela.ctors)       }\r
+  .rel.dtors     : { *(.rel.dtors)        }\r
+  .rela.dtors    : { *(.rela.dtors)       }\r
+  .rel.got       : { *(.rel.got)          }\r
+  .rela.got      : { *(.rela.got)         }\r
+  .rel.bss       : { *(.rel.bss)          }\r
+  .rela.bss      : { *(.rela.bss)         }\r
+  .rel.plt       : { *(.rel.plt)          }\r
+  .rela.plt      : { *(.rela.plt)         }\r
+  /* Internal text space.  */\r
+  .text :\r
+  {\r
+    . = ALIGN(2);\r
+    *(.init)\r
+    *(.init0)  /* Start here after reset.  */\r
+    *(.init1)\r
+    *(.init2)  /* Copy data loop  */\r
+    *(.init3)\r
+    *(.init4)  /* Clear bss  */\r
+    *(.init5)\r
+    *(.init6)  /* C++ constructors.  */\r
+    *(.init7)\r
+    *(.init8)\r
+    *(.init9)  /* Call main().  */\r
+     __ctors_start = . ;\r
+     *(.ctors)\r
+     __ctors_end = . ;\r
+     __dtors_start = . ;\r
+     *(.dtors)\r
+     __dtors_end = . ;\r
+    . = ALIGN(2);\r
+    *(.text)\r
+    . = ALIGN(2);\r
+    *(.text.*)\r
+    . = ALIGN(2);\r
+    *(.fini9)  /*   */\r
+    *(.fini8)\r
+    *(.fini7)\r
+    *(.fini6)  /* C++ destructors.  */\r
+    *(.fini5)\r
+    *(.fini4)\r
+    *(.fini3)\r
+    *(.fini2)\r
+    *(.fini1)\r
+    *(.fini0)  /* Infinite loop after program termination.  */\r
+    *(.fini)\r
+    _etext = .;\r
+  }  > text\r
+  .data   : AT (ADDR (.text) + SIZEOF (.text))\r
+  {\r
+     PROVIDE (__data_start = .) ;\r
+    . = ALIGN(2);\r
+    *(.data)\r
+    . = ALIGN(2);\r
+    *(.gnu.linkonce.d*)\r
+    . = ALIGN(2);\r
+     _edata = . ;\r
+  }  > data\r
+  /* Bootloader.  */\r
+  .bootloader   :\r
+  {\r
+     PROVIDE (__boot_start = .) ;\r
+    *(.bootloader)\r
+    . = ALIGN(2);\r
+    *(.bootloader.*)\r
+  }  > bootloader\r
+  /* Information memory.  */\r
+  .infomem   :\r
+  {\r
+    *(.infomem)\r
+    . = ALIGN(2);\r
+    *(.infomem.*)\r
+  }  > infomem\r
+  /* Information memory (not loaded into MPU).  */\r
+  .infomemnobits   :\r
+  {\r
+    *(.infomemnobits)\r
+    . = ALIGN(2);\r
+    *(.infomemnobits.*)\r
+  }  > infomemnobits\r
+  .bss  SIZEOF(.data) + ADDR(.data) :\r
+  {\r
+     PROVIDE (__bss_start = .) ;\r
+    *(.bss)\r
+    *(COMMON)\r
+     PROVIDE (__bss_end = .) ;\r
+     _end = . ;\r
+  }  > data\r
+  .noinit  SIZEOF(.bss) + ADDR(.bss) :\r
+  {\r
+     PROVIDE (__noinit_start = .) ;\r
+    *(.noinit)\r
+    *(COMMON)\r
+     PROVIDE (__noinit_end = .) ;\r
+     _end = . ;\r
+  }  > data\r
+  .vectors  :\r
+  {\r
+     PROVIDE (__vectors_start = .) ;\r
+    *(.vectors*)\r
+     _vectors_end = . ;\r
+  }  > vectors\r
+  /* Stabs for profiling information*/\r
+  .profiler 0 : { *(.profiler) }\r
+  /* Stabs debugging sections.  */\r
+  .stab 0 : { *(.stab) }\r
+  .stabstr 0 : { *(.stabstr) }\r
+  .stab.excl 0 : { *(.stab.excl) }\r
+  .stab.exclstr 0 : { *(.stab.exclstr) }\r
+  .stab.index 0 : { *(.stab.index) }\r
+  .stab.indexstr 0 : { *(.stab.indexstr) }\r
+  .comment 0 : { *(.comment) }\r
+  /* DWARF debug sections.\r
+     Symbols in the DWARF debugging sections are relative to the beginning\r
+     of the section so we begin them at 0.  */\r
+  /* DWARF 1 */\r
+  .debug          0 : { *(.debug) }\r
+  .line           0 : { *(.line) }\r
+  /* GNU DWARF 1 extensions */\r
+  .debug_srcinfo  0 : { *(.debug_srcinfo) }\r
+  .debug_sfnames  0 : { *(.debug_sfnames) }\r
+  /* DWARF 1.1 and DWARF 2 */\r
+  .debug_aranges  0 : { *(.debug_aranges) }\r
+  .debug_pubnames 0 : { *(.debug_pubnames) }\r
+  /* DWARF 2 */\r
+  .debug_info     0 : { *(.debug_info) *(.gnu.linkonce.wi.*) }\r
+  .debug_abbrev   0 : { *(.debug_abbrev) }\r
+  .debug_line     0 : { *(.debug_line) }\r
+  .debug_frame    0 : { *(.debug_frame) }\r
+  .debug_str      0 : { *(.debug_str) }\r
+  .debug_loc      0 : { *(.debug_loc) }\r
+  .debug_macinfo  0 : { *(.debug_macinfo) }\r
+  PROVIDE (__stack = 0x0B00) ;\r
+  PROVIDE (__data_start_rom = _etext) ;\r
+  PROVIDE (__data_end_rom   = _etext + SIZEOF (.data)) ;\r
+  PROVIDE (__noinit_start_rom = _etext + SIZEOF (.data)) ;\r
+  PROVIDE (__noinit_end_rom = _etext + SIZEOF (.data) + SIZEOF (.noinit)) ;\r
+  PROVIDE (__subdevice_has_heap = 0) ;\r
+}\r
diff --git a/msp4th/ldscript.old b/msp4th/ldscript.old
new file mode 100755 (executable)
index 0000000..15b4b24
--- /dev/null
@@ -0,0 +1,201 @@
+/* Default linker script, for normal executables */\r
+OUTPUT_FORMAT("elf32-msp430","elf32-msp430","elf32-msp430")\r
+OUTPUT_ARCH(msp:20)\r
+MEMORY\r
+{\r
+  text   (rx)          : ORIGIN = 0x1000,    LENGTH = 0x0DFF\r
+  data   (rwx)         : ORIGIN = 0x0100,        LENGTH = 0x0E00\r
+  vectors (rw)         : ORIGIN = 0x0000 LENGTH = 32\r
+  bootloader(rx)       : ORIGIN = 0x1E00,            LENGTH = 512\r
+  infomem(rx)          : ORIGIN = 0x2000,            LENGTH = 256\r
+  infomemnobits(rx)    : ORIGIN = 0x2000,        LENGTH = 256\r
+}\r
+SECTIONS\r
+{\r
+  /* Read-only sections, merged into text segment.  */\r
+  .hash          : { *(.hash)             }\r
+  .dynsym        : { *(.dynsym)           }\r
+  .dynstr        : { *(.dynstr)           }\r
+  .gnu.version   : { *(.gnu.version)      }\r
+  .gnu.version_d   : { *(.gnu.version_d)  }\r
+  .gnu.version_r   : { *(.gnu.version_r)  }\r
+  .rel.init      : { *(.rel.init) }\r
+  .rela.init     : { *(.rela.init) }\r
+  .rel.text      :\r
+    {\r
+      *(.rel.text)\r
+      *(.rel.text.*)\r
+      *(.rel.gnu.linkonce.t*)\r
+    }\r
+  .rela.text     :\r
+    {\r
+      *(.rela.text)\r
+      *(.rela.text.*)\r
+      *(.rela.gnu.linkonce.t*)\r
+    }\r
+  .rel.fini      : { *(.rel.fini) }\r
+  .rela.fini     : { *(.rela.fini) }\r
+  .rel.rodata    :\r
+    {\r
+      *(.rel.rodata)\r
+      *(.rel.rodata.*)\r
+      *(.rel.gnu.linkonce.r*)\r
+    }\r
+  .rela.rodata   :\r
+    {\r
+      *(.rela.rodata)\r
+      *(.rela.rodata.*)\r
+      *(.rela.gnu.linkonce.r*)\r
+    }\r
+  .rel.data      :\r
+    {\r
+      *(.rel.data)\r
+      *(.rel.data.*)\r
+      *(.rel.gnu.linkonce.d*)\r
+    }\r
+  .rela.data     :\r
+    {\r
+      *(.rela.data)\r
+      *(.rela.data.*)\r
+      *(.rela.gnu.linkonce.d*)\r
+    }\r
+  .rel.ctors     : { *(.rel.ctors)        }\r
+  .rela.ctors    : { *(.rela.ctors)       }\r
+  .rel.dtors     : { *(.rel.dtors)        }\r
+  .rela.dtors    : { *(.rela.dtors)       }\r
+  .rel.got       : { *(.rel.got)          }\r
+  .rela.got      : { *(.rela.got)         }\r
+  .rel.bss       : { *(.rel.bss)          }\r
+  .rela.bss      : { *(.rela.bss)         }\r
+  .rel.plt       : { *(.rel.plt)          }\r
+  .rela.plt      : { *(.rela.plt)         }\r
+  /* Internal text space.  */\r
+  .text :\r
+  {\r
+    . = ALIGN(2);\r
+    *(.init)\r
+    *(.init0)  /* Start here after reset.  */\r
+    *(.init1)\r
+    *(.init2)  /* Copy data loop  */\r
+    *(.init3)\r
+    *(.init4)  /* Clear bss  */\r
+    *(.init5)\r
+    *(.init6)  /* C++ constructors.  */\r
+    *(.init7)\r
+    *(.init8)\r
+    *(.init9)  /* Call main().  */\r
+     __ctors_start = . ;\r
+     *(.ctors)\r
+     __ctors_end = . ;\r
+     __dtors_start = . ;\r
+     *(.dtors)\r
+     __dtors_end = . ;\r
+    . = ALIGN(2);\r
+    *(.text)\r
+    . = ALIGN(2);\r
+    *(.text.*)\r
+    . = ALIGN(2);\r
+    *(.fini9)  /*   */\r
+    *(.fini8)\r
+    *(.fini7)\r
+    *(.fini6)  /* C++ destructors.  */\r
+    *(.fini5)\r
+    *(.fini4)\r
+    *(.fini3)\r
+    *(.fini2)\r
+    *(.fini1)\r
+    *(.fini0)  /* Infinite loop after program termination.  */\r
+    *(.fini)\r
+    _etext = .;\r
+  }  > text\r
+  .data   : AT (ADDR (.text) + SIZEOF (.text))\r
+  {\r
+     PROVIDE (__data_start = .) ;\r
+    . = ALIGN(2);\r
+    *(.data)\r
+    . = ALIGN(2);\r
+    *(.gnu.linkonce.d*)\r
+    . = ALIGN(2);\r
+     _edata = . ;\r
+  }  > data\r
+  /* Bootloader.  */\r
+  .bootloader   :\r
+  {\r
+     PROVIDE (__boot_start = .) ;\r
+    *(.bootloader)\r
+    . = ALIGN(2);\r
+    *(.bootloader.*)\r
+  }  > bootloader\r
+  /* Information memory.  */\r
+  .infomem   :\r
+  {\r
+    *(.infomem)\r
+    . = ALIGN(2);\r
+    *(.infomem.*)\r
+  }  > infomem\r
+  /* Information memory (not loaded into MPU).  */\r
+  .infomemnobits   :\r
+  {\r
+    *(.infomemnobits)\r
+    . = ALIGN(2);\r
+    *(.infomemnobits.*)\r
+  }  > infomemnobits\r
+  .bss  SIZEOF(.data) + ADDR(.data) :\r
+  {\r
+     PROVIDE (__bss_start = .) ;\r
+    *(.bss)\r
+    *(COMMON)\r
+     PROVIDE (__bss_end = .) ;\r
+     _end = . ;\r
+  }  > data\r
+  .noinit  SIZEOF(.bss) + ADDR(.bss) :\r
+  {\r
+     PROVIDE (__noinit_start = .) ;\r
+    *(.noinit)\r
+    *(COMMON)\r
+     PROVIDE (__noinit_end = .) ;\r
+     _end = . ;\r
+  }  > data\r
+  .vectors  :\r
+  {\r
+     PROVIDE (__vectors_start = .) ;\r
+    *(.vectors*)\r
+     _vectors_end = . ;\r
+  }  > vectors\r
+  /* Stabs for profiling information*/\r
+  .profiler 0 : { *(.profiler) }\r
+  /* Stabs debugging sections.  */\r
+  .stab 0 : { *(.stab) }\r
+  .stabstr 0 : { *(.stabstr) }\r
+  .stab.excl 0 : { *(.stab.excl) }\r
+  .stab.exclstr 0 : { *(.stab.exclstr) }\r
+  .stab.index 0 : { *(.stab.index) }\r
+  .stab.indexstr 0 : { *(.stab.indexstr) }\r
+  .comment 0 : { *(.comment) }\r
+  /* DWARF debug sections.\r
+     Symbols in the DWARF debugging sections are relative to the beginning\r
+     of the section so we begin them at 0.  */\r
+  /* DWARF 1 */\r
+  .debug          0 : { *(.debug) }\r
+  .line           0 : { *(.line) }\r
+  /* GNU DWARF 1 extensions */\r
+  .debug_srcinfo  0 : { *(.debug_srcinfo) }\r
+  .debug_sfnames  0 : { *(.debug_sfnames) }\r
+  /* DWARF 1.1 and DWARF 2 */\r
+  .debug_aranges  0 : { *(.debug_aranges) }\r
+  .debug_pubnames 0 : { *(.debug_pubnames) }\r
+  /* DWARF 2 */\r
+  .debug_info     0 : { *(.debug_info) *(.gnu.linkonce.wi.*) }\r
+  .debug_abbrev   0 : { *(.debug_abbrev) }\r
+  .debug_line     0 : { *(.debug_line) }\r
+  .debug_frame    0 : { *(.debug_frame) }\r
+  .debug_str      0 : { *(.debug_str) }\r
+  .debug_loc      0 : { *(.debug_loc) }\r
+  .debug_macinfo  0 : { *(.debug_macinfo) }\r
+  PROVIDE (__stack = 0x1000) ;\r
+  PROVIDE (__data_start_rom = _etext) ;\r
+  PROVIDE (__data_end_rom   = _etext + SIZEOF (.data)) ;\r
+  PROVIDE (__noinit_start_rom = _etext + SIZEOF (.data)) ;\r
+  PROVIDE (__noinit_end_rom = _etext + SIZEOF (.data) + SIZEOF (.noinit)) ;\r
+  PROVIDE (__subdevice_has_heap = 0) ;\r
+}\r
diff --git a/msp4th/ldscript_debug b/msp4th/ldscript_debug
new file mode 100644 (file)
index 0000000..99a3e26
--- /dev/null
@@ -0,0 +1,202 @@
+/* Default linker script, for normal executables */\r
+OUTPUT_FORMAT("elf32-msp430","elf32-msp430","elf32-msp430")\r
+OUTPUT_ARCH(msp:20)\r
+MEMORY\r
+{\r
+  text   (rx)          : ORIGIN = 0x2000,    LENGTH = 0x8000\r
+  data   (rwx)         : ORIGIN = 0x0100,        LENGTH = 0x1C00\r
+  vectors (rwx)        : ORIGIN = 0x0000, LENGTH = 66\r
+/*  vectorsn (rwx)     : ORIGIN = 0x0046, LENGTH = 66*/\r
+  bootloader(rx)       : ORIGIN = 0xc000,            LENGTH = 512\r
+  infomem(rx)          : ORIGIN = 0xB000,        LENGTH = 256\r
+  infomemnobits(rx)    : ORIGIN = 0xB200,        LENGTH = 256\r
+}\r
+SECTIONS\r
+{\r
+  /* Read-only sections, merged into text segment.  */\r
+  .hash          : { *(.hash)             }\r
+  .dynsym        : { *(.dynsym)           }\r
+  .dynstr        : { *(.dynstr)           }\r
+  .gnu.version   : { *(.gnu.version)      }\r
+  .gnu.version_d   : { *(.gnu.version_d)  }\r
+  .gnu.version_r   : { *(.gnu.version_r)  }\r
+  .rel.init      : { *(.rel.init) }\r
+  .rela.init     : { *(.rela.init) }\r
+  .rel.text      :\r
+    {\r
+      *(.rel.text)\r
+      *(.rel.text.*)\r
+      *(.rel.gnu.linkonce.t*)\r
+    }\r
+  .rela.text     :\r
+    {\r
+      *(.rela.text)\r
+      *(.rela.text.*)\r
+      *(.rela.gnu.linkonce.t*)\r
+    }\r
+  .rel.fini      : { *(.rel.fini) }\r
+  .rela.fini     : { *(.rela.fini) }\r
+  .rel.rodata    :\r
+    {\r
+      *(.rel.rodata)\r
+      *(.rel.rodata.*)\r
+      *(.rel.gnu.linkonce.r*)\r
+    }\r
+  .rela.rodata   :\r
+    {\r
+      *(.rela.rodata)\r
+      *(.rela.rodata.*)\r
+      *(.rela.gnu.linkonce.r*)\r
+    }\r
+  .rel.data      :\r
+    {\r
+      *(.rel.data)\r
+      *(.rel.data.*)\r
+      *(.rel.gnu.linkonce.d*)\r
+    }\r
+  .rela.data     :\r
+    {\r
+      *(.rela.data)\r
+      *(.rela.data.*)\r
+      *(.rela.gnu.linkonce.d*)\r
+    }\r
+  .rel.ctors     : { *(.rel.ctors)        }\r
+  .rela.ctors    : { *(.rela.ctors)       }\r
+  .rel.dtors     : { *(.rel.dtors)        }\r
+  .rela.dtors    : { *(.rela.dtors)       }\r
+  .rel.got       : { *(.rel.got)          }\r
+  .rela.got      : { *(.rela.got)         }\r
+  .rel.bss       : { *(.rel.bss)          }\r
+  .rela.bss      : { *(.rela.bss)         }\r
+  .rel.plt       : { *(.rel.plt)          }\r
+  .rela.plt      : { *(.rela.plt)         }\r
+  /* Internal text space.  */\r
+  .text :\r
+  {\r
+    . = ALIGN(2);\r
+    *(.init)\r
+    *(.init0)  /* Start here after reset.  */\r
+    *(.init1)\r
+    *(.init2)  /* Copy data loop  */\r
+    *(.init3)\r
+    *(.init4)  /* Clear bss  */\r
+    *(.init5)\r
+    *(.init6)  /* C++ constructors.  */\r
+    *(.init7)\r
+    *(.init8)\r
+    *(.init9)  /* Call main().  */\r
+     __ctors_start = . ;\r
+     *(.ctors)\r
+     __ctors_end = . ;\r
+     __dtors_start = . ;\r
+     *(.dtors)\r
+     __dtors_end = . ;\r
+    . = ALIGN(2);\r
+    *(.text)\r
+    . = ALIGN(2);\r
+    *(.text.*)\r
+    . = ALIGN(2);\r
+    *(.fini9)  /*   */\r
+    *(.fini8)\r
+    *(.fini7)\r
+    *(.fini6)  /* C++ destructors.  */\r
+    *(.fini5)\r
+    *(.fini4)\r
+    *(.fini3)\r
+    *(.fini2)\r
+    *(.fini1)\r
+    *(.fini0)  /* Infinite loop after program termination.  */\r
+    *(.fini)\r
+    _etext = .;\r
+  }  > text\r
+  .data   : AT (ADDR (.text) + SIZEOF (.text))\r
+  {\r
+     PROVIDE (__data_start = .) ;\r
+    . = ALIGN(2);\r
+    *(.data)\r
+    . = ALIGN(2);\r
+    *(.gnu.linkonce.d*)\r
+    . = ALIGN(2);\r
+     _edata = . ;\r
+  }  > data\r
+  /* Bootloader.  */\r
+  .bootloader   :\r
+  {\r
+     PROVIDE (__boot_start = .) ;\r
+    *(.bootloader)\r
+    . = ALIGN(2);\r
+    *(.bootloader.*)\r
+  }  > bootloader\r
+  /* Information memory.  */\r
+  .infomem   :\r
+  {\r
+    *(.infomem)\r
+    . = ALIGN(2);\r
+    *(.infomem.*)\r
+  }  > infomem\r
+  /* Information memory (not loaded into MPU).  */\r
+  .infomemnobits   :\r
+  {\r
+    *(.infomemnobits)\r
+    . = ALIGN(2);\r
+    *(.infomemnobits.*)\r
+  }  > infomemnobits\r
+  .bss  SIZEOF(.data) + ADDR(.data) :\r
+  {\r
+     PROVIDE (__bss_start = .) ;\r
+    *(.bss)\r
+    *(COMMON)\r
+     PROVIDE (__bss_end = .) ;\r
+     _end = . ;\r
+  }  > data\r
+  .noinit  SIZEOF(.bss) + ADDR(.bss) :\r
+  {\r
+     PROVIDE (__noinit_start = .) ;\r
+    *(.noinit)\r
+    *(COMMON)\r
+     PROVIDE (__noinit_end = .) ;\r
+     _end = . ;\r
+  }  > data\r
+  .vectors  :\r
+  {\r
+     PROVIDE (__vectors_start = .) ;\r
+    *(.vectors*)\r
+     _vectors_end = . ;\r
+  }  > vectors\r
+  /* Stabs for profiling information*/\r
+  .profiler 0 : { *(.profiler) }\r
+  /* Stabs debugging sections.  */\r
+  .stab 0 : { *(.stab) }\r
+  .stabstr 0 : { *(.stabstr) }\r
+  .stab.excl 0 : { *(.stab.excl) }\r
+  .stab.exclstr 0 : { *(.stab.exclstr) }\r
+  .stab.index 0 : { *(.stab.index) }\r
+  .stab.indexstr 0 : { *(.stab.indexstr) }\r
+  .comment 0 : { *(.comment) }\r
+  /* DWARF debug sections.\r
+     Symbols in the DWARF debugging sections are relative to the beginning\r
+     of the section so we begin them at 0.  */\r
+  /* DWARF 1 */\r
+  .debug          0 : { *(.debug) }\r
+  .line           0 : { *(.line) }\r
+  /* GNU DWARF 1 extensions */\r
+  .debug_srcinfo  0 : { *(.debug_srcinfo) }\r
+  .debug_sfnames  0 : { *(.debug_sfnames) }\r
+  /* DWARF 1.1 and DWARF 2 */\r
+  .debug_aranges  0 : { *(.debug_aranges) }\r
+  .debug_pubnames 0 : { *(.debug_pubnames) }\r
+  /* DWARF 2 */\r
+  .debug_info     0 : { *(.debug_info) *(.gnu.linkonce.wi.*) }\r
+  .debug_abbrev   0 : { *(.debug_abbrev) }\r
+  .debug_line     0 : { *(.debug_line) }\r
+  .debug_frame    0 : { *(.debug_frame) }\r
+  .debug_str      0 : { *(.debug_str) }\r
+  .debug_loc      0 : { *(.debug_loc) }\r
+  .debug_macinfo  0 : { *(.debug_macinfo) }\r
+  PROVIDE (__stack = 0xC000) ;\r
+  PROVIDE (__data_start_rom = _etext) ;\r
+  PROVIDE (__data_end_rom   = _etext + SIZEOF (.data)) ;\r
+  PROVIDE (__noinit_start_rom = _etext + SIZEOF (.data)) ;\r
+  PROVIDE (__noinit_end_rom = _etext + SIZEOF (.data) + SIZEOF (.noinit)) ;\r
+  PROVIDE (__subdevice_has_heap = 0) ;\r
+}\r
diff --git a/msp4th/ldscript_fourth_chip b/msp4th/ldscript_fourth_chip
new file mode 100644 (file)
index 0000000..2a55903
--- /dev/null
@@ -0,0 +1,209 @@
+/* Default linker script, for normal executables */\r
+OUTPUT_FORMAT("elf32-msp430","elf32-msp430","elf32-msp430")\r
+OUTPUT_ARCH(msp:20)\r
+MEMORY\r
+{\r
+  text   (rx)          : ORIGIN = 0x3000,    LENGTH = 0x1000\r
+  data   (rwx)         : ORIGIN = 0x4000,        LENGTH = 0x3FDF\r
+  vectors (rw)         : ORIGIN = 0xFFDE,       LENGTH = 32\r
+  bootloader(rx)       : ORIGIN = 0x0800,            LENGTH = 2048\r
+  infomem(rx)          : ORIGIN = 0x0000,        LENGTH = 256\r
+  infomemnobits(rx)    : ORIGIN = 0x0100,        LENGTH = 256\r
+  calibration (rwx)    : ORIGIN = 0x7FE0,      LENGTH = 32\r
+}\r
+SECTIONS\r
+{\r
+  /* Read-only sections, merged into text segment.  */\r
+  .hash          : { *(.hash)             }\r
+  .dynsym        : { *(.dynsym)           }\r
+  .dynstr        : { *(.dynstr)           }\r
+  .gnu.version   : { *(.gnu.version)      }\r
+  .gnu.version_d   : { *(.gnu.version_d)  }\r
+  .gnu.version_r   : { *(.gnu.version_r)  }\r
+  .rel.init      : { *(.rel.init) }\r
+  .rela.init     : { *(.rela.init) }\r
+  .rel.text      :\r
+    {\r
+      *(.rel.text)\r
+      *(.rel.text.*)\r
+      *(.rel.gnu.linkonce.t*)\r
+    }\r
+  .rela.text     :\r
+    {\r
+      *(.rela.text)\r
+      *(.rela.text.*)\r
+      *(.rela.gnu.linkonce.t*)\r
+    }\r
+  .rel.fini      : { *(.rel.fini) }\r
+  .rela.fini     : { *(.rela.fini) }\r
+  .rel.rodata    :\r
+    {\r
+      *(.rel.rodata)\r
+      *(.rel.rodata.*)\r
+      *(.rel.gnu.linkonce.r*)\r
+    }\r
+  .rela.rodata   :\r
+    {\r
+      *(.rela.rodata)\r
+      *(.rela.rodata.*)\r
+      *(.rela.gnu.linkonce.r*)\r
+    }\r
+  .rel.data      :\r
+    {\r
+      *(.rel.data)\r
+      *(.rel.data.*)\r
+      *(.rel.gnu.linkonce.d*)\r
+    }\r
+  .rela.data     :\r
+    {\r
+      *(.rela.data)\r
+      *(.rela.data.*)\r
+      *(.rela.gnu.linkonce.d*)\r
+    }\r
+  .rel.ctors     : { *(.rel.ctors)        }\r
+  .rela.ctors    : { *(.rela.ctors)       }\r
+  .rel.dtors     : { *(.rel.dtors)        }\r
+  .rela.dtors    : { *(.rela.dtors)       }\r
+  .rel.got       : { *(.rel.got)          }\r
+  .rela.got      : { *(.rela.got)         }\r
+  .rel.bss       : { *(.rel.bss)          }\r
+  .rela.bss      : { *(.rela.bss)         }\r
+  .rel.plt       : { *(.rel.plt)          }\r
+  .rela.plt      : { *(.rela.plt)         }\r
+  /* Internal text space.  */\r
+  .text :\r
+  {\r
+    . = ALIGN(2);\r
+    *(.init)\r
+    *(.init0)  /* Start here after reset.  */\r
+    *(.init1)\r
+    *(.init2)  /* Copy data loop  */\r
+    *(.init3)\r
+    *(.init4)  /* Clear bss  */\r
+    *(.init5)\r
+    *(.init6)  /* C++ constructors.  */\r
+    *(.init7)\r
+    *(.init8)\r
+    *(.init9)  /* Call main().  */\r
+     __ctors_start = . ;\r
+     *(.ctors)\r
+     __ctors_end = . ;\r
+     __dtors_start = . ;\r
+     *(.dtors)\r
+     __dtors_end = . ;\r
+    . = ALIGN(2);\r
+    *(.text)\r
+    . = ALIGN(2);\r
+    *(.text.*)\r
+    . = ALIGN(2);\r
+    *(.fini9)  /*   */\r
+    *(.fini8)\r
+    *(.fini7)\r
+    *(.fini6)  /* C++ destructors.  */\r
+    *(.fini5)\r
+    *(.fini4)\r
+    *(.fini3)\r
+    *(.fini2)\r
+    *(.fini1)\r
+    *(.fini0)  /* Infinite loop after program termination.  */\r
+    *(.fini)\r
+    _etext = .;\r
+  }  > text\r
+  .data   : AT (ADDR (.text) + SIZEOF (.text))\r
+  {\r
+     PROVIDE (__data_start = .) ;\r
+    . = ALIGN(2);\r
+    *(.data)\r
+    . = ALIGN(2);\r
+    *(.gnu.linkonce.d*)\r
+    . = ALIGN(2);\r
+     _edata = . ;\r
+  }  > data\r
+  /* Bootloader.  */\r
+  .bootloader   :\r
+  {\r
+     PROVIDE (__boot_start = .) ;\r
+    *(.bootloader)\r
+    . = ALIGN(2);\r
+    *(.bootloader.*)\r
+  }  > bootloader\r
+  /* Information memory.  */\r
+  .infomem   :\r
+  {\r
+    *(.infomem)\r
+    . = ALIGN(2);\r
+    *(.infomem.*)\r
+  }  > infomem\r
+  /* Information memory (not loaded into MPU).  */\r
+  .infomemnobits   :\r
+  {\r
+    *(.infomemnobits)\r
+    . = ALIGN(2);\r
+    *(.infomemnobits.*)\r
+  }  > infomemnobits\r
+  .bss  SIZEOF(.data) + ADDR(.data) :\r
+  {\r
+     PROVIDE (__bss_start = .) ;\r
+    *(.bss)\r
+    *(COMMON)\r
+     PROVIDE (__bss_end = .) ;\r
+     _end = . ;\r
+  }  > data\r
+  .noinit  SIZEOF(.bss) + ADDR(.bss) :\r
+  {\r
+     PROVIDE (__noinit_start = .) ;\r
+    *(.noinit)\r
+    *(COMMON)\r
+     PROVIDE (__noinit_end = .) ;\r
+     _end = . ;\r
+  }  > data\r
+  .vectors  :\r
+  {\r
+     PROVIDE (__vectors_start = .) ;\r
+    *(.vectors*)\r
+     _vectors_end = . ;\r
+  }  > vectors\r
+\r
+  .calibration :\r
+  {\r
+     PROVIDE (__calibration_start = .) ;\r
+     *(.calibration*)\r
+  } > calibration      \r
+\r
+  /* Stabs for profiling information*/\r
+  .profiler 0 : { *(.profiler) }\r
+  /* Stabs debugging sections.  */\r
+  .stab 0 : { *(.stab) }\r
+  .stabstr 0 : { *(.stabstr) }\r
+  .stab.excl 0 : { *(.stab.excl) }\r
+  .stab.exclstr 0 : { *(.stab.exclstr) }\r
+  .stab.index 0 : { *(.stab.index) }\r
+  .stab.indexstr 0 : { *(.stab.indexstr) }\r
+  .comment 0 : { *(.comment) }\r
+  /* DWARF debug sections.\r
+     Symbols in the DWARF debugging sections are relative to the beginning\r
+     of the section so we begin them at 0.  */\r
+  /* DWARF 1 */\r
+  .debug          0 : { *(.debug) }\r
+  .line           0 : { *(.line) }\r
+  /* GNU DWARF 1 extensions */\r
+  .debug_srcinfo  0 : { *(.debug_srcinfo) }\r
+  .debug_sfnames  0 : { *(.debug_sfnames) }\r
+  /* DWARF 1.1 and DWARF 2 */\r
+  .debug_aranges  0 : { *(.debug_aranges) }\r
+  .debug_pubnames 0 : { *(.debug_pubnames) }\r
+  /* DWARF 2 */\r
+  .debug_info     0 : { *(.debug_info) *(.gnu.linkonce.wi.*) }\r
+  .debug_abbrev   0 : { *(.debug_abbrev) }\r
+  .debug_line     0 : { *(.debug_line) }\r
+  .debug_frame    0 : { *(.debug_frame) }\r
+  .debug_str      0 : { *(.debug_str) }\r
+  .debug_loc      0 : { *(.debug_loc) }\r
+  .debug_macinfo  0 : { *(.debug_macinfo) }\r
+  PROVIDE (__stack = 0xC000) ;\r
+  PROVIDE (__data_start_rom = _etext) ;\r
+  PROVIDE (__data_end_rom   = _etext + SIZEOF (.data)) ;\r
+  PROVIDE (__noinit_start_rom = _etext + SIZEOF (.data)) ;\r
+  PROVIDE (__noinit_end_rom = _etext + SIZEOF (.data) + SIZEOF (.noinit)) ;\r
+  PROVIDE (__subdevice_has_heap = 0) ;\r
+}\r
diff --git a/msp4th/ldscript_ns430 b/msp4th/ldscript_ns430
new file mode 100644 (file)
index 0000000..2a55903
--- /dev/null
@@ -0,0 +1,209 @@
+/* Default linker script, for normal executables */\r
+OUTPUT_FORMAT("elf32-msp430","elf32-msp430","elf32-msp430")\r
+OUTPUT_ARCH(msp:20)\r
+MEMORY\r
+{\r
+  text   (rx)          : ORIGIN = 0x3000,    LENGTH = 0x1000\r
+  data   (rwx)         : ORIGIN = 0x4000,        LENGTH = 0x3FDF\r
+  vectors (rw)         : ORIGIN = 0xFFDE,       LENGTH = 32\r
+  bootloader(rx)       : ORIGIN = 0x0800,            LENGTH = 2048\r
+  infomem(rx)          : ORIGIN = 0x0000,        LENGTH = 256\r
+  infomemnobits(rx)    : ORIGIN = 0x0100,        LENGTH = 256\r
+  calibration (rwx)    : ORIGIN = 0x7FE0,      LENGTH = 32\r
+}\r
+SECTIONS\r
+{\r
+  /* Read-only sections, merged into text segment.  */\r
+  .hash          : { *(.hash)             }\r
+  .dynsym        : { *(.dynsym)           }\r
+  .dynstr        : { *(.dynstr)           }\r
+  .gnu.version   : { *(.gnu.version)      }\r
+  .gnu.version_d   : { *(.gnu.version_d)  }\r
+  .gnu.version_r   : { *(.gnu.version_r)  }\r
+  .rel.init      : { *(.rel.init) }\r
+  .rela.init     : { *(.rela.init) }\r
+  .rel.text      :\r
+    {\r
+      *(.rel.text)\r
+      *(.rel.text.*)\r
+      *(.rel.gnu.linkonce.t*)\r
+    }\r
+  .rela.text     :\r
+    {\r
+      *(.rela.text)\r
+      *(.rela.text.*)\r
+      *(.rela.gnu.linkonce.t*)\r
+    }\r
+  .rel.fini      : { *(.rel.fini) }\r
+  .rela.fini     : { *(.rela.fini) }\r
+  .rel.rodata    :\r
+    {\r
+      *(.rel.rodata)\r
+      *(.rel.rodata.*)\r
+      *(.rel.gnu.linkonce.r*)\r
+    }\r
+  .rela.rodata   :\r
+    {\r
+      *(.rela.rodata)\r
+      *(.rela.rodata.*)\r
+      *(.rela.gnu.linkonce.r*)\r
+    }\r
+  .rel.data      :\r
+    {\r
+      *(.rel.data)\r
+      *(.rel.data.*)\r
+      *(.rel.gnu.linkonce.d*)\r
+    }\r
+  .rela.data     :\r
+    {\r
+      *(.rela.data)\r
+      *(.rela.data.*)\r
+      *(.rela.gnu.linkonce.d*)\r
+    }\r
+  .rel.ctors     : { *(.rel.ctors)        }\r
+  .rela.ctors    : { *(.rela.ctors)       }\r
+  .rel.dtors     : { *(.rel.dtors)        }\r
+  .rela.dtors    : { *(.rela.dtors)       }\r
+  .rel.got       : { *(.rel.got)          }\r
+  .rela.got      : { *(.rela.got)         }\r
+  .rel.bss       : { *(.rel.bss)          }\r
+  .rela.bss      : { *(.rela.bss)         }\r
+  .rel.plt       : { *(.rel.plt)          }\r
+  .rela.plt      : { *(.rela.plt)         }\r
+  /* Internal text space.  */\r
+  .text :\r
+  {\r
+    . = ALIGN(2);\r
+    *(.init)\r
+    *(.init0)  /* Start here after reset.  */\r
+    *(.init1)\r
+    *(.init2)  /* Copy data loop  */\r
+    *(.init3)\r
+    *(.init4)  /* Clear bss  */\r
+    *(.init5)\r
+    *(.init6)  /* C++ constructors.  */\r
+    *(.init7)\r
+    *(.init8)\r
+    *(.init9)  /* Call main().  */\r
+     __ctors_start = . ;\r
+     *(.ctors)\r
+     __ctors_end = . ;\r
+     __dtors_start = . ;\r
+     *(.dtors)\r
+     __dtors_end = . ;\r
+    . = ALIGN(2);\r
+    *(.text)\r
+    . = ALIGN(2);\r
+    *(.text.*)\r
+    . = ALIGN(2);\r
+    *(.fini9)  /*   */\r
+    *(.fini8)\r
+    *(.fini7)\r
+    *(.fini6)  /* C++ destructors.  */\r
+    *(.fini5)\r
+    *(.fini4)\r
+    *(.fini3)\r
+    *(.fini2)\r
+    *(.fini1)\r
+    *(.fini0)  /* Infinite loop after program termination.  */\r
+    *(.fini)\r
+    _etext = .;\r
+  }  > text\r
+  .data   : AT (ADDR (.text) + SIZEOF (.text))\r
+  {\r
+     PROVIDE (__data_start = .) ;\r
+    . = ALIGN(2);\r
+    *(.data)\r
+    . = ALIGN(2);\r
+    *(.gnu.linkonce.d*)\r
+    . = ALIGN(2);\r
+     _edata = . ;\r
+  }  > data\r
+  /* Bootloader.  */\r
+  .bootloader   :\r
+  {\r
+     PROVIDE (__boot_start = .) ;\r
+    *(.bootloader)\r
+    . = ALIGN(2);\r
+    *(.bootloader.*)\r
+  }  > bootloader\r
+  /* Information memory.  */\r
+  .infomem   :\r
+  {\r
+    *(.infomem)\r
+    . = ALIGN(2);\r
+    *(.infomem.*)\r
+  }  > infomem\r
+  /* Information memory (not loaded into MPU).  */\r
+  .infomemnobits   :\r
+  {\r
+    *(.infomemnobits)\r
+    . = ALIGN(2);\r
+    *(.infomemnobits.*)\r
+  }  > infomemnobits\r
+  .bss  SIZEOF(.data) + ADDR(.data) :\r
+  {\r
+     PROVIDE (__bss_start = .) ;\r
+    *(.bss)\r
+    *(COMMON)\r
+     PROVIDE (__bss_end = .) ;\r
+     _end = . ;\r
+  }  > data\r
+  .noinit  SIZEOF(.bss) + ADDR(.bss) :\r
+  {\r
+     PROVIDE (__noinit_start = .) ;\r
+    *(.noinit)\r
+    *(COMMON)\r
+     PROVIDE (__noinit_end = .) ;\r
+     _end = . ;\r
+  }  > data\r
+  .vectors  :\r
+  {\r
+     PROVIDE (__vectors_start = .) ;\r
+    *(.vectors*)\r
+     _vectors_end = . ;\r
+  }  > vectors\r
+\r
+  .calibration :\r
+  {\r
+     PROVIDE (__calibration_start = .) ;\r
+     *(.calibration*)\r
+  } > calibration      \r
+\r
+  /* Stabs for profiling information*/\r
+  .profiler 0 : { *(.profiler) }\r
+  /* Stabs debugging sections.  */\r
+  .stab 0 : { *(.stab) }\r
+  .stabstr 0 : { *(.stabstr) }\r
+  .stab.excl 0 : { *(.stab.excl) }\r
+  .stab.exclstr 0 : { *(.stab.exclstr) }\r
+  .stab.index 0 : { *(.stab.index) }\r
+  .stab.indexstr 0 : { *(.stab.indexstr) }\r
+  .comment 0 : { *(.comment) }\r
+  /* DWARF debug sections.\r
+     Symbols in the DWARF debugging sections are relative to the beginning\r
+     of the section so we begin them at 0.  */\r
+  /* DWARF 1 */\r
+  .debug          0 : { *(.debug) }\r
+  .line           0 : { *(.line) }\r
+  /* GNU DWARF 1 extensions */\r
+  .debug_srcinfo  0 : { *(.debug_srcinfo) }\r
+  .debug_sfnames  0 : { *(.debug_sfnames) }\r
+  /* DWARF 1.1 and DWARF 2 */\r
+  .debug_aranges  0 : { *(.debug_aranges) }\r
+  .debug_pubnames 0 : { *(.debug_pubnames) }\r
+  /* DWARF 2 */\r
+  .debug_info     0 : { *(.debug_info) *(.gnu.linkonce.wi.*) }\r
+  .debug_abbrev   0 : { *(.debug_abbrev) }\r
+  .debug_line     0 : { *(.debug_line) }\r
+  .debug_frame    0 : { *(.debug_frame) }\r
+  .debug_str      0 : { *(.debug_str) }\r
+  .debug_loc      0 : { *(.debug_loc) }\r
+  .debug_macinfo  0 : { *(.debug_macinfo) }\r
+  PROVIDE (__stack = 0xC000) ;\r
+  PROVIDE (__data_start_rom = _etext) ;\r
+  PROVIDE (__data_end_rom   = _etext + SIZEOF (.data)) ;\r
+  PROVIDE (__noinit_start_rom = _etext + SIZEOF (.data)) ;\r
+  PROVIDE (__noinit_end_rom = _etext + SIZEOF (.data) + SIZEOF (.noinit)) ;\r
+  PROVIDE (__subdevice_has_heap = 0) ;\r
+}\r
diff --git a/msp4th/mspforth.c b/msp4th/mspforth.c
new file mode 100644 (file)
index 0000000..3836ca6
--- /dev/null
@@ -0,0 +1,1398 @@
+
+// forth interp, written as simple as it can be.
+
+
+// special version for debugging the Nathan chip.
+// last update 3/8/08
+
+#include <signal.h>
+
+#include <io.h>
+#include <iomacros.h>
+
+
+#define DEBUG_STUFF 1          // just print lots of junk
+#define CMD_LIST_SIZE 1024
+#define MATH_STACK_SIZE 32
+#define ADDR_STACK_SIZE 32
+#define PROG_SPACE 512
+#define USR_OPCODE_SIZE 32
+
+#define BI_PROG_SHIFT 10000
+
+// expected I/O stuff
+//   Port B 0x0001 in
+//   Port B 0x0002 in
+//   Port B 0x0004 in
+//   Port B 0x0008 in
+//   Port B 0x0010 out serial output
+//   Port B 0x0020 in  serial input
+//   Port B 0x0040 out main loop toggle
+//   Port B 0x0080 out interrupt toggle
+//   Port B 0x0100
+//   Port B 0x0200
+//   Port B 0x0400
+//   Port B 0x0800
+//   Port B 0x1000
+//   Port B 0x2000
+//   Port B 0x4000
+//   Port B 0x8000
+
+#define PADSR_ 0x2000       
+#define PADIR_ 0x2004      // OEN
+#define PAOUT_ 0x2008      // was ODR
+#define PAPER_ 0x200C 
+
+#define PBDSR_ 0x2002
+#define PBDIR_ 0x2006
+#define PBOUT_ 0x200A
+#define PBIER_ 0x200E
+
+#define SPI_SCR_ 0x4000
+#define SPI_RDR_ 0x4002
+#define SPI_TDR_ 0x4004
+#define SPI_SR_  0x4006
+
+#define TMR0_TCR_ 0x6000
+#define TMR0_SR_  0x6002
+#define TMR0_CNT_ 0x6004
+#define TMR0_RA_  0x6006
+#define TMR0_RB_  0x6008
+#define TMR0_RC_  0x600A
+
+#define ADC0_CR_  0xA000
+#define ADC0_DR_  0xA001
+#define ADC1_CR_  0xA002
+#define ADC1_DR_  0xA003
+#define ADC2_CR_  0xA004
+#define ADC2_DR_  0xA005
+#define ADC3_CR_  0xA006
+#define ADC3_DR_  0xA007
+
+sfrw(PADSR,PADSR_);
+sfrw(PADIR,PADIR_);
+sfrw(PAOUT,PAOUT_);
+sfrw(PAPER,PAPER_);    // interrupt enable register
+
+sfrw(PBDSR,PBDSR_);
+sfrw(PBDIR,PBDIR_);
+sfrw(PBOUT,PBOUT_);
+sfrw(PBIER,PBIER_);    // interrupt enable register
+
+sfrw(SPI_SCR,SPI_SCR_);
+sfrw(SPI_RDR,SPI_RDR_);
+sfrw(SPI_TDR,SPI_TDR_);
+sfrw(SPI_SR ,SPI_SR_ );
+
+sfrw(TMR0_TCR,TMR0_TCR_);
+sfrw(TMR0_SR,TMR0_SR_);
+sfrw(TMR0_CNT,TMR0_CNT_);
+sfrw(TMR0_RA,TMR0_RA_);
+sfrw(TMR0_RB,TMR0_RB_);
+sfrw(TMR0_RC,TMR0_RC_);
+
+sfrw(ADC0_CR,ADC0_CR_);
+sfrw(ADC0_DR,ADC0_DR_);
+sfrw(ADC1_CR,ADC1_CR_);
+sfrw(ADC1_DR,ADC1_DR_);
+sfrw(ADC2_CR,ADC2_CR_);
+sfrw(ADC2_DR,ADC2_DR_);
+sfrw(ADC3_CR,ADC3_CR_);
+sfrw(ADC3_DR,ADC3_DR_);
+
+
+// must end in a space !!!!
+// The order is important .... don't insert anything!
+// the order matches the execN function
+
+const uint8_t cmdListBi[] = 
+             {"exit + - * / "                       // 1 -> 5
+              ". dup drop swap < "                  // 6 -> 10
+              "> = .hb gw dfn "                     // 11 -> 15
+              "keyt , p@ p! not "                   // 16 -> 20
+              "list if then else begin "            // 21 -> 25
+              "until 27 .h ] num "                  // 26 -> 30
+              "push0 goto exec lu pushn "           // 31 -> 35
+              "over push1 pwrd emit ; "             // 36 -> 40
+              "@ ! h@ do loop "                     // 41 -> 45
+              "i "                                  // 46 -> 50
+              };
+
+// these commands are interps
+
+const uint8_t cmdListBi2[] = {"[ : "};
+
+// these values point to where in progBi[] these routines start
+
+const int16_t cmdList2N[] = {0,10000,10032};  // need an extra zero at the front
+
+#define LAST_PREDEFINED 40     // update this when we add commands to the built in list
+
+int16_t mathStack[MATH_STACK_SIZE];
+
+int16_t addrStack[ADDR_STACK_SIZE];
+int16_t addrStackPtr;
+
+int16_t prog[PROG_SPACE];  // user programs are placed here
+int16_t progPtr;           // next open space for user opcodes
+int16_t progOps[USR_OPCODE_SIZE];
+int16_t progOpsPtr;
+uint8_t cmdList[CMD_LIST_SIZE];  // just a string of user defined names
+int16_t cmdListPtr;
+
+int16_t *dirMemory;
+
+
+
+// to flag the initial built in functions from the rest, save the negative of them in the program space (prog).
+
+int16_t progBi[] = { // address actually start at 10000
+
+   // this is the monitor in compiled forth code (by hand)
+
+   20025,        //   0 begin
+   20014,        //   1 gw      get word
+   20030,        //   2 num     test if number
+   20022,10008,  //   3 if
+   20031,        //   5 push0    push a zero on math stack
+   20032,10030,  //   6 goto     jump to until function
+
+   20008,        //   8 drop
+   20034,        //   9 lu       look up word
+   20022,10026,  //  10 if       did we found the word in the dictionary
+   
+   20035,']',    //  12 pushn    next value on math stack  look for ]
+
+   20036,        //  14 over
+   20012,        //  15 equal    test if function was a ']'
+   20022,10022,  //  16 if
+
+   20008,        //  18 drop     it was the ']' exit function
+   20037,        //  19 push1    put a true on the math stack 
+   20032,10030,  //  20 goto     jump to until func
+
+   20033,        //  22 exec     execute the function on the math stack (it is a call so we return to here)
+   20031,        //  23 push0
+   20032,10030,  //  24 goto     jump to until func
+   
+   // undefined string
+   
+   20035,'?',    //  26 pushn    put the '?' on math stack
+   20039,        //  28 emit     output the ? to the terminal
+   20031,        //  29 push0
+   
+   20026,        //  30 until
+   20040,        //  31 return function   
+
+
+
+
+
+
+   // this is the ':' function hand compiled
+   
+   20035,0x5555, //  32 just push a known value on the stack, will test at the end
+   20014,        //  34 get a word from the input
+
+   20015,        //  35 define it
+   20025,        //  36 begin
+
+   20014,        //  37 get a word 
+   20030,        //  38 see if number
+   20022,10047,  //  39 if
+   
+   // it is a number
+   
+   20035,20035,  //  41 put the push next number opcode on stack
+   20017,        //  43 put that opcode in the def
+   20017,        //  44 put the actual value next
+   20031,        //  45 push 0
+   20026,        //  46 until     // we can have many untils for one begin
+   
+   // wasn't a number, we need to test for many other things
+
+   20008,        //  47 drop   
+   20034,        //  48 look in dictionary
+   20020,        //  49 not
+
+
+   20022,10058,  //  50 if        not found .... let them know and just ignore
+   20035,'?',    //  52 push a '?' on the stack
+   20039,        //  54 emit
+   20038,        //  55 tell them what we couldn't find
+   20031,        //  56 push0
+   20026,        //  57 until
+   
+   // we found it in the dictionary
+   
+   20035,20022,  //  58 pushn     see if it is an if function
+   20036,        //  60 over
+   20012,        //  61 equal
+   20022,10070,  //  62 if
+   
+   // it is an if function
+
+   20017,        //  64 append the if statement to the stack (it was still on the stack
+   20043,        //  65 h@ get location of next free word
+   20007,        //  66 dup    ( leave a copy on the math stack for the "then" statement
+   20017,        //  67 append it to memory
+   20031,        //  68 push0
+   20026,        //  69 until
+   
+   // ********************** 
+     
+   20035,20024,  //  70 pushn     see if it is an "else" function
+   20036,        //  72 over
+   20012,        //  73 equal
+   20022,10088,  //  74 if
+   
+    //  it is an "else" statement
+    
+   20035,20032,  //  76 push a goto command on the math stack
+   20017,        //  78 append it to the program
+   20043,        //  79 h@ get location of next free word
+   20009,        //  80 swap
+   20017,        //  81 append
+   20009,        //  82 swap
+   20043,        //  83 h@
+   20009,        //  84 swap
+   20019,        //  85 !    this will be in prog space
+   20031,        //  86 push0
+   20026,        //  87 until
+   
+   // *******************************   
+
+   20035,20023,  //  88 pushn    see if it is a "then" function
+
+   20036,        //  90 over
+   20012,        //  91 equal    test if function was a 'then'
+   20022,10100,  //  92 if
+
+      // it is a "then"
+
+   20008,        //  94 drop
+   20043,        //  95 h@
+   20009,        //  96 swap
+   20019,        //  97 !
+   20031,        //  98 push0
+   20026,        //  99 until
+   
+   // *********************************
+   
+   20035,10001,  // 100 pushn    see if it is a "[" function
+
+   20036,        // 102 over
+   20012,        // 103 equal   
+   20022,10109,  // 104 if
+
+      // it is a "["
+   
+   10001,        // 106 recurse into the monitor
+   20031,        // 107 push0
+   20026,        // 108 until
+   
+   // ********************************************   
+   
+   20035,20040,  // 109 pushn    next value on math stack  look for built in func ';'
+
+   20036,        // 111 over
+   20012,        // 112 equal    test if function was a ';'
+   20020,        // 113 not
+   20022,10119,  // 114 if      
+
+         // this must be just an ordinary function ..... just push it in the prog
+
+   20017,        // 116 append   
+   20031,        // 117 push0
+   20026,        // 118 until
+   
+   //  must be the ';'
+
+   20017,        // 119 append return function to prog
+
+   20035,0x5555, // 120 just push a known value on the stack, will test at the end
+   20012,        // 122 equal
+   20020,        // 123 not
+   20022,10132,  // 124 if
+   
+   20035,'?',    // 126 push a '?' on the stack
+   20039,        // 128 emit
+   20035,'s',    // 129 push a 's' on the stack
+   20039,        // 131 emit
+
+   20037,        // 132 push1
+   20026,        // 133 until
+   20040,        // 134 return
+
+   0x5555,0x5555,0x5555,0x5555,
+   0x5555,0x5555,0x5555,0x5555,
+   0x5555,0x5555,0x5555,0x5555,
+   0x5555,0x5555,0x5555,0x5555
+
+   };   
+         
+int16_t progCounter;
+
+uint8_t lineBuffer[256];      /* input line buffer */
+
+uint16_t lineBufferPtr;                 /* input line buffer pointer */
+uint8_t xit;                    /* set to 1 to kill program */
+
+uint8_t wordBuffer[32];                // just get a word
+
+
+
+
+// variables for the non interrupt driven output
+
+volatile uint16_t outputCharN;
+volatile uint16_t outputCharCntrN;
+
+// variables for the interrupt driven I/O
+
+volatile uint16_t outputChar;
+volatile uint16_t outputCharCntr;
+volatile uint16_t clicks;      // counts at 9,600 hz
+
+uint16_t outputRing[16];
+volatile uint16_t outputRingPtrXin;    // this is where the next char goes in 
+volatile uint16_t outputRingPtrXout;   // where the next char will come out
+
+
+volatile uint16_t inputChar;
+volatile uint16_t inputCharX;
+volatile uint16_t inputCharCntr;
+
+volatile uint16_t inputCharBit;
+
+uint8_t inputRing[16];
+volatile uint16_t inputRingPtrXin;   // if Xin == Xout, the buffer is empty
+volatile uint16_t inputRingPtrXout;
+
+
+uint8_t inputBuf[128];  // hold input line for processing
+uint8_t inputBufPtr;
+
+
+uint16_t biasVoltage[16];  // cant init here!!!!
+
+NAKED(_reset_vector__){
+  __asm__ __volatile__("br #main"::);
+}
+
+
+// the vector number does not matter .... we create the
+// table at the end of the code, but they cant match
+
+
+interrupt (0) junkInterrupt(void){
+  // I just trap unused interrupts here
+//  dumy1++;    // xxxxxx
+}
+
+interrupt(2) adcInterrupt(void){
+//  dumy2++;
+}
+
+
+interrupt (4) timerInterrupt(void){
+
+  // if the PBDSR is read in the next instruction after TMR0_SR
+  // something didn't work ..... so I put 1 instruction next
+  // and it worked much better.
+
+
+  TMR0_SR = 0;
+
+  clicks++;
+
+  inputCharBit = PADSR;   
+
+  inputCharBit = inputCharBit & 0x0020;
+
+  if((clicks & 0x03) == 0){   // we are going to work at 2400 baud
+
+
+    if(outputCharCntr){
+      if(outputChar & 1){
+        PAOUT |= 0x0010;
+      } else {
+        PAOUT &= 0xFFEF;
+      }
+      outputCharCntr--;
+      outputChar = outputChar >> 1;
+    } else {
+      // we are not outputting anything .... check the buffer
+      if(outputRingPtrXin != outputRingPtrXout){
+        outputChar = outputRing[outputRingPtrXout];
+        outputRingPtrXout++;
+        outputRingPtrXout &= 0x0F;
+        outputChar = outputChar << 1;
+        outputChar += 0xFE00;
+        outputCharCntr = 10;
+      }
+    } 
+  }
+
+  if(inputCharCntr){   // did we already get a start bit ??????
+    // now get the data bits
+    inputCharCntr--;
+
+    if((inputCharCntr & 0x0003) == 0 && (inputCharCntr & 0x00FC) != 0){
+      if(inputCharBit){
+        // shift in a 1
+        inputCharX |= 0x0100;
+      }
+      inputCharX = inputCharX >> 1;
+     
+      if(inputCharCntr == 0x04){  // last data bit
+        inputRing[inputRingPtrXin] = inputCharX;
+        inputRingPtrXin = (inputRingPtrXin+1) & 0x0F;
+      }
+
+    }
+
+  } else {
+    // waiting for start bit  
+    if(inputCharBit == 0){
+      // We have a start bit .... 
+      inputCharCntr = 38;
+      inputCharX = 0;
+    }      
+  } 
+
+}
+
+static void __inline__ delay(register unsigned int n){
+  __asm__ __volatile__ (
+      "1: \n"
+      " dec    %[n] \n"
+      " jne    1b \n"
+      : [n] "+r"(n));
+}
+
+void emit(uint8_t c){
+  uint8_t i;
+  i = outputRingPtrXin;
+  i = (i+1) & 0x0F;
+  while(outputRingPtrXout != outputRingPtrXin){   // wait for output buffer to have space
+    eint();
+  }
+  outputRing[outputRingPtrXin] = c;
+  outputRingPtrXin = i;
+}
+
+
+void sendToFEC(uint16_t *v){  // xxxxx  need to add to forth interp
+  uint8_t i;
+
+  while((SPI_SR & 0x0002)==0);
+  SPI_SCR = 0x00B0;    // note clock polarity is different than in dac
+  PAOUT |= 0x0003;      // select FEC
+
+
+  for(i=0;i<3;i++){
+    SPI_TDR = v[i];
+    while((SPI_SR & 0x0002)==0);
+  }
+
+  PAOUT &= 0xFFFC;     // clear select lines.
+
+}
+
+
+void sendToDAC(uint8_t c,uint16_t v){  // xxxxx need to add to forth interp
+
+  uint16_t x;
+
+  // send specified 16 bit value to DAC x
+
+
+  while((SPI_SR & 0x0002)==0);
+
+  // setup SPI for dacs
+  
+  SPI_SCR = 0x0090;
+  
+  if(!c){
+    // dac 0
+    PAOUT &= 0xFFFC;
+    PAOUT |= 0x0002;
+  } else {
+    PAOUT &= 0xFFFC;
+    PAOUT |= 0x0001;
+  }
+  SPI_TDR = v;
+  
+  while((SPI_SR & 0x0002) == 0);   // wait for spi to be not busy.
+
+}
+
+
+void setDAC(uint8_t c,uint16_t v){
+  // c is the channel to write to 
+  // v is the value.
+  uint8_t i;
+  uint16_t k;
+  
+  if(c & 0x08){
+    i = 1;
+  } else {
+    i = 0;
+  }
+
+  k = c & 0x0007;  // channel number
+  k = (k << 12) | v;
+
+  sendToDAC(i,k);
+
+}
+
+
+void setupDACs(){
+
+  sendToDAC(0,0x803C); // 2x gain, bufered reference
+  sendToDAC(1,0x803C);
+  sendToDAC(0,0xC000);
+  sendToDAC(1,0xC000);
+
+}
+
+
+void setAllDACs(){
+  uint8_t i;
+  for(i=0;i<16;i++){
+    setDAC(i,biasVoltage[i]);
+  }
+}
+
+void setupTest(){
+  uint16_t x;
+
+  while((SPI_SR & 0x0002) == 0);
+  
+  
+  delay(1000);  
+  
+  
+  if(inputBuf[1] == '0'){
+    // dac 0
+    PAOUT &= 0xFFFD;
+    PAOUT |= 0x0002;
+//  } else {
+//    PAOUT &= 0xFFFE;
+//    PAOUT |= 0x0001;
+  }
+  SPI_TDR = 0x803C;
+  
+//  while((SPI_SR & 0x0002) == 0);   // wait for spi to be not busy.
+//  x = 0xFFFF;
+//   // wait for spi to be not busy.
+//  while((SPI_SR & 0x0002) == 0 && x != 0){
+//    x--;
+//  }  
+
+  delay(1000);
+
+  
+}
+
+
+void setupADC(){
+  uint16_t v[3];
+  v[0] = 0x0A19;
+  v[1] = 0x0041;
+  v[2] = 0x05F0;
+  sendToFEC(v);
+  v[0] = 0x0A19;
+  v[1] = 0x0141;
+  v[2] = 0x15F0;
+  sendToFEC(v);
+  
+}
+
+
+void processLine(){
+/*  if(inputBuf[0] == 'A'){ // xxxxx
+    printString("setup ADC");
+    setupADC();
+  } else if(inputBuf[0] == 'I'){
+    printString("init");
+    setupDACs();  // just init stuff
+  } else if(inputBuf[0] == 'S'){
+    printString("setting");
+    setAllDACs();  // assign the values
+  } else if(inputBuf[0] == 'Z'){
+    printString("TEST");
+    setupTest();  // assign the values
+  } else {
+    printString(inputBuf);
+  }
+  printCR(); */
+}
+
+void processInputCharacter(){
+  uint8_t c;
+  if(inputBufPtr > 126){
+    inputBufPtr = 0;
+  }
+  c = inputRing[inputRingPtrXout];
+  inputBuf[inputBufPtr] = c;
+  inputRingPtrXout = (inputRingPtrXout+1) & 0x0F;
+  inputBufPtr++;
+  inputBuf[inputBufPtr] = 0;
+  if(c == 0x0D){
+    processLine();
+    inputBufPtr = 0;
+    inputBuf[0] = 0;
+  }  
+}
+
+
+void initVars(){
+
+  // I override the C startup code .... so I must init all vars.
+
+  outputCharCntrN = 0;
+
+  outputCharCntr = 0;
+  inputCharCntr = 0;
+
+  inputRingPtrXin = 0;
+  inputRingPtrXout = 0;
+
+  outputRingPtrXin = 0;
+  outputRingPtrXout = 0;
+
+  inputBufPtr = 0;
+
+
+  // DAC0
+
+  biasVoltage[ 0] = 1618;  // Bias Adj 1.58
+  biasVoltage[ 1] = 2918;  // Vfix 2.85
+  biasVoltage[ 2] = 2509;  // feedback voltage 2.3, now 2.7
+  biasVoltage[ 3] = 1434;  // external threshold 1.35, now 1.4
+  biasVoltage[ 4] = 2048;  // Diode Bias 0 2
+  biasVoltage[ 5] = 2048;  // Diode Bias 1 2
+  biasVoltage[ 6] = 2048;  // Diode Bias 2 2
+  biasVoltage[ 7] = 2048;  // Diode Bias 3 2
+
+  // DAC1
+
+  biasVoltage[ 8] =  563;  // ADC Bias N      0.55
+  biasVoltage[ 9] =  870;  // ADC Bias N Casc 0.85
+  biasVoltage[10] = 2232;  // ADC Bias P Casc 2.18
+  biasVoltage[11] = 2560;  // ADC Bias P      2.50
+  biasVoltage[12] =  563;  // Bias N          0.55
+  biasVoltage[13] =  870;  // Bias N          0.85
+  biasVoltage[14] = 2232;  // Bias P          2.18
+  biasVoltage[15] = 2560;  // Bias P          2.50
+  
+}
+
+
+uint8_t get_key(){
+  uint8_t i;
+  i = lineBuffer[lineBufferPtr];
+  if(i != 0) lineBufferPtr++;
+  return(i);
+}
+
+void getLine(){
+  lineBufferPtr = 0;
+  lineBuffer[0] = 250;
+// xxxxx
+}
+
+
+void getWord(){
+  int16_t k;
+  uint8_t c;
+  wordBuffer[0] = 0;
+  while(wordBuffer[0] == 0){
+    k = 0;
+    c = get_key();
+    while(( c <= ' ') && ( c != 0 )) c = get_key();    /* strip leading spaces */
+    if( c > 0 ){
+      if( c == '"' ){
+        c = get_key();
+        while((c != '"')&&(c != 0)){
+          if(c != '"') wordBuffer[k++] = c;
+          c = get_key();
+        }
+      } else {
+        while(c > ' ' && c != 0){
+          wordBuffer[k++] = c;
+          c = get_key();
+        }
+      }
+      wordBuffer[k] = 0;
+    } else {
+      wordBuffer[0] = 0;
+      getLine();     
+    }
+  }
+}
+
+void printString(const uint8_t *c){
+  while(c[0]){
+    emit(c[0]);
+    c++;
+  }
+}
+
+
+int16_t sc(uint8_t *x,uint8_t *y){
+  int16_t i;
+  i = 1;
+  while(x[0] != 0 && y[0] != 0){
+    if(x[0] != y[0]){
+      i = 0;
+    }
+    x++;
+    y++;
+  }
+  return(i);
+}
+
+void inline listFunction(){
+  printString(cmdListBi);
+  printString(cmdListBi2);
+  printString(cmdList);
+}
+  
+int16_t popMathStack(){
+  int16_t i,j;
+
+  j = mathStack[0];
+  for(i=1;i<MATH_STACK_SIZE;i++){
+    mathStack[i-1] = mathStack[i];
+  }
+
+  return(j);
+}
+
+void pushMathStack(int16_t n){
+  uint16_t i;
+  for(i=MATH_STACK_SIZE - 2;i > 0;i--){
+    mathStack[i] = mathStack[i-1];
+  }
+  mathStack[0] = n;
+}
+
+int16_t popAddrStack(){
+  int16_t j;
+  j = addrStack[addrStackPtr];
+  addrStackPtr++;
+  return(j);
+}
+
+void pushAddrStack(int16_t n){
+  addrStackPtr--;
+  addrStack[addrStackPtr] = n;
+}
+
+int16_t lookupToken(uint8_t *x,uint8_t *l){    // looking for x in l
+  int16_t i,j,k,n;
+  j = 0;
+  k = 0;
+  n=1;
+  i=0;
+  while(l[i] != 0){
+    if(x[j] != 0){   
+      // we expect the next char to match
+      if(l[i] == ' '){
+        // can't match x is longer than the one we were looking at
+        j = 0;
+        n++;
+        while(l[i] > ' '){ i++; }
+      } else {
+        if(l[i] == x[j]){
+          j++;
+        } else {
+          j = 0;
+          while(l[i] > ' '){ i++; }
+          n++;
+        }
+      }
+    } else {
+      // ran out of input ... did we hit the space we expected???
+      if(l[i] == ' '){
+        // we found it.
+        k = n;
+        while(l[i] != 0){
+          i++;
+        }
+      } else {
+        // missed it
+        j = 0;
+        n++;
+        while(l[i] > ' '){ i++; }
+
+      }
+    }
+    i++;
+  }
+
+  return(k);
+}
+
+void luFunc(){
+  int16_t i;
+  
+  i = lookupToken(wordBuffer,cmdListBi);
+  
+  if(i){
+    i += 20000;
+    pushMathStack(i);
+    pushMathStack(1);
+  } else {
+    // need to test internal interp commands
+    i = lookupToken(wordBuffer,cmdListBi2);
+    if(i){
+      i += 10000;
+      pushMathStack(i);
+      pushMathStack(1);
+    } else {
+      i = lookupToken(wordBuffer,cmdList);
+      if(i){
+        pushMathStack(i);
+        pushMathStack(1);
+      } else {
+        pushMathStack(0);
+      }
+    }
+  }  
+} 
+
+void numFunc(){  // the word to test is in wordBuffer
+  int16_t i,j,n;
+  // first check for neg sign
+  i = 0;
+  if(wordBuffer[0] == '-'){
+    i++;
+  }
+  if((wordBuffer[i] >= '0') && (wordBuffer[i] <= '9')){
+    // it is a number 
+    j = 1;
+    // check if hex
+    if(wordBuffer[0] == '0' && wordBuffer[1] == 'x'){
+      // base 16 number ... just assume all characters are good
+      i=2;
+      n = 0;
+      while(wordBuffer[i]){
+        n = n << 4;
+        n += wordBuffer[i] - '0';
+        if(wordBuffer[i] > '9'){
+          n += -7;
+        }
+        i++;
+      }
+    } else {
+      // base 10 number
+      n = 0;
+      while(wordBuffer[i]){
+        n *= 10;
+        n += wordBuffer[i] - '0';
+        i++;
+      }
+      if(wordBuffer[0] == '-'){
+        n = -n;
+      }
+    }
+  } else {
+    n = 0;
+    j = 0;
+  }
+  pushMathStack(n);
+  pushMathStack(j);
+}
+
+void ifFunc(uint8_t x){     // used as goto if x == 1
+  int16_t addr;
+  int16_t i;
+  if(progCounter > 9999){
+    addr = progBi[progCounter - 10000];
+  } else {
+    addr = prog[progCounter];
+  }
+  progCounter++;
+
+  if(x == 1){
+    // this is a goto
+    progCounter = addr;
+  } else {
+    // this is the "if" processing
+    i = popMathStack();
+    if(!i){
+      progCounter = addr;
+    }
+  }
+}
+
+void pushnFunc(){
+  int16_t i;
+  if(progCounter > 9999){
+    i = progBi[progCounter - 10000];
+  } else {
+    i = prog[progCounter];
+  }
+  progCounter++;
+  pushMathStack(i);
+}
+
+void overFunc(){
+  int16_t i;
+  i = mathStack[1];
+  pushMathStack(i);
+}
+
+void dfnFunc(){
+  uint16_t i;
+  // this function adds a new def to the list and creats a new opcode
+  i = 0;
+  while(wordBuffer[i]){
+    cmdList[cmdListPtr++] = wordBuffer[i];
+    i++;
+  }
+  cmdList[cmdListPtr++] = ' ';
+  cmdList[cmdListPtr] = 0;
+  i = lookupToken(wordBuffer,cmdList);
+  progOps[i] = progPtr;
+}
+
+
+void printNumber(int16_t n){
+  int16_t k,x[7];
+  int16_t i,j;
+  k = n;
+  if(k < 0){
+    k = -k;
+  }
+
+  i=0;
+  do{
+    j = k % 10;
+    k = k / 10;
+
+    x[i++] = j + '0';
+  }while(k);
+  i--;
+  
+  if(n < 0){
+    emit('-');
+  }
+  do{
+    emit(x[i--]);
+  }while(i >= 0);
+  emit(' ');
+}
+
+void printHexChar(int16_t n){
+  n &= 0x0F;
+  if(n > 9){
+    n += 7;
+  }
+  n += '0';
+  emit(n);
+}
+
+void printHexByte(int16_t n){
+  n &= 0xFF;
+  printHexChar(n >> 4);
+  printHexChar(n);
+}
+
+void printHexWord(int16_t n){
+  printHexByte(n >> 8);
+  printHexByte(n);
+}
+
+void execN(int16_t n); // proto ... this could get recursive
+
+void execFunc(){
+  int16_t opcode;
+  opcode = popMathStack();
+
+  if(opcode > 19999){
+    // this is a built in opcode
+
+    execN(opcode - 20000);
+
+  } else if(opcode > 9999){
+
+    pushAddrStack(progCounter);
+    progCounter = cmdList2N[opcode-10000];
+
+  } else {
+
+    pushAddrStack(progCounter);
+    progCounter = progOps[opcode];
+
+  }
+
+}
+
+
+void execN(int16_t n){
+  int16_t i,j,k,m;
+  switch(n){
+    case 1:
+      xit = 1;
+      break;
+    case 2:
+      // +
+      mathStack[1] += mathStack[0];
+      popMathStack();
+      break;
+    case 3:
+      // -
+      mathStack[1] += -mathStack[0];
+      popMathStack();
+      break;
+    case 4:
+      // *
+      mathStack[1] = mathStack[0] * mathStack[1];
+      popMathStack();
+      break;
+    case 5:
+      // /
+      mathStack[1] = mathStack[1] / mathStack[0];
+      popMathStack();
+      break;
+    case 6:
+      // .
+      printNumber(popMathStack());
+      break;
+    case 7:
+      // dup
+      pushMathStack(mathStack[0]);
+      break;
+    case 8:
+      // drop
+      i = popMathStack();
+      break;
+    case 9:
+      // swap
+      i = mathStack[0];
+      mathStack[0] = mathStack[1];
+      mathStack[1] = i;
+      break;
+    case 10:
+      // <
+      i = popMathStack();
+      if(mathStack[0] < i){
+        mathStack[0] = 1;
+      } else {
+        mathStack[0] = 0;
+      }
+      break;      
+    case 11:
+      // >
+      i = popMathStack();
+      if(mathStack[0] > i){
+        mathStack[0] = 1;
+      } else {
+        mathStack[0] = 0;
+      }
+      break;      
+    case 12:
+      // =
+      i = popMathStack();
+      if(i == mathStack[0]){
+        mathStack[0] = 1;
+      } else {
+        mathStack[0] = 0;
+      }
+      break;      
+
+    case 13:
+      printHexByte(popMathStack());
+      break;
+
+    case 14:
+      getWord();
+      break;
+
+    case 15:
+      dfnFunc();
+      break;
+
+    case 16: // keyt
+      // return a 1 if keys are in ring buffer
+     i = (inputRingPtrXin - inputRingPtrXout) & 0x0F;    // logical result assigned to i
+     pushMathStack(i);
+     break;
+
+    case 17:
+      prog[progPtr++] = popMathStack();
+      break;
+
+    case 18:  // @
+      i = mathStack[0];
+      mathStack[0] = prog[i];
+      break;
+
+    case 19:  // !
+      i = popMathStack();
+      j = popMathStack();
+      prog[i] = j;
+      break;
+
+    case 20: // not
+      if(mathStack[0]){
+        mathStack[0] = 0;
+      } else {
+        mathStack[0] = 1;
+      }
+      break;
+
+    case 21: // list
+      listFunction();
+      break;
+
+    case 22: // if
+      ifFunc(0);
+      break;
+
+//    case 23: // then      ( trapped in ':')
+//      break;
+
+//    case 24: // else      ( trapped in ':')
+//      break;
+
+    case 25:  // begin
+      pushAddrStack(progCounter);
+      break;
+
+    case 26:  // until
+      i = popAddrStack();
+      j = popMathStack();
+      if(!j){
+        addrStackPtr--;  // number is still there ... just fix the pointer
+        progCounter = i;
+      }
+      break;    
+
+//    case 27:
+//      break;
+
+    case 28:  // .h
+      printHexWord(popMathStack());
+      break;
+
+
+    case 30:  // num
+      numFunc();
+      break;
+
+    case 31:  // push0
+      pushMathStack(0);
+      break;
+
+    case 32:  // goto   ( for internal use only )
+      ifFunc(1);
+      break;
+
+    case 33: // exec
+      execFunc();
+      break;
+
+    case 34: // lu
+      luFunc();
+      break;
+
+    case 35: // pushn   ( internal use only )
+      pushnFunc();
+      break;
+
+    case 36: // over
+      overFunc();
+      break;
+
+    case 37:  // push1
+      pushMathStack(1);
+      break;
+
+    case 38: // pwrd
+      printString(wordBuffer);
+      break;
+
+    case 39: // emit
+      emit(popMathStack());
+      break;
+
+    case 40: // ;
+      i = progCounter;
+      progCounter = popAddrStack();
+      break;
+
+    case 41: // @ read directly from memory address
+      i = popMathStack();
+      i = i >> 1;  // divide by to   
+      j = dirMemory[i];
+      pushMathStack(j);
+      break;
+      
+    case 42: // ! write directly to memory address words only!
+      i = popMathStack();  //  address to write to
+      i = i >> 1;
+      j = popMathStack();  //  value to write
+      dirMemory[i] = j;
+      break;
+
+    case 43: // h@
+      pushMathStack(progPtr);
+      break;
+
+    case 44: // do
+      i = popMathStack();  // start of count
+      j = popMathStack();  // end count
+      k = progCounter;
+
+      pushAddrStack(j);  // limit on count
+      pushAddrStack(i);  // count  (I)
+      pushAddrStack(k);  // address to remember for looping
+      break;
+
+    case 45: // loop
+      j = popAddrStack();  // loop address
+      k = popAddrStack();  // count
+      m = popAddrStack();  // limit
+      k++;                // up the count
+      if(k >= m){
+        // we are done
+      } else {
+        // put it all back and loop
+        pushAddrStack(m);
+        pushAddrStack(k);
+        pushAddrStack(j);
+        progCounter = j;
+
+      }
+      break;
+      
+    case 46: // i
+      j = addrStack[addrStackPtr+1];
+      pushMathStack(j);
+      break;
+
+    default:
+      printString((uint8_t *)"opcode unfinished");      
+      break;
+  }
+}
+
+void processLoop(){            // this processes the forth opcodes.
+  int16_t opcode;
+
+  while(xit == 0){
+
+    if(progCounter > 9999){
+      opcode = progBi[progCounter - 10000];
+    } else {
+      opcode = prog[progCounter];
+    }
+
+    progCounter++;
+
+    if(opcode > 19999){
+      // this is a built in opcode
+      execN(opcode - 20000);
+    } else {
+      pushAddrStack(progCounter);
+      progCounter = progOps[opcode];
+    }
+  }
+}
+
+
+int main(void){
+  int16_t i;
+
+  PAPER = 0x000C;
+  PAOUT = 0x0000;
+  PADIR = 0x001F;  // set data direction registers
+
+  
+  dumy0 = 0;
+  dumy1 = 0;
+  dumy2 = 0;
+  dumy3 = 0;
+  
+  initVars();
+
+  TMR0_CNT = 0x0000;
+  TMR0_SR = 0;
+  TMR0_RC = 1059;
+  TMR0_TCR = 0x003C;
+
+  emit(0x00);   
+
+
+  xit = 0;
+  addrStackPtr = ADDR_STACK_SIZE;    // this is one past the end !!!! as it should be
+  progCounter = 10000;
+  progPtr = 1;                 // this will be the first opcode
+  i=0;
+  cmdListPtr = 0;
+  progOpsPtr = 1;      // just skip location zero .... it makes it easy for us
+
+  dirMemory = (void *) 0;   // its an array starting at zero
+
+  processLoop();
+
+
+  while(1){
+
+    eint();
+
+    if(outputRingPtrXout == outputRingPtrXin){
+      // if the output buffer is empty..... give it a line
+//      printStatusLine();
+    }
+
+    if(inputRingPtrXin != inputRingPtrXout){
+      processInputCharacter();
+    }
+
+    // test ADC
+    if(ADC3_CR & 1){
+      // we hit something
+      ADC3_CR = 0;   // clear the flag
+      dumy3++;
+    }
+
+    dumy0++;   
+
+  }
+}
+
+NAKED(_unexpected_){
+ __asm__ __volatile__("br #main"::);
+
+}
+
+
+INTERRUPT_VECTORS = { 
+
+   (void *)0x3C00,     // RST          just jump to next
+   (void *)0x4030,     // NMI          restart at main
+   main,               // External IRQ
+   (void *)0x3C00,     // SPI IRQ
+   (void *)0x3C00,     // PIO IRQ
+   (void *)0x4030,     // Timer IRQ
+   timerInterrupt,     // UART IRQ
+   (void *)0x4030,      // ADC IRQ
+   adcInterrupt  ,      // UMB IRQ
+   (void *)0x3C00,
+   (void *)0x3C00,
+   (void *)0x3C00,
+   (void *)0x3C00,
+   (void *)0x3C00,
+   (void *)0x4030,
+   junkInterrupt
+ };
+
diff --git a/msp4th/mspforth.tar b/msp4th/mspforth.tar
new file mode 100644 (file)
index 0000000..8d82ca6
Binary files /dev/null and b/msp4th/mspforth.tar differ
diff --git a/msp4th/mspforth01.tgz b/msp4th/mspforth01.tgz
new file mode 100644 (file)
index 0000000..72c793f
Binary files /dev/null and b/msp4th/mspforth01.tgz differ
diff --git a/msp4th/x.c b/msp4th/x.c
new file mode 100644 (file)
index 0000000..5c763bf
--- /dev/null
@@ -0,0 +1,1604 @@
+
+// forth interp, written as simple as it can be.
+
+// This version works!
+
+// special version for debugging the Nathan chip.
+// last update 3/9/08
+
+#include <signal.h>
+
+#include <io.h>
+#include <iomacros.h>
+
+
+#define DEBUG_STUFF 1          // just print lots of junk
+#define CMD_LIST_SIZE 128
+#define MATH_STACK_SIZE 16
+#define ADDR_STACK_SIZE 32
+#define PROG_SPACE 256
+#define USR_OPCODE_SIZE 32
+
+#define BI_PROG_SHIFT 10000
+
+// expected I/O stuff
+//   Port B 0x0001 in
+//   Port B 0x0002 in
+//   Port B 0x0004 in
+//   Port B 0x0008 in
+//   Port B 0x0010 out serial output
+//   Port B 0x0020 in  serial input
+//   Port B 0x0040 out main loop toggle
+//   Port B 0x0080 out interrupt toggle
+//   Port B 0x0100
+//   Port B 0x0200
+//   Port B 0x0400
+//   Port B 0x0800
+//   Port B 0x1000
+//   Port B 0x2000
+//   Port B 0x4000
+//   Port B 0x8000
+
+#define PADSR_ 0x2000       
+#define PADIR_ 0x2004      // OEN
+#define PAOUT_ 0x2008      // was ODR
+#define PAPER_ 0x200C 
+
+#define PBDSR_ 0x2002
+#define PBDIR_ 0x2006
+#define PBOUT_ 0x200A
+#define PBIER_ 0x200E
+
+#define SPI_SCR_ 0x4000
+#define SPI_RDR_ 0x4002
+#define SPI_TDR_ 0x4004
+#define SPI_SR_  0x4006
+
+#define TMR0_TCR_ 0x6000
+#define TMR0_SR_  0x6002
+#define TMR0_CNT_ 0x6004
+#define TMR0_RA_  0x6006
+#define TMR0_RB_  0x6008
+#define TMR0_RC_  0x600A
+
+#define ADC0_CR_  0xA000
+#define ADC0_DR_  0xA001
+#define ADC1_CR_  0xA002
+#define ADC1_DR_  0xA003
+#define ADC2_CR_  0xA004
+#define ADC2_DR_  0xA005
+#define ADC3_CR_  0xA006
+#define ADC3_DR_  0xA007
+
+sfrw(PADSR,PADSR_);
+sfrw(PADIR,PADIR_);
+sfrw(PAOUT,PAOUT_);
+sfrw(PAPER,PAPER_);    // interrupt enable register
+
+sfrw(PBDSR,PBDSR_);
+sfrw(PBDIR,PBDIR_);
+sfrw(PBOUT,PBOUT_);
+sfrw(PBIER,PBIER_);    // interrupt enable register
+
+sfrw(SPI_SCR,SPI_SCR_);
+sfrw(SPI_RDR,SPI_RDR_);
+sfrw(SPI_TDR,SPI_TDR_);
+sfrw(SPI_SR ,SPI_SR_ );
+
+sfrw(TMR0_TCR,TMR0_TCR_);
+sfrw(TMR0_SR,TMR0_SR_);
+sfrw(TMR0_CNT,TMR0_CNT_);
+sfrw(TMR0_RA,TMR0_RA_);
+sfrw(TMR0_RB,TMR0_RB_);
+sfrw(TMR0_RC,TMR0_RC_);
+
+sfrw(ADC0_CR,ADC0_CR_);
+sfrw(ADC0_DR,ADC0_DR_);
+sfrw(ADC1_CR,ADC1_CR_);
+sfrw(ADC1_DR,ADC1_DR_);
+sfrw(ADC2_CR,ADC2_CR_);
+sfrw(ADC2_DR,ADC2_DR_);
+sfrw(ADC3_CR,ADC3_CR_);
+sfrw(ADC3_DR,ADC3_DR_);
+
+
+// must end in a space !!!!
+// The order is important .... don't insert anything!
+// the order matches the execN function
+
+const uint8_t cmdListBi[] = 
+             {"exit + - * / "                       // 1 -> 5
+              ". dup drop swap < "                  // 6 -> 10
+              "> = .hb gw dfn "                     // 11 -> 15
+              "keyt , p@ p! not "                   // 16 -> 20
+              "list if then else begin "            // 21 -> 25
+              "until clrb .h ] num "                // 26 -> 30
+              "push0 goto exec lu pushn "           // 31 -> 35
+              "over push1 pwrd emit ; "             // 36 -> 40
+              "@ ! h@ do loop "                     // 41 -> 45
+              "i b@ a! and or "                     // 46 -> 50
+              "*/ key cr hist histclr "             // 51 -> 55
+              "fasttimer slowtimer stat hstat fec " // 56 -> 60
+              "fecset fecbset fecbclr "             // 61 -> 65
+              };
+
+// these commands are interps
+
+const uint8_t cmdListBi2[] = {"[ : var "};
+
+// these values point to where in progBi[] these routines start
+
+const int16_t cmdList2N[] = {0,10000,10032,10135};  // need an extra zero at the front
+
+#define LAST_PREDEFINED 40     // update this when we add commands to the built in list
+
+int16_t mathStack[MATH_STACK_SIZE];
+
+int16_t addrStack[ADDR_STACK_SIZE];
+int16_t addrStackPtr;
+
+int16_t prog[PROG_SPACE];  // user programs are placed here
+int16_t progPtr;           // next open space for user opcodes
+int16_t progOps[USR_OPCODE_SIZE];
+int16_t progOpsPtr;
+uint8_t cmdList[CMD_LIST_SIZE];  // just a string of user defined names
+int16_t cmdListPtr;
+
+int16_t subSecondClock;
+int16_t fastTimer;
+int16_t slowTimer;
+
+
+int16_t *dirMemory;
+
+
+uint16_t buckets[260];  // use buckets[256] for total
+
+
+// to flag the initial built in functions from the rest, save the negative of them in the program space (prog).
+
+const int16_t progBi[] = { // address actually start at 10000
+
+   // this is the monitor in compiled forth code (by hand)
+
+   20025,        //   0 begin
+   20014,        //   1 gw      get word
+   20030,        //   2 num     test if number
+   20022,10008,  //   3 if
+   20031,        //   5 push0    push a zero on math stack
+   20032,10030,  //   6 goto     jump to until function
+
+   20008,        //   8 drop
+   20034,        //   9 lu       look up word
+   20022,10026,  //  10 if       did we found the word in the dictionary
+   
+   20035,']',    //  12 pushn    next value on math stack  look for ]
+
+   20036,        //  14 over
+   20012,        //  15 equal    test if function was a ']'
+   20022,10022,  //  16 if
+
+   20008,        //  18 drop     it was the ']' exit function
+   20037,        //  19 push1    put a true on the math stack 
+   20032,10030,  //  20 goto     jump to until func
+
+   20033,        //  22 exec     execute the function on the math stack (it is a call so we return to here)
+   20031,        //  23 push0
+   20032,10030,  //  24 goto     jump to until func
+   
+   // undefined string
+   
+   20035,'?',    //  26 pushn    put the '?' on math stack
+   20039,        //  28 emit     output the ? to the terminal
+   20031,        //  29 push0
+   
+   20026,        //  30 until
+   20040,        //  31 return function   
+
+
+
+   // this is the ':' function hand compiled
+   
+   20035,0x5555, //  32 just push a known value on the stack, will test at the end
+   20014,        //  34 get a word from the input
+
+   20015,        //  35 define it
+   20025,        //  36 begin
+
+   20014,        //  37 get a word 
+   20030,        //  38 see if number
+   20022,10047,  //  39 if
+   
+   // it is a number
+   
+   20035,20035,  //  41 put the push next number opcode on stack
+   20017,        //  43 put that opcode in the def
+   20017,        //  44 put the actual value next
+   20031,        //  45 push 0
+   20026,        //  46 until     // we can have many untils for one begin
+   
+   // wasn't a number, we need to test for many other things
+
+   20008,        //  47 drop   
+   20034,        //  48 look in dictionary
+   20020,        //  49 not
+
+
+   20022,10058,  //  50 if        not found .... let them know and just ignore
+   20035,'?',    //  52 push a '?' on the stack
+   20039,        //  54 emit
+   20038,        //  55 tell them what we couldn't find
+   20031,        //  56 push0
+   20026,        //  57 until
+   
+   // we found it in the dictionary
+   
+   20035,20022,  //  58 pushn     see if it is an if function
+   20036,        //  60 over
+   20012,        //  61 equal
+   20022,10070,  //  62 if
+   
+   // it is an if function
+
+   20017,        //  64 append the if statement to the stack (it was still on the stack
+   20043,        //  65 h@ get location of next free word
+   20007,        //  66 dup    ( leave a copy on the math stack for the "then" statement
+   20017,        //  67 append it to memory
+   20031,        //  68 push0
+   20026,        //  69 until
+   
+   // ********************** 
+     
+   20035,20024,  //  70 pushn     see if it is an "else" function
+   20036,        //  72 over
+   20012,        //  73 equal
+   20022,10088,  //  74 if
+   
+    //  it is an "else" statement
+    
+   20035,20032,  //  76 push a goto command on the math stack
+   20017,        //  78 append it to the program
+   20043,        //  79 h@ get location of next free word
+   20009,        //  80 swap
+   20017,        //  81 append
+   20009,        //  82 swap
+   20043,        //  83 h@
+   20009,        //  84 swap
+   20019,        //  85 !    this will be in prog space
+   20031,        //  86 push0
+   20026,        //  87 until
+   
+   // *******************************   
+
+   20035,20023,  //  88 pushn    see if it is a "then" function
+
+   20036,        //  90 over
+   20012,        //  91 equal    test if function was a 'then'
+   20022,10100,  //  92 if
+
+      // it is a "then"
+
+   20008,        //  94 drop
+   20043,        //  95 h@
+   20009,        //  96 swap
+   20019,        //  97 !
+   20031,        //  98 push0
+   20026,        //  99 until
+   
+   // *********************************
+   
+   20035,10001,  // 100 pushn    see if it is a "[" function
+
+   20036,        // 102 over
+   20012,        // 103 equal   
+   20022,10109,  // 104 if
+
+      // it is a "["
+   
+   10001,        // 106 recurse into the monitor
+   20031,        // 107 push0
+   20026,        // 108 until
+   
+   // ********************************************   
+   
+   20035,20040,  // 109 pushn    next value on math stack  look for built in func ';'
+
+   20036,        // 111 over
+   20012,        // 112 equal    test if function was a ';'
+   20020,        // 113 not
+   20022,10119,  // 114 if      
+
+         // this must be just an ordinary function ..... just push it in the prog
+
+   20017,        // 116 append   
+   20031,        // 117 push0
+   20026,        // 118 until
+   
+   //  must be the ';'
+
+   20017,        // 119 append return function to prog
+
+   20035,0x5555, // 120 just push a known value on the stack, will test at the end
+   20012,        // 122 equal
+   20020,        // 123 not
+   20022,10132,  // 124 if
+   
+   20035,'?',    // 126 push a '?' on the stack
+   20039,        // 128 emit
+   20035,'s',    // 129 push a 's' on the stack
+   20039,        // 131 emit
+
+   20037,        // 132 push1
+   20026,        // 133 until
+   20040,        // 134 return
+
+
+   // ***********************************************
+   // var    create a variable
+   
+   20043,        // 135 get address of variable
+   20031,        // 136 push0
+   20017,        // 137 append  ","
+   
+   20014,        // 138 get a word from the input
+   20015,        // 139 define it
+   20035,20035,  // 140 put the push next number opcode on stack
+   20017,        // 142 append the pushn instruction    
+   20017,        // 143 append the address we want to push
+   20035,20040,  // 144 put a return instruction on stack
+   20017,        // 146 put the return instruction in prog
+   20040,        // 147 return
+   
+   };   
+         
+int16_t progCounter;
+
+uint8_t lineBuffer[128];      /* input line buffer */
+
+uint16_t lineBufferPtr;                 /* input line buffer pointer */
+// uint8_t xit;                    /* set to 1 to kill program */
+
+uint8_t wordBuffer[32];                // just get a word
+
+
+
+
+// variables for the non interrupt driven output
+
+volatile uint16_t outputCharN;
+volatile uint16_t outputCharCntrN;
+
+// variables for the interrupt driven I/O
+
+volatile uint16_t outputChar;
+volatile uint16_t outputCharCntr;
+volatile uint16_t clicks;      // counts at 9,600 hz
+
+uint16_t outputRing[16];
+volatile uint16_t outputRingPtrXin;    // this is where the next char goes in 
+volatile uint16_t outputRingPtrXout;   // where the next char will come out
+
+
+volatile uint16_t inputChar;
+volatile uint16_t inputCharX;
+volatile uint16_t inputCharCntr;
+
+volatile uint16_t inputCharBit;
+
+uint8_t inputRing[16];
+volatile uint16_t inputRingPtrXin;   // if Xin == Xout, the buffer is empty
+volatile uint16_t inputRingPtrXout;
+
+
+uint8_t inputBuf[128];  // hold input line for processing
+uint8_t inputBufPtr;
+
+
+int16_t fecShadow[3];
+
+
+const uint16_t biasVoltage[16] = {
+
+  // DAC0
+
+  1618,  //  0 Bias Adj 1.58
+  2918,  //  1 Vfix 2.85
+  2509,  //  2 feedback voltage 2.3, now 2.7
+  1434,  //  3 external threshold 1.35, now 1.4
+  2048,  //  4 Diode Bias 0 2
+  2048,  //  5 Diode Bias 1 2
+  2048,  //  6 Diode Bias 2 2
+  2048,  //  7 Diode Bias 3 2
+
+  // DAC1
+
+   563,  //  8 ADC Bias N      0.55
+   870,  //  9 ADC Bias N Casc 0.85
+  2232,  // 10 ADC Bias P Casc 2.18
+  2560,  // 11 ADC Bias P      2.50
+   563,  // 12 Bias N          0.55
+   870,  // 13 Bias N          0.85
+  2232,  // 14 Bias P          2.18
+  2560   // 15 Bias P          2.50
+};
+
+
+NAKED(_reset_vector__){
+  __asm__ __volatile__("br #main"::);
+}
+
+uint16_t ad_int_tmp;
+
+// the vector number does not matter .... we create the
+// table at the end of the code, but they cant match
+
+
+interrupt (0) junkInterrupt(void){
+  // I just trap unused interrupts here
+//  dumy1++;    // xxxxxx
+}
+
+interrupt(2) adcInterrupt(void){
+  // read all 4 a/d converter ports
+
+  ad_int_tmp = ADC0_CR;    // get value and status
+  if(ad_int_tmp & 1){
+    ad_int_tmp &= 0xFFFE;
+    ADC0_CR = ad_int_tmp;  // clear bit
+    buckets[256]++;  // inc total count
+    ad_int_tmp = ad_int_tmp >> 8;  // get high byte
+    buckets[ad_int_tmp]++;
+  }
+  ad_int_tmp = ADC1_CR;    // get value and status
+  if(ad_int_tmp & 1){
+    ad_int_tmp &= 0xFFFE;
+    ADC1_CR = ad_int_tmp;  // clear bit
+    buckets[257]++;  // inc total count
+    ad_int_tmp = ad_int_tmp >> 8;  // get high byte
+    buckets[ad_int_tmp]++;
+  } 
+  ad_int_tmp = ADC2_CR;    // get value and status
+  if(ad_int_tmp & 1){
+    ad_int_tmp &= 0xFFFE;
+    ADC2_CR = ad_int_tmp;  // clear bit
+    buckets[258]++;  // inc total count
+    ad_int_tmp = ad_int_tmp >> 8;  // get high byte
+    buckets[ad_int_tmp]++;
+  }
+  ad_int_tmp = ADC3_CR;    // get value and status
+  if(ad_int_tmp & 1){
+    ad_int_tmp &= 0xFFFE;
+    ADC3_CR = ad_int_tmp;  // clear bit
+    buckets[259]++;  // inc total count
+    ad_int_tmp = ad_int_tmp >> 8;  // get high byte
+    buckets[ad_int_tmp]++;
+  }
+}
+
+
+interrupt (4) timerInterrupt(void){
+
+  // if the PBDSR is read in the next instruction after TMR0_SR
+  // something didn't work ..... so I put 1 instruction next
+  // and it worked much better.
+
+
+  TMR0_SR = 0;
+
+  clicks++;
+
+  inputCharBit = PADSR;   
+
+  inputCharBit = inputCharBit & 0x0020;
+
+  if((clicks & 0x03) == 0){   // we are going to work at 2400 baud
+
+
+    if(outputCharCntr){
+      if(outputChar & 1){
+        PAOUT |= 0x0010;
+      } else {
+        PAOUT &= 0xFFEF;
+      }
+      outputCharCntr--;
+      outputChar = outputChar >> 1;
+    } else {
+      // we are not outputting anything .... check the buffer
+      if(outputRingPtrXin != outputRingPtrXout){
+        outputChar = outputRing[outputRingPtrXout];
+        outputRingPtrXout++;
+        outputRingPtrXout &= 0x0F;
+        outputChar = outputChar << 1;
+        outputChar += 0xFE00;
+        outputCharCntr = 10;
+      }
+    } 
+
+    // clock stuff     2400 hz
+    if(fastTimer){
+      fastTimer--;
+    }
+    subSecondClock--;
+    if(subSecondClock == 0){
+      subSecondClock = 2400;
+      if(slowTimer){
+        slowTimer--;
+      }
+    }
+  }
+
+  if(inputCharCntr){   // did we already get a start bit ??????
+    // now get the data bits
+    inputCharCntr--;
+
+    if((inputCharCntr & 0x0003) == 0 && (inputCharCntr & 0x00FC) != 0){
+      if(inputCharBit){
+        // shift in a 1
+        inputCharX |= 0x0100;
+      }
+      inputCharX = inputCharX >> 1;
+     
+      if(inputCharCntr == 0x04){  // last data bit
+        inputRing[inputRingPtrXin] = inputCharX;
+        inputRingPtrXin = (inputRingPtrXin+1) & 0x0F;
+      }
+
+    }
+
+  } else {
+    // waiting for start bit  
+    if(inputCharBit == 0){
+      // We have a start bit .... 
+      inputCharCntr = 38;
+      inputCharX = 0;
+    }      
+  } 
+
+
+
+
+
+}
+
+static void __inline__ delay(register unsigned int n){
+  __asm__ __volatile__ (
+      "1: \n"
+      " dec    %[n] \n"
+      " jne    1b \n"
+      : [n] "+r"(n));
+}
+
+void emit(uint8_t c){
+  uint8_t i;
+  i = outputRingPtrXin;
+  i = (i+1) & 0x0F;
+  while(outputRingPtrXout != outputRingPtrXin){   // wait for output buffer to have space
+    eint();
+  }
+  outputRing[outputRingPtrXin] = c;
+  outputRingPtrXin = i;
+}
+
+
+uint8_t getKey(){
+  uint8_t i;
+
+  while(inputRingPtrXin == inputRingPtrXout){  // hang until we get a char
+    eint();
+  }
+  i = inputRing[inputRingPtrXout++];
+  inputRingPtrXout &= 0x0F;
+  return(i);
+} 
+
+
+
+void sendToFEC(uint16_t *v){  // xxxxx  need to add to forth interp
+  uint8_t i;
+
+  while((SPI_SR & 0x0002)==0);
+  SPI_SCR = 0x00B0;    // note clock polarity is different than in dac
+  PAOUT |= 0x0003;      // select FEC
+
+  for(i=0;i<3;i++){
+    SPI_TDR = v[i];
+    while((SPI_SR & 0x0002)==0);
+  }
+
+  PAOUT &= 0xFFFC;     // clear select lines.
+
+}
+
+
+void sendToDAC(uint8_t c,uint16_t v){  // xxxxx need to add to forth interp
+
+  uint16_t x;
+
+  // send specified 16 bit value to DAC x
+
+
+  while((SPI_SR & 0x0002)==0);
+
+  // setup SPI for dacs
+  
+  SPI_SCR = 0x0090;
+  
+  if(!c){
+    // dac 0
+    PAOUT &= 0xFFFC;
+    PAOUT |= 0x0001;
+  } else {
+    PAOUT &= 0xFFFC;
+    PAOUT |= 0x0002;
+  }
+  SPI_TDR = v;
+  
+  while((SPI_SR & 0x0002) == 0);   // wait for spi to be not busy.
+
+}
+
+
+void setDAC(uint8_t c,uint16_t v){
+  // c is the channel to write to 
+  // v is the value.
+  uint8_t i;
+  uint16_t k;
+  
+  if(c & 0x08){
+    i = 1;
+  } else {
+    i = 0;
+  }
+
+  k = c & 0x0007;  // channel number
+  k = (k << 12) | v;
+
+  sendToDAC(i,k);
+
+}
+
+
+void setupDACs(){
+
+  sendToDAC(0,0x803C); // 2x gain, bufered reference
+  sendToDAC(1,0x803C);
+  sendToDAC(0,0xC000);
+  sendToDAC(1,0xC000);
+
+}
+
+
+void setAllDACs(){
+  uint8_t i;
+  for(i=0;i<16;i++){
+    setDAC(i,biasVoltage[i]);
+  }
+}
+
+
+/* void setupTest(){
+  uint16_t x;
+
+  while((SPI_SR & 0x0002) == 0);
+  
+  
+  delay(1000);  
+  
+  
+  if(inputBuf[1] == '0'){
+    // dac 0
+    PAOUT &= 0xFFFD;
+    PAOUT |= 0x0002;
+//  } else {
+//    PAOUT &= 0xFFFE;
+//    PAOUT |= 0x0001;
+  }
+  SPI_TDR = 0x803C;
+  
+//  while((SPI_SR & 0x0002) == 0);   // wait for spi to be not busy.
+//  x = 0xFFFF;
+//   // wait for spi to be not busy.
+//  while((SPI_SR & 0x0002) == 0 && x != 0){
+//    x--;
+//  }  
+
+  delay(1000);
+
+  
+}*/
+
+
+void setupADC(){
+  uint16_t v[3];
+  v[0] = 0x0A19;
+  v[1] = 0x0041;
+  v[2] = 0x05F0;
+  sendToFEC(v);
+  v[0] = 0xA19F;
+  v[1] = 0x045C;
+  v[2] = 0x1FF0;
+  sendToFEC(v);
+  
+}
+
+
+void initVars(){
+
+  // I override the C startup code .... so I must init all vars.
+
+  outputCharCntrN = 0;
+
+  outputCharCntr = 0;
+  inputCharCntr = 0;
+
+  inputRingPtrXin = 0;
+  inputRingPtrXout = 0;
+
+  outputRingPtrXin = 0;
+  outputRingPtrXout = 0;
+
+  inputBufPtr = 0;
+
+
+  
+}
+
+
+uint8_t getKeyB(){
+  uint8_t i;
+  i = lineBuffer[lineBufferPtr];
+  if(i != 0) lineBufferPtr++;
+  return(i);
+}
+
+
+void printHexByte(int16_t n);
+
+
+
+
+
+void getLine(){
+  int16_t i;
+  lineBufferPtr = 0;
+
+  emit(0x0D);
+  emit(0x0A);
+  emit('>');   // this is our prompt
+
+  i = 1;
+  while(i){  // just hang in loop until we get CR
+    i = getKey();
+    if(i == 0x08){
+      if(lineBufferPtr > 0){
+        emit(0x08);
+        emit(' ');
+        emit(0x08);
+        lineBufferPtr--;
+      }
+    } else {
+      emit(i);
+      if(i == 0x0D){
+        // hit cr
+        lineBuffer[lineBufferPtr] = 0;
+        i = 0;
+      } else {
+
+        lineBuffer[lineBufferPtr++] = i;
+        lineBuffer[lineBufferPtr] = 0;
+
+        if(lineBufferPtr > 125){  // prevent overflow of line buffer
+          i=0;
+        }
+      }
+    }
+  }
+  emit(0x0A);
+  lineBufferPtr = 0;
+}
+
+
+void getWord(){
+  int16_t k;
+  uint8_t c;
+  wordBuffer[0] = 0;
+  while(wordBuffer[0] == 0){
+    k = 0;
+    c = getKeyB();
+    while(( c <= ' ') && ( c != 0 )) c = getKeyB();    /* strip leading spaces */
+    if( c > 0 ){
+      if( c == '"' ){
+        c = getKeyB();
+        while((c != '"')&&(c != 0)){
+          if(c != '"') wordBuffer[k++] = c;
+          c = getKeyB();
+        }
+      } else {
+        while(c > ' ' && c != 0){
+          wordBuffer[k++] = c;
+          c = getKeyB();
+        }
+      }
+      wordBuffer[k] = 0;
+    } else {
+      wordBuffer[0] = 0;
+      getLine();     
+    }
+  }
+}
+
+void printString(const uint8_t *c){
+  while(c[0]){
+    emit(c[0]);
+    c++;
+  }
+}
+
+
+int16_t sc(uint8_t *x,uint8_t *y){
+  int16_t i;
+  i = 1;
+  while(x[0] != 0 && y[0] != 0){
+    if(x[0] != y[0]){
+      i = 0;
+    }
+    x++;
+    y++;
+  }
+  return(i);
+}
+
+void inline listFunction(){
+  printString(cmdListBi);
+  printString(cmdListBi2);
+  printString(cmdList);
+}
+  
+int16_t popMathStack(){
+  int16_t i,j;
+
+  j = mathStack[0];
+  for(i=1;i<MATH_STACK_SIZE;i++){
+    mathStack[i-1] = mathStack[i];
+  }
+
+  return(j);
+}
+
+void pushMathStack(int16_t n){
+  uint16_t i;
+  for(i=MATH_STACK_SIZE - 2;i > 0;i--){
+    mathStack[i] = mathStack[i-1];
+  }
+  mathStack[0] = n;
+}
+
+int16_t popAddrStack(){
+  int16_t j;
+  j = addrStack[addrStackPtr];
+  addrStackPtr++;
+  return(j);
+}
+
+void pushAddrStack(int16_t n){
+  addrStackPtr--;
+  addrStack[addrStackPtr] = n;
+}
+
+int16_t lookupToken(uint8_t *x,uint8_t *l){    // looking for x in l
+  int16_t i,j,k,n;
+  j = 0;
+  k = 0;
+  n=1;
+  i=0;
+  while(l[i] != 0){
+    if(x[j] != 0){   
+      // we expect the next char to match
+      if(l[i] == ' '){
+        // can't match x is longer than the one we were looking at
+        j = 0;
+        n++;
+        while(l[i] > ' '){ i++; }
+      } else {
+        if(l[i] == x[j]){
+          j++;
+        } else {
+          j = 0;
+          while(l[i] > ' '){ i++; }
+          n++;
+        }
+      }
+    } else {
+      // ran out of input ... did we hit the space we expected???
+      if(l[i] == ' '){
+        // we found it.
+        k = n;
+        while(l[i] != 0){
+          i++;
+        }
+      } else {
+        // missed it
+        j = 0;
+        n++;
+        while(l[i] > ' '){ i++; }
+
+      }
+    }
+    i++;
+  }
+
+  return(k);
+}
+
+void luFunc(){
+  int16_t i;
+  
+  i = lookupToken(wordBuffer,(uint8_t *)cmdListBi);
+  
+  if(i){
+    i += 20000;
+    pushMathStack(i);
+    pushMathStack(1);
+  } else {
+    // need to test internal interp commands
+    i = lookupToken(wordBuffer,(uint8_t *)cmdListBi2);
+    if(i){
+      i += 10000;
+      pushMathStack(i);
+      pushMathStack(1);
+    } else {
+      i = lookupToken(wordBuffer,cmdList);
+      if(i){
+        pushMathStack(i);
+        pushMathStack(1);
+      } else {
+        pushMathStack(0);
+      }
+    }
+  }  
+} 
+
+void numFunc(){  // the word to test is in wordBuffer
+  int16_t i,j,n;
+  // first check for neg sign
+  i = 0;
+  if(wordBuffer[0] == '-'){
+    i++;
+  }
+  if((wordBuffer[i] >= '0') && (wordBuffer[i] <= '9')){
+    // it is a number 
+    j = 1;
+    // check if hex
+    if(wordBuffer[0] == '0' && wordBuffer[1] == 'x'){
+      // base 16 number ... just assume all characters are good
+      i=2;
+      n = 0;
+      while(wordBuffer[i]){
+        n = n << 4;
+        n += wordBuffer[i] - '0';
+        if(wordBuffer[i] > '9'){
+          n += -7;
+        }
+        i++;
+      }
+    } else {
+      // base 10 number
+      n = 0;
+      while(wordBuffer[i]){
+        n *= 10;
+        n += wordBuffer[i] - '0';
+        i++;
+      }
+      if(wordBuffer[0] == '-'){
+        n = -n;
+      }
+    }
+  } else {
+    n = 0;
+    j = 0;
+  }
+  pushMathStack(n);
+  pushMathStack(j);
+}
+
+void ifFunc(uint8_t x){     // used as goto if x == 1
+  int16_t addr;
+  int16_t i;
+  if(progCounter > 9999){
+    addr = progBi[progCounter - 10000];
+  } else {
+    addr = prog[progCounter];
+  }
+  progCounter++;
+
+  if(x == 1){
+    // this is a goto
+    progCounter = addr;
+  } else {
+    // this is the "if" processing
+    i = popMathStack();
+    if(!i){
+      progCounter = addr;
+    }
+  }
+}
+
+void pushnFunc(){
+  int16_t i;
+  if(progCounter > 9999){
+    i = progBi[progCounter - 10000];
+  } else {
+    i = prog[progCounter];
+  }
+  progCounter++;
+  pushMathStack(i);
+}
+
+void overFunc(){
+  int16_t i;
+  i = mathStack[1];
+  pushMathStack(i);
+}
+
+void dfnFunc(){
+  uint16_t i;
+  // this function adds a new def to the list and creats a new opcode
+  i = 0;
+  while(wordBuffer[i]){
+    cmdList[cmdListPtr++] = wordBuffer[i];
+    i++;
+  }
+  cmdList[cmdListPtr++] = ' ';
+  cmdList[cmdListPtr] = 0;
+  i = lookupToken(wordBuffer,cmdList);
+  progOps[i] = progPtr;
+}
+
+
+void printNumber(int16_t n){
+  int16_t k,x[7];
+  int16_t i,j;
+  k = n;
+  if(k < 0){
+    k = -k;
+  }
+
+  i=0;
+  do{
+    j = k % 10;
+    k = k / 10;
+
+    x[i++] = j + '0';
+  }while(k);
+  i--;
+  
+  if(n < 0){
+    emit('-');
+  }
+  do{
+    emit(x[i--]);
+  }while(i >= 0);
+  emit(' ');
+}
+
+void printHexChar(int16_t n){
+  n &= 0x0F;
+  if(n > 9){
+    n += 7;
+  }
+  n += '0';
+  emit(n);
+}
+
+void printHexByte(int16_t n){
+  n &= 0xFF;
+  printHexChar(n >> 4);
+  printHexChar(n);
+}
+
+void printHexWord(int16_t n){
+  printHexByte(n >> 8);
+  printHexByte(n);
+}
+
+void execN(int16_t n); // proto ... this could get recursive
+
+void execFunc(){
+  int16_t opcode;
+  opcode = popMathStack();
+
+  if(opcode > 19999){
+    // this is a built in opcode
+
+    execN(opcode - 20000);
+
+  } else if(opcode > 9999){
+
+    pushAddrStack(progCounter);
+    progCounter = cmdList2N[opcode-10000];
+
+  } else {
+
+    pushAddrStack(progCounter);
+    progCounter = progOps[opcode];
+
+  }
+
+}
+
+
+void execN(int16_t n){
+  int16_t i,j,k,m;
+  int32_t x,y,z;
+  switch(n){
+    case 1:
+  //    xit = 1;
+      break;
+    case 2:
+      // +
+      mathStack[1] += mathStack[0];
+      popMathStack();
+      break;
+    case 3:
+      // -
+      mathStack[1] += -mathStack[0];
+      popMathStack();
+      break;
+    case 4:
+      // *
+      mathStack[1] = mathStack[0] * mathStack[1];
+      popMathStack();
+      break;
+    case 5:
+      // /
+      mathStack[1] = mathStack[1] / mathStack[0];
+      popMathStack();
+      break;
+    case 6:
+      // .
+      printNumber(popMathStack());
+      break;
+    case 7:
+      // dup
+      pushMathStack(mathStack[0]);
+      break;
+    case 8:
+      // drop
+      i = popMathStack();
+      break;
+    case 9:
+      // swap
+      i = mathStack[0];
+      mathStack[0] = mathStack[1];
+      mathStack[1] = i;
+      break;
+    case 10:
+      // <
+      i = popMathStack();
+      if(mathStack[0] < i){
+        mathStack[0] = 1;
+      } else {
+        mathStack[0] = 0;
+      }
+      break;      
+    case 11:
+      // >
+      i = popMathStack();
+      if(mathStack[0] > i){
+        mathStack[0] = 1;
+      } else {
+        mathStack[0] = 0;
+      }
+      break;      
+    case 12:
+      // =
+      i = popMathStack();
+      if(i == mathStack[0]){
+        mathStack[0] = 1;
+      } else {
+        mathStack[0] = 0;
+      }
+      break;      
+
+    case 13:
+      printHexByte(popMathStack());
+      break;
+
+    case 14:
+      getWord();
+      break;
+
+    case 15:
+      dfnFunc();
+      break;
+
+    case 16: // keyt
+      // return a 1 if keys are in ring buffer
+     i = (inputRingPtrXin - inputRingPtrXout) & 0x0F;    // logical result assigned to i
+     pushMathStack(i);
+     break;
+
+    case 17: // allot
+      prog[progPtr++] = popMathStack();
+      if(progPtr >= PROG_SPACE){
+        printString("prog mem");
+      }
+      break;
+
+    case 18:  // @
+      i = mathStack[0];
+      mathStack[0] = prog[i];
+      break;
+
+    case 19:  // !
+      i = popMathStack();
+      j = popMathStack();
+      prog[i] = j;
+      break;
+
+    case 20: // not
+      if(mathStack[0]){
+        mathStack[0] = 0;
+      } else {
+        mathStack[0] = 1;
+      }
+      break;
+
+    case 21: // list
+      listFunction();
+      break;
+
+    case 22: // if
+      ifFunc(0);
+      break;
+
+//    case 23: // then      ( trapped in ':')
+//      break;
+
+//    case 24: // else      ( trapped in ':')
+//      break;
+
+    case 25:  // begin
+      pushAddrStack(progCounter);
+      break;
+
+    case 26:  // until
+      i = popAddrStack();
+      j = popMathStack();
+      if(!j){
+        addrStackPtr--;  // number is still there ... just fix the pointer
+        progCounter = i;
+      }
+      break;    
+
+    case 27:  // clrb   clear a/d converter buckets
+      for(i=0;i<256;i++){
+        buckets[i] = 0;
+      }
+      break;
+      
+    case 28:  // .h
+      printHexWord(popMathStack());
+      break;
+
+
+    case 30:  // num
+      numFunc();
+      break;
+
+    case 31:  // push0
+      pushMathStack(0);
+      break;
+
+    case 32:  // goto   ( for internal use only )
+      ifFunc(1);
+      break;
+
+    case 33: // exec
+      execFunc();
+      break;
+
+    case 34: // lu
+      luFunc();
+      break;
+
+    case 35: // pushn   ( internal use only )
+      pushnFunc();
+      break;
+
+    case 36: // over
+      overFunc();
+      break;
+
+    case 37:  // push1
+      pushMathStack(1);
+      break;
+
+    case 38: // pwrd
+      printString(wordBuffer);
+      break;
+
+    case 39: // emit
+      emit(popMathStack());
+      break;
+
+    case 40: // ;
+      i = progCounter;
+      progCounter = popAddrStack();
+      break;
+
+    case 41: // @ read directly from memory address
+      i = popMathStack();
+      i = i >> 1;  // divide by to   
+      j = dirMemory[i];
+      pushMathStack(j);
+      break;
+      
+    case 42: // ! write directly to memory address words only!
+      i = popMathStack();  //  address to write to
+      i = i >> 1;
+      j = popMathStack();  //  value to write
+      dirMemory[i] = j;
+      break;
+
+    case 43: // h@
+      pushMathStack(progPtr);
+      break;
+
+    case 44: // do
+      i = popMathStack();  // start of count
+      j = popMathStack();  // end count
+      k = progCounter;
+
+      pushAddrStack(j);  // limit on count
+      pushAddrStack(i);  // count  (I)
+      pushAddrStack(k);  // address to remember for looping
+      break;
+
+    case 45: // loop
+      j = popAddrStack();  // loop address
+      k = popAddrStack();  // count
+      m = popAddrStack();  // limit
+      k++;                // up the count
+      if(k >= m){
+        // we are done
+      } else {
+        // put it all back and loop
+        pushAddrStack(m);
+        pushAddrStack(k);
+        pushAddrStack(j);
+        progCounter = j;
+
+      }
+      break;
+      
+    case 46: // i
+      j = addrStack[addrStackPtr+1];
+      pushMathStack(j);
+      break;
+
+    case 47: // b@
+      i = mathStack[0];
+      mathStack[0] = buckets[i];
+      break;
+      
+    case 48: // a!
+      i = popMathStack();  // address
+      j = popMathStack();  // value
+      setDAC(i,j);
+      break;
+
+    case 49: // and
+      mathStack[1] &= mathStack[0];
+      popMathStack();
+      break;
+
+    case 50: // or
+      mathStack[1] |= mathStack[0];
+      popMathStack();
+      break;
+
+    case 51: // */    scale function
+      x = popMathStack();
+      y = popMathStack();
+      z = mathStack[0];
+      z = (z*y)/x;
+      mathStack[0] = z;
+      break;
+      
+    case 52: // key     get a key from input .... (wait for it)
+      pushMathStack(getKey());
+      break;
+
+    case 53: // cr
+      emit(0x0D);
+      emit(0x0A);
+      break;
+
+    case 54: // hist
+      i = mathStack[0];
+      mathStack[0] = buckets[i];
+      break;
+      
+    case 55: // histclr
+      for(i=0;i<260;i++){
+        buckets[i] = 0;
+      }
+      break;
+
+    case 56: // fasttimer
+      i = (int16_t )&fastTimer;
+      i = i>>1;
+      pushMathStack(i);
+      break;
+
+    case 57:  // slowtimer
+      i = (int16_t )&slowTimer;
+      i = i>>1;
+      pushMathStack(i);
+      break;
+
+    case 58: // hstat
+      for(i=256;i<260;i++){
+        printHexWord(buckets[i]);
+        emit(' ');
+      }
+      break;
+
+    case 59:
+      for(i=0;i<256;i++){
+        if(buckets[i]){
+          printHexByte(i);
+          emit(' ');
+          printHexWord(buckets[i]);
+          emit(0x0D);
+          emit(0x0A);
+        }
+      }
+      break;
+
+    case 60: // fec
+      printHexWord(fecShadow[2]);
+      emit(' ');
+      printHexWord(fecShadow[1]);
+      emit(' ');
+      printHexWord(fecShadow[0]);
+      break;      
+
+    case 61: // fecset
+      fecShadow[0] = popMathStack();   // lsb
+      fecShadow[1] = popMathStack();
+      fecShadow[2] = popMathStack();   //msb
+      sendToFEC(fecShadow);
+      break;
+
+    case 62: // fecbset
+      i = popMathStack();
+      if(i < 48 && i >= 0){
+        j = i >> 4;  // find the byte
+        i = i & 0x0F; // find the bit
+        i = 1 << i;   // get the bit location
+        fecShadow[j] |= i;
+      }
+      sendToFEC(fecShadow);
+      break;
+
+    case 63: // fecbclr
+      i = popMathStack();
+      if(i < 48 && i >= 0){
+        j = i >> 4;  // find the byte
+        i = i & 0x0F; // find the bit
+        i = 1 << i;   // get the bit location
+        fecShadow[j] &= ~i;
+      }
+      sendToFEC(fecShadow);
+      break;
+
+    default:
+      printString((uint8_t *)"opcode ");      
+      break;
+  }
+}
+
+void processLoop(){            // this processes the forth opcodes.
+  int16_t opcode;
+
+
+  while(1){
+
+    if(progCounter > 9999){
+      opcode = progBi[progCounter - 10000];
+    } else {
+      opcode = prog[progCounter];
+    }
+
+    progCounter++;
+
+    if(opcode > 19999){
+      // this is a built in opcode
+      execN(opcode - 20000);
+    } else {
+      pushAddrStack(progCounter);
+      progCounter = progOps[opcode];
+    }
+  }
+}
+
+
+int main(void){
+  int16_t i;
+
+  PAPER = 0x000C;
+  PAOUT = 0x0000;
+  PADIR = 0x001F;  // set data direction registers
+
+  initVars();
+
+  TMR0_CNT = 0x0000;
+  TMR0_SR = 0;
+  TMR0_RC = 1059;
+  TMR0_TCR = 0x003C;
+
+  emit(0x00);   
+
+
+//  xit = 0;
+  addrStackPtr = ADDR_STACK_SIZE;    // this is one past the end !!!! as it should be
+  progCounter = 10000;
+  progPtr = 1;                 // this will be the first opcode
+  i=0;
+  cmdListPtr = 0;
+  cmdList[0] = 0;
+  progOpsPtr = 1;      // just skip location zero .... it makes it easy for us
+
+  dirMemory = (void *) 0;   // its an array starting at zero
+
+  setupDACs();    //
+  setAllDACs();   // start off at default values
+
+  processLoop();
+
+
+/*  while(1){
+
+    eint();
+
+    // test ADC
+    if(ADC3_CR & 1){
+      // we hit something
+      ADC3_CR = 0;   // clear the flag
+ //     dumy3++;
+    }
+
+ //   dumy0++;   
+
+  } */
+}
+
+NAKED(_unexpected_){
+ __asm__ __volatile__("br #main"::);
+
+}
+
+
+INTERRUPT_VECTORS = { 
+
+   (void *)0x3C00,     // RST          just jump to next
+   (void *)0x4030,     // NMI          restart at main
+   main,               // External IRQ
+   (void *)0x3C00,     // SPI IRQ
+   (void *)0x3C00,     // PIO IRQ
+   (void *)0x4030,     // Timer IRQ
+   timerInterrupt,     // UART IRQ
+   (void *)0x4030,      // ADC IRQ
+   adcInterrupt  ,      // UMB IRQ
+   (void *)0x3C00,
+   (void *)0x3C00,
+   (void *)0x3C00,
+   (void *)0x3C00,
+   (void *)0x3C00,
+   (void *)0x4030,
+   junkInterrupt
+ };
+
diff --git a/msp4th/x.c~ b/msp4th/x.c~
new file mode 100644 (file)
index 0000000..52d98d3
--- /dev/null
@@ -0,0 +1,1604 @@
+
+// forth interp, written as simple as it can be.
+
+// This version works!
+
+// special version for debugging the Nathan chip.
+// last update 3/9/08
+
+#include <signal.h>
+
+#include <io.h>
+#include <iomacros.h>
+
+
+#define DEBUG_STUFF 1          // just print lots of junk
+#define CMD_LIST_SIZE 128
+#define MATH_STACK_SIZE 16
+#define ADDR_STACK_SIZE 32
+#define PROG_SPACE 256
+#define USR_OPCODE_SIZE 32
+
+#define BI_PROG_SHIFT 10000
+
+// expected I/O stuff
+//   Port B 0x0001 in
+//   Port B 0x0002 in
+//   Port B 0x0004 in
+//   Port B 0x0008 in
+//   Port B 0x0010 out serial output
+//   Port B 0x0020 in  serial input
+//   Port B 0x0040 out main loop toggle
+//   Port B 0x0080 out interrupt toggle
+//   Port B 0x0100
+//   Port B 0x0200
+//   Port B 0x0400
+//   Port B 0x0800
+//   Port B 0x1000
+//   Port B 0x2000
+//   Port B 0x4000
+//   Port B 0x8000
+
+#define PADSR_ 0x2000       
+#define PADIR_ 0x2004      // OEN
+#define PAOUT_ 0x2008      // was ODR
+#define PAPER_ 0x200C 
+
+#define PBDSR_ 0x2002
+#define PBDIR_ 0x2006
+#define PBOUT_ 0x200A
+#define PBIER_ 0x200E
+
+#define SPI_SCR_ 0x4000
+#define SPI_RDR_ 0x4002
+#define SPI_TDR_ 0x4004
+#define SPI_SR_  0x4006
+
+#define TMR0_TCR_ 0x6000
+#define TMR0_SR_  0x6002
+#define TMR0_CNT_ 0x6004
+#define TMR0_RA_  0x6006
+#define TMR0_RB_  0x6008
+#define TMR0_RC_  0x600A
+
+#define ADC0_CR_  0xA000
+#define ADC0_DR_  0xA001
+#define ADC1_CR_  0xA002
+#define ADC1_DR_  0xA003
+#define ADC2_CR_  0xA004
+#define ADC2_DR_  0xA005
+#define ADC3_CR_  0xA006
+#define ADC3_DR_  0xA007
+
+sfrw(PADSR,PADSR_);
+sfrw(PADIR,PADIR_);
+sfrw(PAOUT,PAOUT_);
+sfrw(PAPER,PAPER_);    // interrupt enable register
+
+sfrw(PBDSR,PBDSR_);
+sfrw(PBDIR,PBDIR_);
+sfrw(PBOUT,PBOUT_);
+sfrw(PBIER,PBIER_);    // interrupt enable register
+
+sfrw(SPI_SCR,SPI_SCR_);
+sfrw(SPI_RDR,SPI_RDR_);
+sfrw(SPI_TDR,SPI_TDR_);
+sfrw(SPI_SR ,SPI_SR_ );
+
+sfrw(TMR0_TCR,TMR0_TCR_);
+sfrw(TMR0_SR,TMR0_SR_);
+sfrw(TMR0_CNT,TMR0_CNT_);
+sfrw(TMR0_RA,TMR0_RA_);
+sfrw(TMR0_RB,TMR0_RB_);
+sfrw(TMR0_RC,TMR0_RC_);
+
+sfrw(ADC0_CR,ADC0_CR_);
+sfrw(ADC0_DR,ADC0_DR_);
+sfrw(ADC1_CR,ADC1_CR_);
+sfrw(ADC1_DR,ADC1_DR_);
+sfrw(ADC2_CR,ADC2_CR_);
+sfrw(ADC2_DR,ADC2_DR_);
+sfrw(ADC3_CR,ADC3_CR_);
+sfrw(ADC3_DR,ADC3_DR_);
+
+
+// must end in a space !!!!
+// The order is important .... don't insert anything!
+// the order matches the execN function
+
+const uint8_t cmdListBi[] = 
+             {"exit + - * / "                       // 1 -> 5
+              ". dup drop swap < "                  // 6 -> 10
+              "> = .hb gw dfn "                     // 11 -> 15
+              "keyt , p@ p! not "                   // 16 -> 20
+              "list if then else begin "            // 21 -> 25
+              "until clrb .h ] num "                // 26 -> 30
+              "push0 goto exec lu pushn "           // 31 -> 35
+              "over push1 pwrd emit ; "             // 36 -> 40
+              "@ ! h@ do loop "                     // 41 -> 45
+              "i b@ a! and or "                     // 46 -> 50
+              "*/ key cr hist histclr "             // 51 -> 55
+              "fasttimer slowtimer stat hstat fec " // 56 -> 60
+              "fecset fecbset fecbclr "             // 61 -> 65
+              };
+
+// these commands are interps
+
+const uint8_t cmdListBi2[] = {"[ : var "};
+
+// these values point to where in progBi[] these routines start
+
+const int16_t cmdList2N[] = {0,10000,10032,10135};  // need an extra zero at the front
+
+#define LAST_PREDEFINED 40     // update this when we add commands to the built in list
+
+int16_t mathStack[MATH_STACK_SIZE];
+
+int16_t addrStack[ADDR_STACK_SIZE];
+int16_t addrStackPtr;
+
+int16_t prog[PROG_SPACE];  // user programs are placed here
+int16_t progPtr;           // next open space for user opcodes
+int16_t progOps[USR_OPCODE_SIZE];
+int16_t progOpsPtr;
+uint8_t cmdList[CMD_LIST_SIZE];  // just a string of user defined names
+int16_t cmdListPtr;
+
+int16_t subSecondClock;
+int16_t fastTimer;
+int16_t slowTimer;
+
+
+int16_t *dirMemory;
+
+
+uint16_t buckets[260];  // use buckets[256] for total
+
+
+// to flag the initial built in functions from the rest, save the negative of them in the program space (prog).
+
+const int16_t progBi[] = { // address actually start at 10000
+
+   // this is the monitor in compiled forth code (by hand)
+
+   20025,        //   0 begin
+   20014,        //   1 gw      get word
+   20030,        //   2 num     test if number
+   20022,10008,  //   3 if
+   20031,        //   5 push0    push a zero on math stack
+   20032,10030,  //   6 goto     jump to until function
+
+   20008,        //   8 drop
+   20034,        //   9 lu       look up word
+   20022,10026,  //  10 if       did we found the word in the dictionary
+   
+   20035,']',    //  12 pushn    next value on math stack  look for ]
+
+   20036,        //  14 over
+   20012,        //  15 equal    test if function was a ']'
+   20022,10022,  //  16 if
+
+   20008,        //  18 drop     it was the ']' exit function
+   20037,        //  19 push1    put a true on the math stack 
+   20032,10030,  //  20 goto     jump to until func
+
+   20033,        //  22 exec     execute the function on the math stack (it is a call so we return to here)
+   20031,        //  23 push0
+   20032,10030,  //  24 goto     jump to until func
+   
+   // undefined string
+   
+   20035,'?',    //  26 pushn    put the '?' on math stack
+   20039,        //  28 emit     output the ? to the terminal
+   20031,        //  29 push0
+   
+   20026,        //  30 until
+   20040,        //  31 return function   
+
+
+
+   // this is the ':' function hand compiled
+   
+   20035,0x5555, //  32 just push a known value on the stack, will test at the end
+   20014,        //  34 get a word from the input
+
+   20015,        //  35 define it
+   20025,        //  36 begin
+
+   20014,        //  37 get a word 
+   20030,        //  38 see if number
+   20022,10047,  //  39 if
+   
+   // it is a number
+   
+   20035,20035,  //  41 put the push next number opcode on stack
+   20017,        //  43 put that opcode in the def
+   20017,        //  44 put the actual value next
+   20031,        //  45 push 0
+   20026,        //  46 until     // we can have many untils for one begin
+   
+   // wasn't a number, we need to test for many other things
+
+   20008,        //  47 drop   
+   20034,        //  48 look in dictionary
+   20020,        //  49 not
+
+
+   20022,10058,  //  50 if        not found .... let them know and just ignore
+   20035,'?',    //  52 push a '?' on the stack
+   20039,        //  54 emit
+   20038,        //  55 tell them what we couldn't find
+   20031,        //  56 push0
+   20026,        //  57 until
+   
+   // we found it in the dictionary
+   
+   20035,20022,  //  58 pushn     see if it is an if function
+   20036,        //  60 over
+   20012,        //  61 equal
+   20022,10070,  //  62 if
+   
+   // it is an if function
+
+   20017,        //  64 append the if statement to the stack (it was still on the stack
+   20043,        //  65 h@ get location of next free word
+   20007,        //  66 dup    ( leave a copy on the math stack for the "then" statement
+   20017,        //  67 append it to memory
+   20031,        //  68 push0
+   20026,        //  69 until
+   
+   // ********************** 
+     
+   20035,20024,  //  70 pushn     see if it is an "else" function
+   20036,        //  72 over
+   20012,        //  73 equal
+   20022,10088,  //  74 if
+   
+    //  it is an "else" statement
+    
+   20035,20032,  //  76 push a goto command on the math stack
+   20017,        //  78 append it to the program
+   20043,        //  79 h@ get location of next free word
+   20009,        //  80 swap
+   20017,        //  81 append
+   20009,        //  82 swap
+   20043,        //  83 h@
+   20009,        //  84 swap
+   20019,        //  85 !    this will be in prog space
+   20031,        //  86 push0
+   20026,        //  87 until
+   
+   // *******************************   
+
+   20035,20023,  //  88 pushn    see if it is a "then" function
+
+   20036,        //  90 over
+   20012,        //  91 equal    test if function was a 'then'
+   20022,10100,  //  92 if
+
+      // it is a "then"
+
+   20008,        //  94 drop
+   20043,        //  95 h@
+   20009,        //  96 swap
+   20019,        //  97 !
+   20031,        //  98 push0
+   20026,        //  99 until
+   
+   // *********************************
+   
+   20035,10001,  // 100 pushn    see if it is a "[" function
+
+   20036,        // 102 over
+   20012,        // 103 equal   
+   20022,10109,  // 104 if
+
+      // it is a "["
+   
+   10001,        // 106 recurse into the monitor
+   20031,        // 107 push0
+   20026,        // 108 until
+   
+   // ********************************************   
+   
+   20035,20040,  // 109 pushn    next value on math stack  look for built in func ';'
+
+   20036,        // 111 over
+   20012,        // 112 equal    test if function was a ';'
+   20020,        // 113 not
+   20022,10119,  // 114 if      
+
+         // this must be just an ordinary function ..... just push it in the prog
+
+   20017,        // 116 append   
+   20031,        // 117 push0
+   20026,        // 118 until
+   
+   //  must be the ';'
+
+   20017,        // 119 append return function to prog
+
+   20035,0x5555, // 120 just push a known value on the stack, will test at the end
+   20012,        // 122 equal
+   20020,        // 123 not
+   20022,10132,  // 124 if
+   
+   20035,'?',    // 126 push a '?' on the stack
+   20039,        // 128 emit
+   20035,'s',    // 129 push a 's' on the stack
+   20039,        // 131 emit
+
+   20037,        // 132 push1
+   20026,        // 133 until
+   20040,        // 134 return
+
+
+   // ***********************************************
+   // var    create a variable
+   
+   20043,        // 135 get address of variable
+   20031,        // 136 push0
+   20017,        // 137 append  ","
+   
+   20014,        // 138 get a word from the input
+   20015,        // 139 define it
+   20035,20035,  // 140 put the push next number opcode on stack
+   20017,        // 142 append the pushn instruction    
+   20017,        // 143 append the address we want to push
+   20035,20040,  // 144 put a return instruction on stack
+   20017,        // 146 put the return instruction in prog
+   20040,        // 147 return
+   
+   };   
+         
+int16_t progCounter;
+
+uint8_t lineBuffer[128];      /* input line buffer */
+
+uint16_t lineBufferPtr;                 /* input line buffer pointer */
+// uint8_t xit;                    /* set to 1 to kill program */
+
+uint8_t wordBuffer[32];                // just get a word
+
+
+
+
+// variables for the non interrupt driven output
+
+volatile uint16_t outputCharN;
+volatile uint16_t outputCharCntrN;
+
+// variables for the interrupt driven I/O
+
+volatile uint16_t outputChar;
+volatile uint16_t outputCharCntr;
+volatile uint16_t clicks;      // counts at 9,600 hz
+
+uint16_t outputRing[16];
+volatile uint16_t outputRingPtrXin;    // this is where the next char goes in 
+volatile uint16_t outputRingPtrXout;   // where the next char will come out
+
+
+volatile uint16_t inputChar;
+volatile uint16_t inputCharX;
+volatile uint16_t inputCharCntr;
+
+volatile uint16_t inputCharBit;
+
+uint8_t inputRing[16];
+volatile uint16_t inputRingPtrXin;   // if Xin == Xout, the buffer is empty
+volatile uint16_t inputRingPtrXout;
+
+
+uint8_t inputBuf[128];  // hold input line for processing
+uint8_t inputBufPtr;
+
+
+int16_t fecShadow[3];
+
+
+const uint16_t biasVoltage[16] = {
+
+  // DAC0
+
+  1618,  //  0 Bias Adj 1.58
+  2918,  //  1 Vfix 2.85
+  2509,  //  2 feedback voltage 2.3, now 2.7
+  1434,  //  3 external threshold 1.35, now 1.4
+  2048,  //  4 Diode Bias 0 2
+  2048,  //  5 Diode Bias 1 2
+  2048,  //  6 Diode Bias 2 2
+  2048,  //  7 Diode Bias 3 2
+
+  // DAC1
+
+   563,  //  8 ADC Bias N      0.55
+   870,  //  9 ADC Bias N Casc 0.85
+  2232,  // 10 ADC Bias P Casc 2.18
+  2560,  // 11 ADC Bias P      2.50
+   563,  // 12 Bias N          0.55
+   870,  // 13 Bias N          0.85
+  2232,  // 14 Bias P          2.18
+  2560   // 15 Bias P          2.50
+};
+
+
+NAKED(_reset_vector__){
+  __asm__ __volatile__("br #main"::);
+}
+
+uint16_t ad_int_tmp;
+
+// the vector number does not matter .... we create the
+// table at the end of the code, but they cant match
+
+
+interrupt (0) junkInterrupt(void){
+  // I just trap unused interrupts here
+//  dumy1++;    // xxxxxx
+}
+
+interrupt(2) adcInterrupt(void){
+  // read all 4 a/d converter ports
+
+  ad_int_tmp = ADC0_CR;    // get value and status
+  if(ad_int_tmp & 1){
+    ad_int_tmp &= 0xFFFE;
+    ADC0_CR = ad_int_tmp;  // clear bit
+    buckets[256]++;  // inc total count
+    ad_int_tmp = ad_int_tmp >> 8;  // get high byte
+    buckets[ad_int_tmp]++;
+  }
+  ad_int_tmp = ADC1_CR;    // get value and status
+  if(ad_int_tmp & 1){
+    ad_int_tmp &= 0xFFFE;
+    ADC1_CR = ad_int_tmp;  // clear bit
+    buckets[257]++;  // inc total count
+    ad_int_tmp = ad_int_tmp >> 8;  // get high byte
+    buckets[ad_int_tmp]++;
+  } 
+  ad_int_tmp = ADC2_CR;    // get value and status
+  if(ad_int_tmp & 1){
+    ad_int_tmp &= 0xFFFE;
+    ADC2_CR = ad_int_tmp;  // clear bit
+    buckets[258]++;  // inc total count
+    ad_int_tmp = ad_int_tmp >> 8;  // get high byte
+    buckets[ad_int_tmp]++;
+  }
+  ad_int_tmp = ADC3_CR;    // get value and status
+  if(ad_int_tmp & 1){
+    ad_int_tmp &= 0xFFFE;
+    ADC3_CR = ad_int_tmp;  // clear bit
+    buckets[259]++;  // inc total count
+    ad_int_tmp = ad_int_tmp >> 8;  // get high byte
+    buckets[ad_int_tmp]++;
+  }
+}
+
+
+interrupt (4) timerInterrupt(void){
+
+  // if the PBDSR is read in the next instruction after TMR0_SR
+  // something didn't work ..... so I put 1 instruction next
+  // and it worked much better.
+
+
+  TMR0_SR = 0;
+
+  clicks++;
+
+  inputCharBit = PADSR;   
+
+  inputCharBit = inputCharBit & 0x0020;
+
+  if((clicks & 0x03) == 0){   // we are going to work at 2400 baud
+
+
+    if(outputCharCntr){
+      if(outputChar & 1){
+        PAOUT |= 0x0010;
+      } else {
+        PAOUT &= 0xFFEF;
+      }
+      outputCharCntr--;
+      outputChar = outputChar >> 1;
+    } else {
+      // we are not outputting anything .... check the buffer
+      if(outputRingPtrXin != outputRingPtrXout){
+        outputChar = outputRing[outputRingPtrXout];
+        outputRingPtrXout++;
+        outputRingPtrXout &= 0x0F;
+        outputChar = outputChar << 1;
+        outputChar += 0xFE00;
+        outputCharCntr = 10;
+      }
+    } 
+
+    // clock stuff     2400 hz
+    if(fastTimer){
+      fastTimer--;
+    }
+    subSecondClock--;
+    if(subSecondClock == 0){
+      subSecondClock = 2400;
+      if(slowTimer){
+        slowTimer--;
+      }
+    }
+  }
+
+  if(inputCharCntr){   // did we already get a start bit ??????
+    // now get the data bits
+    inputCharCntr--;
+
+    if((inputCharCntr & 0x0003) == 0 && (inputCharCntr & 0x00FC) != 0){
+      if(inputCharBit){
+        // shift in a 1
+        inputCharX |= 0x0100;
+      }
+      inputCharX = inputCharX >> 1;
+     
+      if(inputCharCntr == 0x04){  // last data bit
+        inputRing[inputRingPtrXin] = inputCharX;
+        inputRingPtrXin = (inputRingPtrXin+1) & 0x0F;
+      }
+
+    }
+
+  } else {
+    // waiting for start bit  
+    if(inputCharBit == 0){
+      // We have a start bit .... 
+      inputCharCntr = 38;
+      inputCharX = 0;
+    }      
+  } 
+
+
+
+
+
+}
+
+static void __inline__ delay(register unsigned int n){
+  __asm__ __volatile__ (
+      "1: \n"
+      " dec    %[n] \n"
+      " jne    1b \n"
+      : [n] "+r"(n));
+}
+
+void emit(uint8_t c){
+  uint8_t i;
+  i = outputRingPtrXin;
+  i = (i+1) & 0x0F;
+  while(outputRingPtrXout != outputRingPtrXin){   // wait for output buffer to have space
+    eint();
+  }
+  outputRing[outputRingPtrXin] = c;
+  outputRingPtrXin = i;
+}
+
+
+uint8_t getKey(){
+  uint8_t i;
+
+  while(inputRingPtrXin == inputRingPtrXout){  // hang until we get a char
+    eint();
+  }
+  i = inputRing[inputRingPtrXout++];
+  inputRingPtrXout &= 0x0F;
+  return(i);
+} 
+
+
+
+void sendToFEC(uint16_t *v){  // xxxxx  need to add to forth interp
+  uint8_t i;
+
+  while((SPI_SR & 0x0002)==0);
+  SPI_SCR = 0x00B0;    // note clock polarity is different than in dac
+  PAOUT |= 0x0003;      // select FEC
+
+  for(i=0;i<3;i++){
+    SPI_TDR = v[i];
+    while((SPI_SR & 0x0002)==0);
+  }
+
+  PAOUT &= 0xFFFC;     // clear select lines.
+
+}
+
+
+void sendToDAC(uint8_t c,uint16_t v){  // xxxxx need to add to forth interp
+
+  uint16_t x;
+
+  // send specified 16 bit value to DAC x
+
+
+  while((SPI_SR & 0x0002)==0);
+
+  // setup SPI for dacs
+  
+  SPI_SCR = 0x0090;
+  
+  if(!c){
+    // dac 0
+    PAOUT &= 0xFFFC;
+    PAOUT |= 0x0002;
+  } else {
+    PAOUT &= 0xFFFC;
+    PAOUT |= 0x0001;
+  }
+  SPI_TDR = v;
+  
+  while((SPI_SR & 0x0002) == 0);   // wait for spi to be not busy.
+
+}
+
+
+void setDAC(uint8_t c,uint16_t v){
+  // c is the channel to write to 
+  // v is the value.
+  uint8_t i;
+  uint16_t k;
+  
+  if(c & 0x08){
+    i = 1;
+  } else {
+    i = 0;
+  }
+
+  k = c & 0x0007;  // channel number
+  k = (k << 12) | v;
+
+  sendToDAC(i,k);
+
+}
+
+
+void setupDACs(){
+
+  sendToDAC(0,0x803C); // 2x gain, bufered reference
+  sendToDAC(1,0x803C);
+  sendToDAC(0,0xC000);
+  sendToDAC(1,0xC000);
+
+}
+
+
+void setAllDACs(){
+  uint8_t i;
+  for(i=0;i<16;i++){
+    setDAC(i,biasVoltage[i]);
+  }
+}
+
+
+/* void setupTest(){
+  uint16_t x;
+
+  while((SPI_SR & 0x0002) == 0);
+  
+  
+  delay(1000);  
+  
+  
+  if(inputBuf[1] == '0'){
+    // dac 0
+    PAOUT &= 0xFFFD;
+    PAOUT |= 0x0002;
+//  } else {
+//    PAOUT &= 0xFFFE;
+//    PAOUT |= 0x0001;
+  }
+  SPI_TDR = 0x803C;
+  
+//  while((SPI_SR & 0x0002) == 0);   // wait for spi to be not busy.
+//  x = 0xFFFF;
+//   // wait for spi to be not busy.
+//  while((SPI_SR & 0x0002) == 0 && x != 0){
+//    x--;
+//  }  
+
+  delay(1000);
+
+  
+}*/
+
+
+void setupADC(){
+  uint16_t v[3];
+  v[0] = 0x0A19;
+  v[1] = 0x0041;
+  v[2] = 0x05F0;
+  sendToFEC(v);
+  v[0] = 0xA19F;
+  v[1] = 0x045C;
+  v[2] = 0x1FF0;
+  sendToFEC(v);
+  
+}
+
+
+void initVars(){
+
+  // I override the C startup code .... so I must init all vars.
+
+  outputCharCntrN = 0;
+
+  outputCharCntr = 0;
+  inputCharCntr = 0;
+
+  inputRingPtrXin = 0;
+  inputRingPtrXout = 0;
+
+  outputRingPtrXin = 0;
+  outputRingPtrXout = 0;
+
+  inputBufPtr = 0;
+
+
+  
+}
+
+
+uint8_t getKeyB(){
+  uint8_t i;
+  i = lineBuffer[lineBufferPtr];
+  if(i != 0) lineBufferPtr++;
+  return(i);
+}
+
+
+void printHexByte(int16_t n);
+
+
+
+
+
+void getLine(){
+  int16_t i;
+  lineBufferPtr = 0;
+
+  emit(0x0D);
+  emit(0x0A);
+  emit('>');   // this is our prompt
+
+  i = 1;
+  while(i){  // just hang in loop until we get CR
+    i = getKey();
+    if(i == 0x08){
+      if(lineBufferPtr > 0){
+        emit(0x08);
+        emit(' ');
+        emit(0x08);
+        lineBufferPtr--;
+      }
+    } else {
+      emit(i);
+      if(i == 0x0D){
+        // hit cr
+        lineBuffer[lineBufferPtr] = 0;
+        i = 0;
+      } else {
+
+        lineBuffer[lineBufferPtr++] = i;
+        lineBuffer[lineBufferPtr] = 0;
+
+        if(lineBufferPtr > 125){  // prevent overflow of line buffer
+          i=0;
+        }
+      }
+    }
+  }
+  emit(0x0A);
+  lineBufferPtr = 0;
+}
+
+
+void getWord(){
+  int16_t k;
+  uint8_t c;
+  wordBuffer[0] = 0;
+  while(wordBuffer[0] == 0){
+    k = 0;
+    c = getKeyB();
+    while(( c <= ' ') && ( c != 0 )) c = getKeyB();    /* strip leading spaces */
+    if( c > 0 ){
+      if( c == '"' ){
+        c = getKeyB();
+        while((c != '"')&&(c != 0)){
+          if(c != '"') wordBuffer[k++] = c;
+          c = getKeyB();
+        }
+      } else {
+        while(c > ' ' && c != 0){
+          wordBuffer[k++] = c;
+          c = getKeyB();
+        }
+      }
+      wordBuffer[k] = 0;
+    } else {
+      wordBuffer[0] = 0;
+      getLine();     
+    }
+  }
+}
+
+void printString(const uint8_t *c){
+  while(c[0]){
+    emit(c[0]);
+    c++;
+  }
+}
+
+
+int16_t sc(uint8_t *x,uint8_t *y){
+  int16_t i;
+  i = 1;
+  while(x[0] != 0 && y[0] != 0){
+    if(x[0] != y[0]){
+      i = 0;
+    }
+    x++;
+    y++;
+  }
+  return(i);
+}
+
+void inline listFunction(){
+  printString(cmdListBi);
+  printString(cmdListBi2);
+  printString(cmdList);
+}
+  
+int16_t popMathStack(){
+  int16_t i,j;
+
+  j = mathStack[0];
+  for(i=1;i<MATH_STACK_SIZE;i++){
+    mathStack[i-1] = mathStack[i];
+  }
+
+  return(j);
+}
+
+void pushMathStack(int16_t n){
+  uint16_t i;
+  for(i=MATH_STACK_SIZE - 2;i > 0;i--){
+    mathStack[i] = mathStack[i-1];
+  }
+  mathStack[0] = n;
+}
+
+int16_t popAddrStack(){
+  int16_t j;
+  j = addrStack[addrStackPtr];
+  addrStackPtr++;
+  return(j);
+}
+
+void pushAddrStack(int16_t n){
+  addrStackPtr--;
+  addrStack[addrStackPtr] = n;
+}
+
+int16_t lookupToken(uint8_t *x,uint8_t *l){    // looking for x in l
+  int16_t i,j,k,n;
+  j = 0;
+  k = 0;
+  n=1;
+  i=0;
+  while(l[i] != 0){
+    if(x[j] != 0){   
+      // we expect the next char to match
+      if(l[i] == ' '){
+        // can't match x is longer than the one we were looking at
+        j = 0;
+        n++;
+        while(l[i] > ' '){ i++; }
+      } else {
+        if(l[i] == x[j]){
+          j++;
+        } else {
+          j = 0;
+          while(l[i] > ' '){ i++; }
+          n++;
+        }
+      }
+    } else {
+      // ran out of input ... did we hit the space we expected???
+      if(l[i] == ' '){
+        // we found it.
+        k = n;
+        while(l[i] != 0){
+          i++;
+        }
+      } else {
+        // missed it
+        j = 0;
+        n++;
+        while(l[i] > ' '){ i++; }
+
+      }
+    }
+    i++;
+  }
+
+  return(k);
+}
+
+void luFunc(){
+  int16_t i;
+  
+  i = lookupToken(wordBuffer,(uint8_t *)cmdListBi);
+  
+  if(i){
+    i += 20000;
+    pushMathStack(i);
+    pushMathStack(1);
+  } else {
+    // need to test internal interp commands
+    i = lookupToken(wordBuffer,(uint8_t *)cmdListBi2);
+    if(i){
+      i += 10000;
+      pushMathStack(i);
+      pushMathStack(1);
+    } else {
+      i = lookupToken(wordBuffer,cmdList);
+      if(i){
+        pushMathStack(i);
+        pushMathStack(1);
+      } else {
+        pushMathStack(0);
+      }
+    }
+  }  
+} 
+
+void numFunc(){  // the word to test is in wordBuffer
+  int16_t i,j,n;
+  // first check for neg sign
+  i = 0;
+  if(wordBuffer[0] == '-'){
+    i++;
+  }
+  if((wordBuffer[i] >= '0') && (wordBuffer[i] <= '9')){
+    // it is a number 
+    j = 1;
+    // check if hex
+    if(wordBuffer[0] == '0' && wordBuffer[1] == 'x'){
+      // base 16 number ... just assume all characters are good
+      i=2;
+      n = 0;
+      while(wordBuffer[i]){
+        n = n << 4;
+        n += wordBuffer[i] - '0';
+        if(wordBuffer[i] > '9'){
+          n += -7;
+        }
+        i++;
+      }
+    } else {
+      // base 10 number
+      n = 0;
+      while(wordBuffer[i]){
+        n *= 10;
+        n += wordBuffer[i] - '0';
+        i++;
+      }
+      if(wordBuffer[0] == '-'){
+        n = -n;
+      }
+    }
+  } else {
+    n = 0;
+    j = 0;
+  }
+  pushMathStack(n);
+  pushMathStack(j);
+}
+
+void ifFunc(uint8_t x){     // used as goto if x == 1
+  int16_t addr;
+  int16_t i;
+  if(progCounter > 9999){
+    addr = progBi[progCounter - 10000];
+  } else {
+    addr = prog[progCounter];
+  }
+  progCounter++;
+
+  if(x == 1){
+    // this is a goto
+    progCounter = addr;
+  } else {
+    // this is the "if" processing
+    i = popMathStack();
+    if(!i){
+      progCounter = addr;
+    }
+  }
+}
+
+void pushnFunc(){
+  int16_t i;
+  if(progCounter > 9999){
+    i = progBi[progCounter - 10000];
+  } else {
+    i = prog[progCounter];
+  }
+  progCounter++;
+  pushMathStack(i);
+}
+
+void overFunc(){
+  int16_t i;
+  i = mathStack[1];
+  pushMathStack(i);
+}
+
+void dfnFunc(){
+  uint16_t i;
+  // this function adds a new def to the list and creats a new opcode
+  i = 0;
+  while(wordBuffer[i]){
+    cmdList[cmdListPtr++] = wordBuffer[i];
+    i++;
+  }
+  cmdList[cmdListPtr++] = ' ';
+  cmdList[cmdListPtr] = 0;
+  i = lookupToken(wordBuffer,cmdList);
+  progOps[i] = progPtr;
+}
+
+
+void printNumber(int16_t n){
+  int16_t k,x[7];
+  int16_t i,j;
+  k = n;
+  if(k < 0){
+    k = -k;
+  }
+
+  i=0;
+  do{
+    j = k % 10;
+    k = k / 10;
+
+    x[i++] = j + '0';
+  }while(k);
+  i--;
+  
+  if(n < 0){
+    emit('-');
+  }
+  do{
+    emit(x[i--]);
+  }while(i >= 0);
+  emit(' ');
+}
+
+void printHexChar(int16_t n){
+  n &= 0x0F;
+  if(n > 9){
+    n += 7;
+  }
+  n += '0';
+  emit(n);
+}
+
+void printHexByte(int16_t n){
+  n &= 0xFF;
+  printHexChar(n >> 4);
+  printHexChar(n);
+}
+
+void printHexWord(int16_t n){
+  printHexByte(n >> 8);
+  printHexByte(n);
+}
+
+void execN(int16_t n); // proto ... this could get recursive
+
+void execFunc(){
+  int16_t opcode;
+  opcode = popMathStack();
+
+  if(opcode > 19999){
+    // this is a built in opcode
+
+    execN(opcode - 20000);
+
+  } else if(opcode > 9999){
+
+    pushAddrStack(progCounter);
+    progCounter = cmdList2N[opcode-10000];
+
+  } else {
+
+    pushAddrStack(progCounter);
+    progCounter = progOps[opcode];
+
+  }
+
+}
+
+
+void execN(int16_t n){
+  int16_t i,j,k,m;
+  int32_t x,y,z;
+  switch(n){
+    case 1:
+  //    xit = 1;
+      break;
+    case 2:
+      // +
+      mathStack[1] += mathStack[0];
+      popMathStack();
+      break;
+    case 3:
+      // -
+      mathStack[1] += -mathStack[0];
+      popMathStack();
+      break;
+    case 4:
+      // *
+      mathStack[1] = mathStack[0] * mathStack[1];
+      popMathStack();
+      break;
+    case 5:
+      // /
+      mathStack[1] = mathStack[1] / mathStack[0];
+      popMathStack();
+      break;
+    case 6:
+      // .
+      printNumber(popMathStack());
+      break;
+    case 7:
+      // dup
+      pushMathStack(mathStack[0]);
+      break;
+    case 8:
+      // drop
+      i = popMathStack();
+      break;
+    case 9:
+      // swap
+      i = mathStack[0];
+      mathStack[0] = mathStack[1];
+      mathStack[1] = i;
+      break;
+    case 10:
+      // <
+      i = popMathStack();
+      if(mathStack[0] < i){
+        mathStack[0] = 1;
+      } else {
+        mathStack[0] = 0;
+      }
+      break;      
+    case 11:
+      // >
+      i = popMathStack();
+      if(mathStack[0] > i){
+        mathStack[0] = 1;
+      } else {
+        mathStack[0] = 0;
+      }
+      break;      
+    case 12:
+      // =
+      i = popMathStack();
+      if(i == mathStack[0]){
+        mathStack[0] = 1;
+      } else {
+        mathStack[0] = 0;
+      }
+      break;      
+
+    case 13:
+      printHexByte(popMathStack());
+      break;
+
+    case 14:
+      getWord();
+      break;
+
+    case 15:
+      dfnFunc();
+      break;
+
+    case 16: // keyt
+      // return a 1 if keys are in ring buffer
+     i = (inputRingPtrXin - inputRingPtrXout) & 0x0F;    // logical result assigned to i
+     pushMathStack(i);
+     break;
+
+    case 17: // allot
+      prog[progPtr++] = popMathStack();
+      if(progPtr >= PROG_SPACE){
+        printString("prog mem");
+      }
+      break;
+
+    case 18:  // @
+      i = mathStack[0];
+      mathStack[0] = prog[i];
+      break;
+
+    case 19:  // !
+      i = popMathStack();
+      j = popMathStack();
+      prog[i] = j;
+      break;
+
+    case 20: // not
+      if(mathStack[0]){
+        mathStack[0] = 0;
+      } else {
+        mathStack[0] = 1;
+      }
+      break;
+
+    case 21: // list
+      listFunction();
+      break;
+
+    case 22: // if
+      ifFunc(0);
+      break;
+
+//    case 23: // then      ( trapped in ':')
+//      break;
+
+//    case 24: // else      ( trapped in ':')
+//      break;
+
+    case 25:  // begin
+      pushAddrStack(progCounter);
+      break;
+
+    case 26:  // until
+      i = popAddrStack();
+      j = popMathStack();
+      if(!j){
+        addrStackPtr--;  // number is still there ... just fix the pointer
+        progCounter = i;
+      }
+      break;    
+
+    case 27:  // clrb   clear a/d converter buckets
+      for(i=0;i<256;i++){
+        buckets[i] = 0;
+      }
+      break;
+      
+    case 28:  // .h
+      printHexWord(popMathStack());
+      break;
+
+
+    case 30:  // num
+      numFunc();
+      break;
+
+    case 31:  // push0
+      pushMathStack(0);
+      break;
+
+    case 32:  // goto   ( for internal use only )
+      ifFunc(1);
+      break;
+
+    case 33: // exec
+      execFunc();
+      break;
+
+    case 34: // lu
+      luFunc();
+      break;
+
+    case 35: // pushn   ( internal use only )
+      pushnFunc();
+      break;
+
+    case 36: // over
+      overFunc();
+      break;
+
+    case 37:  // push1
+      pushMathStack(1);
+      break;
+
+    case 38: // pwrd
+      printString(wordBuffer);
+      break;
+
+    case 39: // emit
+      emit(popMathStack());
+      break;
+
+    case 40: // ;
+      i = progCounter;
+      progCounter = popAddrStack();
+      break;
+
+    case 41: // @ read directly from memory address
+      i = popMathStack();
+      i = i >> 1;  // divide by to   
+      j = dirMemory[i];
+      pushMathStack(j);
+      break;
+      
+    case 42: // ! write directly to memory address words only!
+      i = popMathStack();  //  address to write to
+      i = i >> 1;
+      j = popMathStack();  //  value to write
+      dirMemory[i] = j;
+      break;
+
+    case 43: // h@
+      pushMathStack(progPtr);
+      break;
+
+    case 44: // do
+      i = popMathStack();  // start of count
+      j = popMathStack();  // end count
+      k = progCounter;
+
+      pushAddrStack(j);  // limit on count
+      pushAddrStack(i);  // count  (I)
+      pushAddrStack(k);  // address to remember for looping
+      break;
+
+    case 45: // loop
+      j = popAddrStack();  // loop address
+      k = popAddrStack();  // count
+      m = popAddrStack();  // limit
+      k++;                // up the count
+      if(k >= m){
+        // we are done
+      } else {
+        // put it all back and loop
+        pushAddrStack(m);
+        pushAddrStack(k);
+        pushAddrStack(j);
+        progCounter = j;
+
+      }
+      break;
+      
+    case 46: // i
+      j = addrStack[addrStackPtr+1];
+      pushMathStack(j);
+      break;
+
+    case 47: // b@
+      i = mathStack[0];
+      mathStack[0] = buckets[i];
+      break;
+      
+    case 48:
+      i = popMathStack();  // address
+      j = popMathStack();  // value
+      setDAC(i,j);
+      break;
+
+    case 49: // and
+      mathStack[1] &= mathStack[0];
+      popMathStack();
+      break;
+
+    case 50: // or
+      mathStack[1] |= mathStack[0];
+      popMathStack();
+      break;
+
+    case 51: // */    scale function
+      x = popMathStack();
+      y = popMathStack();
+      z = mathStack[0];
+      z = (z*y)/x;
+      mathStack[0] = z;
+      break;
+      
+    case 52: // key     get a key from input .... (wait for it)
+      pushMathStack(getKey());
+      break;
+
+    case 53: // cr
+      emit(0x0D);
+      emit(0x0A);
+      break;
+
+    case 54: // hist
+      i = mathStack[0];
+      mathStack[0] = buckets[i];
+      break;
+      
+    case 55: // histclr
+      for(i=0;i<260;i++){
+        buckets[i] = 0;
+      }
+      break;
+
+    case 56: // fasttimer
+      i = (int16_t )&fastTimer;
+      i = i>>1;
+      pushMathStack(i);
+      break;
+
+    case 57:  // slowtimer
+      i = (int16_t )&slowTimer;
+      i = i>>1;
+      pushMathStack(i);
+      break;
+
+    case 58: // hstat
+      for(i=256;i<260;i++){
+        printHexWord(buckets[i]);
+        emit(' ');
+      }
+      break;
+
+    case 59:
+      for(i=0;i<256;i++){
+        if(buckets[i]){
+          printHexByte(i);
+          emit(' ');
+          printHexWord(buckets[i]);
+          emit(0x0D);
+          emit(0x0A);
+        }
+      }
+      break;
+
+    case 60: // fec
+      printHexWord(fecShadow[2]);
+      emit(' ');
+      printHexWord(fecShadow[1]);
+      emit(' ');
+      printHexWord(fecShadow[0]);
+      break;      
+
+    case 61: // fecset
+      fecShadow[0] = popMathStack();   // lsb
+      fecShadow[1] = popMathStack();
+      fecShadow[2] = popMathStack();   //msb
+      sendToFEC(fecShadow);
+      break;
+
+    case 62: // fecbset
+      i = popMathStack();
+      if(i < 48 && i >= 0){
+        j = i >> 4;  // find the byte
+        i = i & 0x0F; // find the bit
+        i = 1 << i;   // get the bit location
+        fecShadow[j] |= i;
+      }
+      sendToFEC(fecShadow);
+      break;
+
+    case 63: // fecbclr
+      i = popMathStack();
+      if(i < 48 && i >= 0){
+        j = i >> 4;  // find the byte
+        i = i & 0x0F; // find the bit
+        i = 1 << i;   // get the bit location
+        fecShadow[j] &= ~i;
+      }
+      sendToFEC(fecShadow);
+      break;
+
+    default:
+      printString((uint8_t *)"opcode ");      
+      break;
+  }
+}
+
+void processLoop(){            // this processes the forth opcodes.
+  int16_t opcode;
+
+
+  while(1){
+
+    if(progCounter > 9999){
+      opcode = progBi[progCounter - 10000];
+    } else {
+      opcode = prog[progCounter];
+    }
+
+    progCounter++;
+
+    if(opcode > 19999){
+      // this is a built in opcode
+      execN(opcode - 20000);
+    } else {
+      pushAddrStack(progCounter);
+      progCounter = progOps[opcode];
+    }
+  }
+}
+
+
+int main(void){
+  int16_t i;
+
+  PAPER = 0x000C;
+  PAOUT = 0x0000;
+  PADIR = 0x001F;  // set data direction registers
+
+  initVars();
+
+  TMR0_CNT = 0x0000;
+  TMR0_SR = 0;
+  TMR0_RC = 1059;
+  TMR0_TCR = 0x003C;
+
+  emit(0x00);   
+
+
+//  xit = 0;
+  addrStackPtr = ADDR_STACK_SIZE;    // this is one past the end !!!! as it should be
+  progCounter = 10000;
+  progPtr = 1;                 // this will be the first opcode
+  i=0;
+  cmdListPtr = 0;
+  cmdList[0] = 0;
+  progOpsPtr = 1;      // just skip location zero .... it makes it easy for us
+
+  dirMemory = (void *) 0;   // its an array starting at zero
+
+  setupDACs();    //
+  setAllDACs();   // start off at default values
+
+  processLoop();
+
+
+/*  while(1){
+
+    eint();
+
+    // test ADC
+    if(ADC3_CR & 1){
+      // we hit something
+      ADC3_CR = 0;   // clear the flag
+ //     dumy3++;
+    }
+
+ //   dumy0++;   
+
+  } */
+}
+
+NAKED(_unexpected_){
+ __asm__ __volatile__("br #main"::);
+
+}
+
+
+INTERRUPT_VECTORS = { 
+
+   (void *)0x3C00,     // RST          just jump to next
+   (void *)0x4030,     // NMI          restart at main
+   main,               // External IRQ
+   (void *)0x3C00,     // SPI IRQ
+   (void *)0x3C00,     // PIO IRQ
+   (void *)0x4030,     // Timer IRQ
+   timerInterrupt,     // UART IRQ
+   (void *)0x4030,      // ADC IRQ
+   adcInterrupt  ,      // UMB IRQ
+   (void *)0x3C00,
+   (void *)0x3C00,
+   (void *)0x3C00,
+   (void *)0x3C00,
+   (void *)0x3C00,
+   (void *)0x4030,
+   junkInterrupt
+ };
+
diff --git a/msp4th/x.lst b/msp4th/x.lst
new file mode 100644 (file)
index 0000000..8c24bb2
--- /dev/null
@@ -0,0 +1,21934 @@
+GAS LISTING /tmp/ccYnJkHJ.s                    page 1
+
+
+   1                           .file   "x.c"
+   2                           .arch msp430x2013
+   3                   
+   4                           .section        .debug_abbrev,"",@progbits
+   5                   .Ldebug_abbrev0:
+   6                           .section        .debug_info,"",@progbits
+   7                   .Ldebug_info0:
+   8                           .section        .debug_line,"",@progbits
+   9                   .Ldebug_line0:
+  10                           .text
+  11                   .Ltext0:
+  12                           .p2align 1,0
+  13                   .global _reset_vector__
+  14                           .type   _reset_vector__,@function
+  15                   /***********************
+  16                    * Function `_reset_vector__' 
+  17                    ***********************/
+  18                   _reset_vector__:
+  19                   .LFB0:
+  20                   .LM1:
+  21                           /* prologue: naked */
+  22                   .L__FrameSize__reset_vector__=0x0
+  23                   .LM2:
+  24                   /* #APP */
+  25                    ;  428 "x.c" 1
+  26 0000 3040 0000            br #main
+  27                    ;  0 "" 2
+  28                   
+  29                           /* epilogue: naked */
+  30                   .LM3:
+  31                   /* #NOAPP */
+  32                   .LFE0:
+  33                   .Lfe1:
+  34                           .size   _reset_vector__,.Lfe1-_reset_vector__
+  35                   ;; End of function 
+  36                   
+  37                           .p2align 1,0
+  38                   .global junkInterrupt
+  39                   .global vector_ffe0
+  40                           .type   junkInterrupt,@function
+  41                   /***********************
+  42                    * Interrupt Service Routine `junkInterrupt' at 0xffe0
+  43                    ***********************/
+  44                   vector_ffe0:
+  45                   junkInterrupt:
+  46                   .LFB1:
+  47                   .LM4:
+  48                           /* prologue ends here (frame size = 0) */
+  49                   .L__FrameSize_junkInterrupt=0x0
+  50                   .L__FrameOffset_junkInterrupt=0x0
+  51                   
+  52                           /* epilogue: not required */
+  53                   .LM5:
+  54 0004 0013                 reti
+  55                   .LFE1:
+  56                   .Lfe2:
+  57                           .size   junkInterrupt,.Lfe2-junkInterrupt
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 2
+
+
+  58                   ;; End of function 
+  59                   
+  60                           .p2align 1,0
+  61                   .global adcInterrupt
+  62                   .global vector_ffe2
+  63                           .type   adcInterrupt,@function
+  64                   /***********************
+  65                    * Interrupt Service Routine `adcInterrupt' at 0xffe2
+  66                    ***********************/
+  67                   vector_ffe2:
+  68                   adcInterrupt:
+  69                   .LFB2:
+  70                   .LM6:
+  71 0006 0F12                 push    r15
+  72                   .LCFI0:
+  73 0008 0E12                 push    r14
+  74                   .LCFI1:
+  75                           /* prologue ends here (frame size = 0) */
+  76                   .L__FrameSize_adcInterrupt=0x0
+  77                   .L__FrameOffset_adcInterrupt=0x4
+  78                   .LM7:
+  79 000a 1F42 00A0            mov     &0xA000, r15 
+  80 000e 824F 0000            mov     r15, &ad_int_tmp 
+  81                   .LM8:
+  82 0012 1FB3                 bit     #1,r15
+  83 0014 0C24                 jeq     .L6
+  84                   .LM9:
+  85 0016 1FC3                 bic     #1,r15
+  86                   .LM10:
+  87 0018 824F 00A0            mov     r15, &0xA000 
+  88                   .LM11:
+  89 001c 9253 0000            add     #1, &buckets+512
+  90                   .LM12:
+  91 0020 8F10                 swpb    r15
+  92 0022 7FF3                 and.b   #-1,r15
+  93 0024 824F 0000            mov     r15, &ad_int_tmp 
+  94                   .LM13:
+  95 0028 0F5F                 rla     r15
+  96 002a 9F53 0000            add     #1, buckets(r15)
+  97                   .L6:
+  98                   .LM14:
+  99 002e 1F42 02A0            mov     &0xA002, r15 
+ 100 0032 824F 0000            mov     r15, &ad_int_tmp 
+ 101                   .LM15:
+ 102 0036 1FB3                 bit     #1,r15
+ 103 0038 0C24                 jeq     .L7
+ 104                   .LM16:
+ 105 003a 1FC3                 bic     #1,r15
+ 106                   .LM17:
+ 107 003c 824F 02A0            mov     r15, &0xA002 
+ 108                   .LM18:
+ 109 0040 9253 0000            add     #1, &buckets+514
+ 110                   .LM19:
+ 111 0044 8F10                 swpb    r15
+ 112 0046 7FF3                 and.b   #-1,r15
+ 113 0048 824F 0000            mov     r15, &ad_int_tmp 
+ 114                   .LM20:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 3
+
+
+ 115 004c 0F5F                 rla     r15
+ 116 004e 9F53 0000            add     #1, buckets(r15)
+ 117                   .L7:
+ 118                   .LM21:
+ 119 0052 1F42 04A0            mov     &0xA004, r15 
+ 120 0056 824F 0000            mov     r15, &ad_int_tmp 
+ 121                   .LM22:
+ 122 005a 1FB3                 bit     #1,r15
+ 123 005c 0C24                 jeq     .L8
+ 124                   .LM23:
+ 125 005e 1FC3                 bic     #1,r15
+ 126                   .LM24:
+ 127 0060 824F 04A0            mov     r15, &0xA004 
+ 128                   .LM25:
+ 129 0064 9253 0000            add     #1, &buckets+516
+ 130                   .LM26:
+ 131 0068 8F10                 swpb    r15
+ 132 006a 7FF3                 and.b   #-1,r15
+ 133 006c 824F 0000            mov     r15, &ad_int_tmp 
+ 134                   .LM27:
+ 135 0070 0F5F                 rla     r15
+ 136 0072 9F53 0000            add     #1, buckets(r15)
+ 137                   .L8:
+ 138                   .LM28:
+ 139 0076 1F42 06A0            mov     &0xA006, r15 
+ 140 007a 824F 0000            mov     r15, &ad_int_tmp 
+ 141                   .LM29:
+ 142 007e 1FB3                 bit     #1,r15
+ 143 0080 0C24                 jeq     .L10
+ 144                   .LM30:
+ 145 0082 1FC3                 bic     #1,r15
+ 146                   .LM31:
+ 147 0084 824F 06A0            mov     r15, &0xA006 
+ 148                   .LM32:
+ 149 0088 9253 0000            add     #1, &buckets+518
+ 150                   .LM33:
+ 151 008c 8F10                 swpb    r15
+ 152 008e 7FF3                 and.b   #-1,r15
+ 153 0090 824F 0000            mov     r15, &ad_int_tmp 
+ 154                   .LM34:
+ 155 0094 0F5F                 rla     r15
+ 156 0096 9F53 0000            add     #1, buckets(r15)
+ 157                   .L10:
+ 158                   
+ 159                           /* epilogue: frame size = 0 */
+ 160                   .LM35:
+ 161 009a 3E41                 pop     r14
+ 162 009c 3F41                 pop     r15
+ 163 009e 0013                 reti
+ 164                   .LFE2:
+ 165                   .Lfe3:
+ 166                           .size   adcInterrupt,.Lfe3-adcInterrupt
+ 167                   ;; End of function 
+ 168                   
+ 169                           .p2align 1,0
+ 170                   .global timerInterrupt
+ 171                   .global vector_ffe4
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 4
+
+
+ 172                           .type   timerInterrupt,@function
+ 173                   /***********************
+ 174                    * Interrupt Service Routine `timerInterrupt' at 0xffe4
+ 175                    ***********************/
+ 176                   vector_ffe4:
+ 177                   timerInterrupt:
+ 178                   .LFB3:
+ 179                   .LM36:
+ 180 00a0 0F12                 push    r15
+ 181                   .LCFI2:
+ 182 00a2 0E12                 push    r14
+ 183                   .LCFI3:
+ 184                           /* prologue ends here (frame size = 0) */
+ 185                   .L__FrameSize_timerInterrupt=0x0
+ 186                   .L__FrameOffset_timerInterrupt=0x4
+ 187                   .LM37:
+ 188 00a4 8243 0260            mov     #0, &0x6002 
+ 189                   .LM38:
+ 190 00a8 9253 0000            add     #1, &clicks
+ 191                   .LM39:
+ 192 00ac 9242 0020            mov     &0x2000, &inputCharBit 
+ 192      0000 
+ 193                   .LM40:
+ 194 00b2 B2F0 2000            and     #32, &inputCharBit
+ 194      0000 
+ 195                   .LM41:
+ 196 00b8 1F42 0000            mov     &clicks, r15 
+ 197 00bc 3FF0 0300            and     #3, r15
+ 198 00c0 2220                 jne     .L13
+ 199                   .LM42:
+ 200 00c2 829F 0000            cmp     r15, &outputCharCntr
+ 201 00c6 5624                 jeq     .L14
+ 202                   .LM43:
+ 203 00c8 92B3 0000            bit     #1,&outputChar
+ 204 00cc 7224                 jeq     .L15
+ 205                   .LM44:
+ 206 00ce B2D0 1000            bis     #16, &0x2008
+ 206      0820 
+ 207                   .L16:
+ 208                   .LM45:
+ 209 00d4 B253 0000            add     #llo(-1), &outputCharCntr
+ 210                   .LM46:
+ 211 00d8 12C3                 clrc
+ 212 00da 1210 0000            rrc     &outputChar
+ 213                   .L17:
+ 214                   .LM47:
+ 215 00de 1F42 0000            mov     &fastTimer, r15 
+ 216 00e2 0F93                 cmp     #0, r15
+ 217 00e4 0324                 jeq     .L18
+ 218                   .LM48:
+ 219 00e6 3F53                 add     #llo(-1), r15
+ 220 00e8 824F 0000            mov     r15, &fastTimer 
+ 221                   .L18:
+ 222                   .LM49:
+ 223 00ec 1F42 0000            mov     &subSecondClock, r15 
+ 224 00f0 3F53                 add     #llo(-1), r15
+ 225 00f2 824F 0000            mov     r15, &subSecondClock 
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 5
+
+
+ 226                   .LM50:
+ 227 00f6 0720                 jne     .L13
+ 228                   .LM51:
+ 229 00f8 B240 6009            mov     #2400, &subSecondClock 
+ 229      0000 
+ 230                   .LM52:
+ 231 00fe 1E42 0000            mov     &slowTimer, r14 
+ 232 0102 0E9F                 cmp     r15, r14
+ 233 0104 5220                 jne     .L23
+ 234                   .L13:
+ 235                   .LM53:
+ 236 0106 1F42 0000            mov     &inputCharCntr, r15 
+ 237 010a 0F93                 cmp     #0, r15
+ 238 010c 2724                 jeq     .L19
+ 239                   .LM54:
+ 240 010e B253 0000            add     #llo(-1), &inputCharCntr
+ 241                   .LM55:
+ 242 0112 B2B0 0300            bit     #3,&inputCharCntr
+ 242      0000 
+ 243 0118 1E20                 jne     .L22
+ 244 011a 1F42 0000            mov     &inputCharCntr, r15 
+ 245 011e 7FF0 FCFF            and.b   #llo(-4), r15
+ 246 0122 1924                 jeq     .L22
+ 247                   .LM56:
+ 248 0124 8293 0000            cmp     #0, &inputCharBit
+ 249 0128 0324                 jeq     .L21
+ 250                   .LM57:
+ 251 012a B2D0 0001            bis     #256, &inputCharX
+ 251      0000 
+ 252                   .L21:
+ 253                   .LM58:
+ 254 0130 12C3                 clrc
+ 255 0132 1210 0000            rrc     &inputCharX
+ 256                   .LM59:
+ 257 0136 A292 0000            cmp     #4, &inputCharCntr
+ 258 013a 0D20                 jne     .L22
+ 259                   .LM60:
+ 260 013c 1F42 0000            mov     &inputRingPtrXin, r15 
+ 261 0140 1E42 0000            mov     &inputCharX, r14 
+ 262 0144 CF4E 0000            mov.b   r14, inputRing(r15)
+ 263                   .LM61:
+ 264 0148 1F42 0000            mov     &inputRingPtrXin, r15 
+ 265 014c 1F53                 add     #1, r15
+ 266 014e 3FF0 0F00            and     #15, r15
+ 267 0152 824F 0000            mov     r15, &inputRingPtrXin 
+ 268                   .L22:
+ 269                   
+ 270                           /* epilogue: frame size = 0 */
+ 271                   .LM62:
+ 272 0156 3E41                 pop     r14
+ 273 0158 3F41                 pop     r15
+ 274 015a 0013                 reti
+ 275                   .L19:
+ 276                   .LM63:
+ 277 015c 1E42 0000            mov     &inputCharBit, r14 
+ 278 0160 0E9F                 cmp     r15, r14
+ 279 0162 F923                 jne     .L22
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 6
+
+
+ 280                   .LM64:
+ 281 0164 B240 2600            mov     #38, &inputCharCntr 
+ 281      0000 
+ 282                   .LM65:
+ 283 016a 824E 0000            mov     r14, &inputCharX 
+ 284                   .LM66:
+ 285 016e 3E41                 pop     r14
+ 286                   .LCFI4:
+ 287 0170 3F41                 pop     r15
+ 288                   .LCFI5:
+ 289 0172 0013                 reti
+ 290                   .L14:
+ 291                   .LM67:
+ 292 0174 1E42 0000            mov     &outputRingPtrXin, r14 
+ 293 0178 1F42 0000            mov     &outputRingPtrXout, r15 
+ 294 017c 0E9F                 cmp     r15, r14
+ 295 017e AF27                 jeq     .L17
+ 296                   .LM68:
+ 297 0180 1F42 0000            mov     &outputRingPtrXout, r15 
+ 298 0184 0F5F                 rla     r15
+ 299 0186 924F 0000            mov     outputRing(r15), &outputChar 
+ 299      0000 
+ 300                   .LM69:
+ 301 018c 9253 0000            add     #1, &outputRingPtrXout
+ 302                   .LM70:
+ 303 0190 B2F0 0F00            and     #15, &outputRingPtrXout
+ 303      0000 
+ 304                   .LM71:
+ 305 0196 9252 0000            rla     &outputChar
+ 305      0000 
+ 306                   .LM72:
+ 307 019c B250 00FE            add     #llo(-512), &outputChar
+ 307      0000 
+ 308                   .LM73:
+ 309 01a2 B240 0A00            mov     #10, &outputCharCntr 
+ 309      0000 
+ 310 01a8 9A3F                 jmp     .L17
+ 311                   .L23:
+ 312                   .LM74:
+ 313 01aa 3E53                 add     #llo(-1), r14
+ 314 01ac 824E 0000            mov     r14, &slowTimer 
+ 315 01b0 AA3F                 jmp     .L13
+ 316                   .L15:
+ 317                   .LM75:
+ 318 01b2 B2F0 EFFF            and     #llo(-17), &0x2008
+ 318      0820 
+ 319 01b8 8D3F                 jmp     .L16
+ 320                   .LFE3:
+ 321                   .Lfe4:
+ 322                           .size   timerInterrupt,.Lfe4-timerInterrupt
+ 323                   ;; End of function 
+ 324                   
+ 325                           .p2align 1,0
+ 326                   .global emit
+ 327                           .type   emit,@function
+ 328                   /***********************
+ 329                    * Function `emit' 
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 7
+
+
+ 330                    ***********************/
+ 331                   emit:
+ 332                   .LFB5:
+ 333                   .LM76:
+ 334                   .LVL0:
+ 335                           /* prologue ends here (frame size = 0) */
+ 336                   .L__FrameSize_emit=0x0
+ 337                   .L__FrameOffset_emit=0x0
+ 338                   .LM77:
+ 339 01ba 1C42 0000            mov     &outputRingPtrXin, r12 
+ 340                   .LM78:
+ 341 01be 1D42 0000            mov     &outputRingPtrXout, r13 
+ 342 01c2 1E42 0000            mov     &outputRingPtrXin, r14 
+ 343 01c6 0D9E                 cmp     r14, r13
+ 344 01c8 0724                 jeq     .L25
+ 345                   .L28:
+ 346                   .LM79:
+ 347                   /* #APP */
+ 348                    ;  577 "x.c" 1
+ 349 01ca 32D2                 eint
+ 350                    ;  0 "" 2
+ 351                   .LM80:
+ 352                   /* #NOAPP */
+ 353 01cc 1D42 0000            mov     &outputRingPtrXout, r13 
+ 354 01d0 1E42 0000            mov     &outputRingPtrXin, r14 
+ 355 01d4 0D9E                 cmp     r14, r13
+ 356 01d6 F923                 jne     .L28
+ 357                   .L25:
+ 358                   .LM81:
+ 359 01d8 1E42 0000            mov     &outputRingPtrXin, r14 
+ 360 01dc 0E5E                 rla     r14
+ 361 01de CE4F 0000            mov.b   r15, outputRing(r14)
+ 362 01e2 CE43 0000            clr.b   outputRing+1(r14)
+ 363                   .LM82:
+ 364 01e6 4F4C                 mov.b   r12, r15
+ 365                   .LVL1:
+ 366 01e8 5F53                 add.b   #1, r15
+ 367                   .LM83:
+ 368 01ea 0E4F                 mov     r15, r14 
+ 369 01ec 3EF0 0F00            and     #15, r14
+ 370 01f0 824E 0000            mov     r14, &outputRingPtrXin 
+ 371                   
+ 372                           /* epilogue: not required */
+ 373                   .LM84:
+ 374 01f4 3041                 ret
+ 375                   .LFE5:
+ 376                   .Lfe5:
+ 377                           .size   emit,.Lfe5-emit
+ 378                   ;; End of function 
+ 379                   
+ 380                           .p2align 1,0
+ 381                   .global getKey
+ 382                           .type   getKey,@function
+ 383                   /***********************
+ 384                    * Function `getKey' 
+ 385                    ***********************/
+ 386                   getKey:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 8
+
+
+ 387                   .LFB6:
+ 388                   .LM85:
+ 389 01f6 013C                 jmp     .L36
+ 390                           /* prologue ends here (frame size = 0) */
+ 391                   .L__FrameSize_getKey=0x0
+ 392                   .L__FrameOffset_getKey=0x0
+ 393                   .L34:
+ 394                   .LM86:
+ 395                   /* #APP */
+ 396                    ;  588 "x.c" 1
+ 397 01f8 32D2                 eint
+ 398                    ;  0 "" 2
+ 399                   /* #NOAPP */
+ 400                   .L36:
+ 401                   .LM87:
+ 402 01fa 1E42 0000            mov     &inputRingPtrXin, r14 
+ 403 01fe 1F42 0000            mov     &inputRingPtrXout, r15 
+ 404 0202 0E9F                 cmp     r15, r14
+ 405 0204 F927                 jeq     .L34
+ 406                   .LM88:
+ 407 0206 1F42 0000            mov     &inputRingPtrXout, r15 
+ 408 020a 0E4F                 mov     r15, r14 
+ 409 020c 1E53                 add     #1, r14
+ 410 020e 824E 0000            mov     r14, &inputRingPtrXout 
+ 411                   .LM89:
+ 412 0212 B2F0 0F00            and     #15, &inputRingPtrXout
+ 412      0000 
+ 413                   .LM90:
+ 414 0218 5F4F 0000            mov.b   inputRing(r15), r15
+ 415                   
+ 416                           /* epilogue: not required */
+ 417 021c 3041                 ret
+ 418                   .LFE6:
+ 419                   .Lfe6:
+ 420                           .size   getKey,.Lfe6-getKey
+ 421                   ;; End of function 
+ 422                   
+ 423                           .p2align 1,0
+ 424                   .global sendToFEC
+ 425                           .type   sendToFEC,@function
+ 426                   /***********************
+ 427                    * Function `sendToFEC' 
+ 428                    ***********************/
+ 429                   sendToFEC:
+ 430                   .LFB7:
+ 431                   .LM91:
+ 432                           /* prologue ends here (frame size = 0) */
+ 433                   .L__FrameSize_sendToFEC=0x0
+ 434                   .L__FrameOffset_sendToFEC=0x0
+ 435                   .LVL2:
+ 436                   .L38:
+ 437                   .LM92:
+ 438 021e A2B3 0640            bit     #2,&0x4006
+ 439 0222 FD27                 jeq     .L38
+ 440                   .LM93:
+ 441 0224 B240 B000            mov     #176, &0x4000 
+ 441      0040 
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 9
+
+
+ 442                   .LM94:
+ 443 022a B2D0 0300            bis     #3, &0x2008
+ 443      0820 
+ 444 0230 0D43                 mov     #0, r13 
+ 445                   .L40:
+ 446                   .LM95:
+ 447 0232 0E4F                 mov     r15, r14 
+ 448 0234 0E5D                 add     r13, r14
+ 449 0236 A24E 0440            mov     @r14, &0x4004 
+ 450                   .L39:
+ 451                   .LM96:
+ 452 023a A2B3 0640            bit     #2,&0x4006
+ 453 023e FD27                 jeq     .L39
+ 454 0240 2D53                 add     #2, r13
+ 455                   .LM97:
+ 456 0242 3D90 0600            cmp     #6, r13
+ 457 0246 F523                 jne     .L40
+ 458                   .LM98:
+ 459 0248 B2F0 FCFF            and     #llo(-4), &0x2008
+ 459      0820 
+ 460                   
+ 461                           /* epilogue: not required */
+ 462                   .LM99:
+ 463 024e 3041                 ret
+ 464                   .LFE7:
+ 465                   .Lfe7:
+ 466                           .size   sendToFEC,.Lfe7-sendToFEC
+ 467                   ;; End of function 
+ 468                   
+ 469                           .p2align 1,0
+ 470                   .global sendToDAC
+ 471                           .type   sendToDAC,@function
+ 472                   /***********************
+ 473                    * Function `sendToDAC' 
+ 474                    ***********************/
+ 475                   sendToDAC:
+ 476                   .LFB8:
+ 477                   .LM100:
+ 478                           /* prologue ends here (frame size = 0) */
+ 479                   .L__FrameSize_sendToDAC=0x0
+ 480                   .L__FrameOffset_sendToDAC=0x0
+ 481                   .LVL3:
+ 482                   .L46:
+ 483                   .LM101:
+ 484 0250 A2B3 0640            bit     #2,&0x4006
+ 485 0254 FD27                 jeq     .L46
+ 486                   .LM102:
+ 487 0256 B240 9000            mov     #144, &0x4000 
+ 487      0040 
+ 488                   .LM103:
+ 489 025c 4F93                 cmp.b   #0, r15
+ 490 025e 0B20                 jne     .L47
+ 491                   .LM104:
+ 492 0260 B2F0 FCFF            and     #llo(-4), &0x2008
+ 492      0820 
+ 493                   .LM105:
+ 494 0266 92D3 0820            bis     #1, &0x2008
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 10
+
+
+ 495                   .L48:
+ 496                   .LM106:
+ 497 026a 824E 0440            mov     r14, &0x4004 
+ 498                   .L49:
+ 499                   .LM107:
+ 500 026e A2B3 0640            bit     #2,&0x4006
+ 501 0272 FD27                 jeq     .L49
+ 502                   
+ 503                           /* epilogue: not required */
+ 504                   .LM108:
+ 505 0274 3041                 ret
+ 506                   .L47:
+ 507                   .LM109:
+ 508 0276 B2F0 FCFF            and     #llo(-4), &0x2008
+ 508      0820 
+ 509                   .LM110:
+ 510 027c A2D3 0820            bis     #2, &0x2008
+ 511 0280 F43F                 jmp     .L48
+ 512                   .LFE8:
+ 513                   .Lfe8:
+ 514                           .size   sendToDAC,.Lfe8-sendToDAC
+ 515                   ;; End of function 
+ 516                   
+ 517                           .p2align 1,0
+ 518                   .global setDAC
+ 519                           .type   setDAC,@function
+ 520                   /***********************
+ 521                    * Function `setDAC' 
+ 522                    ***********************/
+ 523                   setDAC:
+ 524                   .LFB9:
+ 525                   .LM111:
+ 526                   .LVL4:
+ 527                           /* prologue ends here (frame size = 0) */
+ 528                   .L__FrameSize_setDAC=0x0
+ 529                   .L__FrameOffset_setDAC=0x0
+ 530                   .LM112:
+ 531 0282 7FF3                 and.b   #-1,r15
+ 532                   .LVL5:
+ 533 0284 0D4F                 mov     r15, r13 
+ 534 0286 3DF0 0700            and     #7, r13
+ 535 028a 8D10                 swpb    r13
+ 536 028c 0D5D                 rla     r13
+ 537 028e 0D5D                 rla     r13
+ 538 0290 0D5D                 rla     r13
+ 539 0292 0D5D                 rla     r13
+ 540                   .LM113:
+ 541 0294 12C3                 clrc
+ 542 0296 0F10                 rrc     r15
+ 543                   .LVL6:
+ 544 0298 0F11                 rra     r15
+ 545                   .LVL7:
+ 546 029a 0F11                 rra     r15
+ 547                   .LVL8:
+ 548 029c 0EDD                 bis     r13, r14
+ 549                   .LVL9:
+ 550 029e 5FF3                 and.b   #1, r15
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 11
+
+
+ 551                   .LVL10:
+ 552 02a0 B012 0000            call    #sendToDAC
+ 553                   
+ 554                           /* epilogue: not required */
+ 555                   .LM114:
+ 556 02a4 3041                 ret
+ 557                   .LFE9:
+ 558                   .Lfe9:
+ 559                           .size   setDAC,.Lfe9-setDAC
+ 560                   ;; End of function 
+ 561                   
+ 562                           .p2align 1,0
+ 563                   .global setupDACs
+ 564                           .type   setupDACs,@function
+ 565                   /***********************
+ 566                    * Function `setupDACs' 
+ 567                    ***********************/
+ 568                   setupDACs:
+ 569                   .LFB10:
+ 570                   .LM115:
+ 571 02a6 0B12                 push    r11
+ 572                   .LCFI6:
+ 573 02a8 0A12                 push    r10
+ 574                   .LCFI7:
+ 575                           /* prologue ends here (frame size = 0) */
+ 576                   .L__FrameSize_setupDACs=0x0
+ 577                   .L__FrameOffset_setupDACs=0x4
+ 578                   .LM116:
+ 579 02aa 3A40 3C80            mov     #llo(-32708), r10 
+ 580 02ae 0E4A                 mov     r10, r14 
+ 581 02b0 4F43                 mov.b   #0, r15
+ 582 02b2 B012 0000            call    #sendToDAC
+ 583                   .LM117:
+ 584 02b6 5B43                 mov.b   #1, r11
+ 585 02b8 0E4A                 mov     r10, r14 
+ 586 02ba 4F4B                 mov.b   r11, r15
+ 587 02bc B012 0000            call    #sendToDAC
+ 588                   .LM118:
+ 589 02c0 3A50 C43F            add     #16324, r10
+ 590 02c4 0E4A                 mov     r10, r14 
+ 591 02c6 4F43                 mov.b   #0, r15
+ 592 02c8 B012 0000            call    #sendToDAC
+ 593                   .LM119:
+ 594 02cc 0E4A                 mov     r10, r14 
+ 595 02ce 4F4B                 mov.b   r11, r15
+ 596 02d0 B012 0000            call    #sendToDAC
+ 597                   
+ 598                           /* epilogue: frame size = 0 */
+ 599                   .LM120:
+ 600 02d4 3A41                 pop     r10
+ 601 02d6 3B41                 pop     r11
+ 602 02d8 3041                 ret
+ 603                   .LFE10:
+ 604                   .Lfe10:
+ 605                           .size   setupDACs,.Lfe10-setupDACs
+ 606                   ;; End of function 
+ 607                   
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 12
+
+
+ 608                           .p2align 1,0
+ 609                   .global setAllDACs
+ 610                           .type   setAllDACs,@function
+ 611                   /***********************
+ 612                    * Function `setAllDACs' 
+ 613                    ***********************/
+ 614                   setAllDACs:
+ 615                   .LFB11:
+ 616                   .LM121:
+ 617 02da 0B12                 push    r11
+ 618                   .LCFI8:
+ 619 02dc 0A12                 push    r10
+ 620                   .LCFI9:
+ 621                           /* prologue ends here (frame size = 0) */
+ 622                   .L__FrameSize_setAllDACs=0x0
+ 623                   .L__FrameOffset_setAllDACs=0x4
+ 624                   .LM122:
+ 625 02de 3A40 0000            mov     #biasVoltage, r10 
+ 626 02e2 4B43                 mov.b   #0, r11
+ 627                   .LVL11:
+ 628                   .L58:
+ 629                   .LM123:
+ 630 02e4 3E4A                 mov     @r10+, r14
+ 631 02e6 4F4B                 mov.b   r11, r15
+ 632 02e8 B012 0000            call    #setDAC
+ 633                   .LM124:
+ 634 02ec 5B53                 add.b   #1, r11
+ 635 02ee 7B90 1000            cmp.b   #16, r11
+ 636 02f2 F823                 jne     .L58
+ 637                   
+ 638                           /* epilogue: frame size = 0 */
+ 639                   .LM125:
+ 640 02f4 3A41                 pop     r10
+ 641 02f6 3B41                 pop     r11
+ 642                   .LVL12:
+ 643 02f8 3041                 ret
+ 644                   .LFE11:
+ 645                   .Lfe11:
+ 646                           .size   setAllDACs,.Lfe11-setAllDACs
+ 647                   ;; End of function 
+ 648                   
+ 649                           .p2align 1,0
+ 650                   .global setupADC
+ 651                           .type   setupADC,@function
+ 652                   /***********************
+ 653                    * Function `setupADC' 
+ 654                    ***********************/
+ 655                   setupADC:
+ 656                   .LFB12:
+ 657                   .LM126:
+ 658 02fa 3150 FAFF            add     #llo(-6), r1
+ 659                   .LCFI10:
+ 660                           /* prologue ends here (frame size = 6) */
+ 661                   .L__FrameSize_setupADC=0x6
+ 662                   .L__FrameOffset_setupADC=0x6
+ 663                   .LM127:
+ 664 02fe B140 190A            mov     #2585, @r1 
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 13
+
+
+ 664      0000 
+ 665                   .LM128:
+ 666 0304 B140 4100            mov     #65, 2(r1) 
+ 666      0200 
+ 667                   .LM129:
+ 668 030a B140 F005            mov     #1520, 4(r1) 
+ 668      0400 
+ 669                   .LM130:
+ 670 0310 0F41                 mov     r1, r15 
+ 671 0312 B012 0000            call    #sendToFEC
+ 672                   .LM131:
+ 673 0316 B140 9FA1            mov     #llo(-24161), @r1 
+ 673      0000 
+ 674                   .LM132:
+ 675 031c B140 5C04            mov     #1116, 2(r1) 
+ 675      0200 
+ 676                   .LM133:
+ 677 0322 B140 F01F            mov     #8176, 4(r1) 
+ 677      0400 
+ 678                   .LM134:
+ 679 0328 0F41                 mov     r1, r15 
+ 680 032a B012 0000            call    #sendToFEC
+ 681                   
+ 682                           /* epilogue: frame size = 6 */
+ 683                   .LM135:
+ 684 032e 3150 0600            add     #6, r1
+ 685                   .LCFI11:
+ 686 0332 3041                 ret
+ 687                   .LFE12:
+ 688                   .Lfe12:
+ 689                           .size   setupADC,.Lfe12-setupADC
+ 690                   ;; End of function 
+ 691                   
+ 692                           .p2align 1,0
+ 693                   .global initVars
+ 694                           .type   initVars,@function
+ 695                   /***********************
+ 696                    * Function `initVars' 
+ 697                    ***********************/
+ 698                   initVars:
+ 699                   .LFB13:
+ 700                   .LM136:
+ 701                           /* prologue ends here (frame size = 0) */
+ 702                   .L__FrameSize_initVars=0x0
+ 703                   .L__FrameOffset_initVars=0x0
+ 704                   .LM137:
+ 705 0334 8243 0000            mov     #0, &outputCharCntrN 
+ 706                   .LM138:
+ 707 0338 8243 0000            mov     #0, &outputCharCntr 
+ 708                   .LM139:
+ 709 033c 8243 0000            mov     #0, &inputCharCntr 
+ 710                   .LM140:
+ 711 0340 8243 0000            mov     #0, &inputRingPtrXin 
+ 712                   .LM141:
+ 713 0344 8243 0000            mov     #0, &inputRingPtrXout 
+ 714                   .LM142:
+ 715 0348 8243 0000            mov     #0, &outputRingPtrXin 
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 14
+
+
+ 716                   .LM143:
+ 717 034c 8243 0000            mov     #0, &outputRingPtrXout 
+ 718                   .LM144:
+ 719 0350 C243 0000            mov.b   #0, &inputBufPtr
+ 720                   
+ 721                           /* epilogue: not required */
+ 722                   .LM145:
+ 723 0354 3041                 ret
+ 724                   .LFE13:
+ 725                   .Lfe13:
+ 726                           .size   initVars,.Lfe13-initVars
+ 727                   ;; End of function 
+ 728                   
+ 729                           .p2align 1,0
+ 730                   .global getKeyB
+ 731                           .type   getKeyB,@function
+ 732                   /***********************
+ 733                    * Function `getKeyB' 
+ 734                    ***********************/
+ 735                   getKeyB:
+ 736                   .LFB14:
+ 737                   .LM146:
+ 738                           /* prologue ends here (frame size = 0) */
+ 739                   .L__FrameSize_getKeyB=0x0
+ 740                   .L__FrameOffset_getKeyB=0x0
+ 741                   .LM147:
+ 742 0356 1E42 0000            mov     &lineBufferPtr, r14 
+ 743 035a 5F4E 0000            mov.b   lineBuffer(r14), r15
+ 744                   .LVL13:
+ 745                   .LM148:
+ 746 035e 4F93                 cmp.b   #0, r15
+ 747 0360 0324                 jeq     .L66
+ 748 0362 1E53                 add     #1, r14
+ 749 0364 824E 0000            mov     r14, &lineBufferPtr 
+ 750                   .L66:
+ 751                   .LVL14:
+ 752                   .LM149:
+ 753 0368 3041                 ret
+ 754                   .LFE14:
+ 755                   .Lfe14:
+ 756                           .size   getKeyB,.Lfe14-getKeyB
+ 757                   ;; End of function 
+ 758                   
+ 759                           .p2align 1,0
+ 760                   .global getLine
+ 761                           .type   getLine,@function
+ 762                   /***********************
+ 763                    * Function `getLine' 
+ 764                    ***********************/
+ 765                   getLine:
+ 766                   .LFB15:
+ 767                   .LM150:
+ 768 036a 0B12                 push    r11
+ 769                   .LCFI12:
+ 770 036c 0A12                 push    r10
+ 771                   .LCFI13:
+ 772 036e 0912                 push    r9
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 15
+
+
+ 773                   .LCFI14:
+ 774                           /* prologue ends here (frame size = 0) */
+ 775                   .L__FrameSize_getLine=0x0
+ 776                   .L__FrameOffset_getLine=0x6
+ 777                   .LM151:
+ 778 0370 8243 0000            mov     #0, &lineBufferPtr 
+ 779                   .LBB244:
+ 780                   .LBB245:
+ 781                   .LM152:
+ 782 0374 1D42 0000            mov     &outputRingPtrXin, r13 
+ 783                   .LM153:
+ 784 0378 1E42 0000            mov     &outputRingPtrXout, r14 
+ 785 037c 1F42 0000            mov     &outputRingPtrXin, r15 
+ 786 0380 0E9F                 cmp     r15, r14
+ 787 0382 0724                 jeq     .L69
+ 788                   .L100:
+ 789                   .LM154:
+ 790                   /* #APP */
+ 791                    ;  577 "x.c" 1
+ 792 0384 32D2                 eint
+ 793                    ;  0 "" 2
+ 794                   .LM155:
+ 795                   /* #NOAPP */
+ 796 0386 1E42 0000            mov     &outputRingPtrXout, r14 
+ 797 038a 1F42 0000            mov     &outputRingPtrXin, r15 
+ 798 038e 0E9F                 cmp     r15, r14
+ 799 0390 F923                 jne     .L100
+ 800                   .L69:
+ 801                   .LM156:
+ 802 0392 1F42 0000            mov     &outputRingPtrXin, r15 
+ 803 0396 0F5F                 rla     r15
+ 804 0398 BF40 0D00            mov     #13, outputRing(r15) 
+ 804      0000 
+ 805                   .LM157:
+ 806 039e 4F4D                 mov.b   r13, r15
+ 807 03a0 5F53                 add.b   #1, r15
+ 808                   .LM158:
+ 809 03a2 0E4F                 mov     r15, r14 
+ 810 03a4 3EF0 0F00            and     #15, r14
+ 811 03a8 824E 0000            mov     r14, &outputRingPtrXin 
+ 812                   .LBE245:
+ 813                   .LBE244:
+ 814                   .LBB246:
+ 815                   .LBB247:
+ 816                   .LM159:
+ 817 03ac 1D42 0000            mov     &outputRingPtrXin, r13 
+ 818                   .LM160:
+ 819 03b0 1E42 0000            mov     &outputRingPtrXout, r14 
+ 820 03b4 1F42 0000            mov     &outputRingPtrXin, r15 
+ 821 03b8 0E9F                 cmp     r15, r14
+ 822 03ba 0724                 jeq     .L71
+ 823                   .L99:
+ 824                   .LM161:
+ 825                   /* #APP */
+ 826                    ;  577 "x.c" 1
+ 827 03bc 32D2                 eint
+ 828                    ;  0 "" 2
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 16
+
+
+ 829                   .LM162:
+ 830                   /* #NOAPP */
+ 831 03be 1E42 0000            mov     &outputRingPtrXout, r14 
+ 832 03c2 1F42 0000            mov     &outputRingPtrXin, r15 
+ 833 03c6 0E9F                 cmp     r15, r14
+ 834 03c8 F923                 jne     .L99
+ 835                   .L71:
+ 836                   .LM163:
+ 837 03ca 1F42 0000            mov     &outputRingPtrXin, r15 
+ 838 03ce 0F5F                 rla     r15
+ 839 03d0 BF40 0A00            mov     #10, outputRing(r15) 
+ 839      0000 
+ 840                   .LM164:
+ 841 03d6 4F4D                 mov.b   r13, r15
+ 842 03d8 5F53                 add.b   #1, r15
+ 843                   .LM165:
+ 844 03da 0E4F                 mov     r15, r14 
+ 845 03dc 3EF0 0F00            and     #15, r14
+ 846 03e0 824E 0000            mov     r14, &outputRingPtrXin 
+ 847                   .LBE247:
+ 848                   .LBE246:
+ 849                   .LBB248:
+ 850                   .LBB249:
+ 851                   .LM166:
+ 852 03e4 1D42 0000            mov     &outputRingPtrXin, r13 
+ 853                   .LM167:
+ 854 03e8 1E42 0000            mov     &outputRingPtrXout, r14 
+ 855 03ec 1F42 0000            mov     &outputRingPtrXin, r15 
+ 856 03f0 0E9F                 cmp     r15, r14
+ 857 03f2 0724                 jeq     .L73
+ 858                   .L98:
+ 859                   .LM168:
+ 860                   /* #APP */
+ 861                    ;  577 "x.c" 1
+ 862 03f4 32D2                 eint
+ 863                    ;  0 "" 2
+ 864                   .LM169:
+ 865                   /* #NOAPP */
+ 866 03f6 1E42 0000            mov     &outputRingPtrXout, r14 
+ 867 03fa 1F42 0000            mov     &outputRingPtrXin, r15 
+ 868 03fe 0E9F                 cmp     r15, r14
+ 869 0400 F923                 jne     .L98
+ 870                   .L73:
+ 871                   .LM170:
+ 872 0402 1F42 0000            mov     &outputRingPtrXin, r15 
+ 873 0406 0F5F                 rla     r15
+ 874 0408 BF40 3E00            mov     #62, outputRing(r15) 
+ 874      0000 
+ 875                   .LM171:
+ 876 040e 4F4D                 mov.b   r13, r15
+ 877 0410 5F53                 add.b   #1, r15
+ 878                   .LM172:
+ 879 0412 0E4F                 mov     r15, r14 
+ 880 0414 3EF0 0F00            and     #15, r14
+ 881 0418 824E 0000            mov     r14, &outputRingPtrXin 
+ 882 041c 0D43                 mov     #0, r13 
+ 883                   .LBE249:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 17
+
+
+ 884                   .LBE248:
+ 885                   .LM173:
+ 886 041e 3940 7D00            mov     #125, r9 
+ 887 0422 013C                 jmp     .L110
+ 888                   .LVL15:
+ 889                   .L76:
+ 890                   .LBB250:
+ 891                   .LBB251:
+ 892                   .LM174:
+ 893                   /* #APP */
+ 894                    ;  588 "x.c" 1
+ 895 0424 32D2                 eint
+ 896                    ;  0 "" 2
+ 897                   /* #NOAPP */
+ 898                   .L110:
+ 899                   .LM175:
+ 900 0426 1E42 0000            mov     &inputRingPtrXin, r14 
+ 901 042a 1F42 0000            mov     &inputRingPtrXout, r15 
+ 902 042e 0E9F                 cmp     r15, r14
+ 903 0430 F927                 jeq     .L76
+ 904                   .LM176:
+ 905 0432 1F42 0000            mov     &inputRingPtrXout, r15 
+ 906 0436 5C4F 0000            mov.b   inputRing(r15), r12
+ 907 043a 1F53                 add     #1, r15
+ 908 043c 824F 0000            mov     r15, &inputRingPtrXout 
+ 909                   .LM177:
+ 910 0440 B2F0 0F00            and     #15, &inputRingPtrXout
+ 910      0000 
+ 911                   .LBE251:
+ 912                   .LBE250:
+ 913                   .LM178:
+ 914 0446 4B4C                 mov.b   r12, r11
+ 915                   .LM179:
+ 916 0448 3B92                 cmp     #8, r11
+ 917 044a 4D24                 jeq     .L112
+ 918                   .LBB252:
+ 919                   .LBB253:
+ 920                   .LM180:
+ 921 044c 1A42 0000            mov     &outputRingPtrXin, r10 
+ 922                   .LM181:
+ 923 0450 1E42 0000            mov     &outputRingPtrXout, r14 
+ 924 0454 1F42 0000            mov     &outputRingPtrXin, r15 
+ 925 0458 0E9F                 cmp     r15, r14
+ 926 045a 0724                 jeq     .L85
+ 927                   .L97:
+ 928                   .LM182:
+ 929                   /* #APP */
+ 930                    ;  577 "x.c" 1
+ 931 045c 32D2                 eint
+ 932                    ;  0 "" 2
+ 933                   .LM183:
+ 934                   /* #NOAPP */
+ 935 045e 1E42 0000            mov     &outputRingPtrXout, r14 
+ 936 0462 1F42 0000            mov     &outputRingPtrXin, r15 
+ 937 0466 0E9F                 cmp     r15, r14
+ 938 0468 F923                 jne     .L97
+ 939                   .L85:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 18
+
+
+ 940                   .LM184:
+ 941 046a 1F42 0000            mov     &outputRingPtrXin, r15 
+ 942 046e 0F5F                 rla     r15
+ 943 0470 CF4C 0000            mov.b   r12, outputRing(r15)
+ 944 0474 CF43 0000            clr.b   outputRing+1(r15)
+ 945                   .LM185:
+ 946 0478 4F4A                 mov.b   r10, r15
+ 947 047a 5F53                 add.b   #1, r15
+ 948                   .LM186:
+ 949 047c 0E4F                 mov     r15, r14 
+ 950 047e 3EF0 0F00            and     #15, r14
+ 951 0482 824E 0000            mov     r14, &outputRingPtrXin 
+ 952                   .LBE253:
+ 953                   .LBE252:
+ 954                   .LM187:
+ 955 0486 3B90 0D00            cmp     #13, r11
+ 956 048a 8324                 jeq     .L113
+ 957                   .LM188:
+ 958 048c CD4C 0000            mov.b   r12, lineBuffer(r13)
+ 959 0490 1D53                 add     #1, r13
+ 960                   .LM189:
+ 961 0492 CD43 0000            mov.b   #0, lineBuffer(r13)
+ 962                   .LM190:
+ 963 0496 099D                 cmp     r13, r9
+ 964 0498 0228                 jlo     .L111
+ 965                   .LM191:
+ 966 049a 0B93                 cmp     #0, r11
+ 967 049c C423                 jne     .L110
+ 968                   .L111:
+ 969 049e 824D 0000            mov     r13, &lineBufferPtr 
+ 970                   .L88:
+ 971                   .LBB254:
+ 972                   .LBB255:
+ 973                   .LM192:
+ 974 04a2 1D42 0000            mov     &outputRingPtrXin, r13 
+ 975                   .LM193:
+ 976 04a6 1E42 0000            mov     &outputRingPtrXout, r14 
+ 977 04aa 1F42 0000            mov     &outputRingPtrXin, r15 
+ 978 04ae 0E9F                 cmp     r15, r14
+ 979 04b0 0724                 jeq     .L90
+ 980                   .L93:
+ 981                   .LM194:
+ 982                   /* #APP */
+ 983                    ;  577 "x.c" 1
+ 984 04b2 32D2                 eint
+ 985                    ;  0 "" 2
+ 986                   .LM195:
+ 987                   /* #NOAPP */
+ 988 04b4 1E42 0000            mov     &outputRingPtrXout, r14 
+ 989 04b8 1F42 0000            mov     &outputRingPtrXin, r15 
+ 990 04bc 0E9F                 cmp     r15, r14
+ 991 04be F923                 jne     .L93
+ 992                   .L90:
+ 993                   .LM196:
+ 994 04c0 1F42 0000            mov     &outputRingPtrXin, r15 
+ 995 04c4 0F5F                 rla     r15
+ 996 04c6 BF40 0A00            mov     #10, outputRing(r15) 
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 19
+
+
+ 996      0000 
+ 997                   .LM197:
+ 998 04cc 4F4D                 mov.b   r13, r15
+ 999 04ce 5F53                 add.b   #1, r15
+ 1000                  .LM198:
+ 1001 04d0 0E4F                mov     r15, r14 
+ 1002 04d2 3EF0 0F00           and     #15, r14
+ 1003 04d6 824E 0000           mov     r14, &outputRingPtrXin 
+ 1004                  .LBE255:
+ 1005                  .LBE254:
+ 1006                  .LM199:
+ 1007 04da 8243 0000           mov     #0, &lineBufferPtr 
+ 1008                  
+ 1009                          /* epilogue: frame size = 0 */
+ 1010                  .LM200:
+ 1011 04de 3941                pop     r9
+ 1012 04e0 3A41                pop     r10
+ 1013 04e2 3B41                pop     r11
+ 1014                  .LVL16:
+ 1015 04e4 3041                ret
+ 1016                  .LVL17:
+ 1017                  .L112:
+ 1018                  .LM201:
+ 1019 04e6 0D93                cmp     #0, r13
+ 1020 04e8 9E27                jeq     .L110
+ 1021                  .LBB256:
+ 1022                  .LBB257:
+ 1023                  .LM202:
+ 1024 04ea 1C42 0000           mov     &outputRingPtrXin, r12 
+ 1025                  .LVL18:
+ 1026                  .LM203:
+ 1027 04ee 1E42 0000           mov     &outputRingPtrXout, r14 
+ 1028 04f2 1F42 0000           mov     &outputRingPtrXin, r15 
+ 1029 04f6 0E9F                cmp     r15, r14
+ 1030 04f8 0724                jeq     .L79
+ 1031                  .L96:
+ 1032                  .LM204:
+ 1033                  /* #APP */
+ 1034                   ;  577 "x.c" 1
+ 1035 04fa 32D2                eint
+ 1036                   ;  0 "" 2
+ 1037                  .LM205:
+ 1038                  /* #NOAPP */
+ 1039 04fc 1E42 0000           mov     &outputRingPtrXout, r14 
+ 1040 0500 1F42 0000           mov     &outputRingPtrXin, r15 
+ 1041 0504 0E9F                cmp     r15, r14
+ 1042 0506 F923                jne     .L96
+ 1043                  .L79:
+ 1044                  .LM206:
+ 1045 0508 1F42 0000           mov     &outputRingPtrXin, r15 
+ 1046 050c 0F5F                rla     r15
+ 1047 050e BF42 0000           mov     #8, outputRing(r15) 
+ 1048                  .LM207:
+ 1049 0512 4F4C                mov.b   r12, r15
+ 1050 0514 5F53                add.b   #1, r15
+ 1051                  .LM208:
+ 1052 0516 0E4F                mov     r15, r14 
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 20
+
+
+ 1053 0518 3EF0 0F00           and     #15, r14
+ 1054 051c 824E 0000           mov     r14, &outputRingPtrXin 
+ 1055                  .LBE257:
+ 1056                  .LBE256:
+ 1057                  .LBB258:
+ 1058                  .LBB259:
+ 1059                  .LM209:
+ 1060 0520 1C42 0000           mov     &outputRingPtrXin, r12 
+ 1061                  .LM210:
+ 1062 0524 1E42 0000           mov     &outputRingPtrXout, r14 
+ 1063 0528 1F42 0000           mov     &outputRingPtrXin, r15 
+ 1064 052c 0E9F                cmp     r15, r14
+ 1065 052e 0724                jeq     .L81
+ 1066                  .L95:
+ 1067                  .LM211:
+ 1068                  /* #APP */
+ 1069                   ;  577 "x.c" 1
+ 1070 0530 32D2                eint
+ 1071                   ;  0 "" 2
+ 1072                  .LM212:
+ 1073                  /* #NOAPP */
+ 1074 0532 1E42 0000           mov     &outputRingPtrXout, r14 
+ 1075 0536 1F42 0000           mov     &outputRingPtrXin, r15 
+ 1076 053a 0E9F                cmp     r15, r14
+ 1077 053c F923                jne     .L95
+ 1078                  .L81:
+ 1079                  .LM213:
+ 1080 053e 1F42 0000           mov     &outputRingPtrXin, r15 
+ 1081 0542 0F5F                rla     r15
+ 1082 0544 BF40 2000           mov     #32, outputRing(r15) 
+ 1082      0000 
+ 1083                  .LM214:
+ 1084 054a 4F4C                mov.b   r12, r15
+ 1085 054c 5F53                add.b   #1, r15
+ 1086                  .LM215:
+ 1087 054e 0E4F                mov     r15, r14 
+ 1088 0550 3EF0 0F00           and     #15, r14
+ 1089 0554 824E 0000           mov     r14, &outputRingPtrXin 
+ 1090                  .LBE259:
+ 1091                  .LBE258:
+ 1092                  .LBB260:
+ 1093                  .LBB261:
+ 1094                  .LM216:
+ 1095 0558 1C42 0000           mov     &outputRingPtrXin, r12 
+ 1096                  .LM217:
+ 1097 055c 1E42 0000           mov     &outputRingPtrXout, r14 
+ 1098 0560 1F42 0000           mov     &outputRingPtrXin, r15 
+ 1099 0564 0E9F                cmp     r15, r14
+ 1100 0566 0724                jeq     .L83
+ 1101                  .L94:
+ 1102                  .LM218:
+ 1103                  /* #APP */
+ 1104                   ;  577 "x.c" 1
+ 1105 0568 32D2                eint
+ 1106                   ;  0 "" 2
+ 1107                  .LM219:
+ 1108                  /* #NOAPP */
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 21
+
+
+ 1109 056a 1E42 0000           mov     &outputRingPtrXout, r14 
+ 1110 056e 1F42 0000           mov     &outputRingPtrXin, r15 
+ 1111 0572 0E9F                cmp     r15, r14
+ 1112 0574 F923                jne     .L94
+ 1113                  .L83:
+ 1114                  .LM220:
+ 1115 0576 1F42 0000           mov     &outputRingPtrXin, r15 
+ 1116 057a 0F5F                rla     r15
+ 1117 057c BF42 0000           mov     #8, outputRing(r15) 
+ 1118                  .LM221:
+ 1119 0580 4F4C                mov.b   r12, r15
+ 1120 0582 5F53                add.b   #1, r15
+ 1121                  .LM222:
+ 1122 0584 0E4F                mov     r15, r14 
+ 1123 0586 3EF0 0F00           and     #15, r14
+ 1124 058a 824E 0000           mov     r14, &outputRingPtrXin 
+ 1125                  .LBE261:
+ 1126                  .LBE260:
+ 1127                  .LM223:
+ 1128 058e 3D53                add     #llo(-1), r13
+ 1129 0590 4A3F                jmp     .L110
+ 1130                  .LVL19:
+ 1131                  .L113:
+ 1132                  .LM224:
+ 1133 0592 824D 0000           mov     r13, &lineBufferPtr 
+ 1134                  .LM225:
+ 1135 0596 CD43 0000           mov.b   #0, lineBuffer(r13)
+ 1136 059a 833F                jmp     .L88
+ 1137                  .LFE15:
+ 1138                  .Lfe15:
+ 1139                          .size   getLine,.Lfe15-getLine
+ 1140                  ;; End of function 
+ 1141                  
+ 1142                          .p2align 1,0
+ 1143                  .global getWord
+ 1144                          .type   getWord,@function
+ 1145                  /***********************
+ 1146                   * Function `getWord' 
+ 1147                   ***********************/
+ 1148                  getWord:
+ 1149                  .LFB16:
+ 1150                  .LM226:
+ 1151 059c 0B12                push    r11
+ 1152                  .LCFI15:
+ 1153 059e 0A12                push    r10
+ 1154                  .LCFI16:
+ 1155 05a0 0912                push    r9
+ 1156                  .LCFI17:
+ 1157                          /* prologue ends here (frame size = 0) */
+ 1158                  .L__FrameSize_getWord=0x0
+ 1159                  .L__FrameOffset_getWord=0x6
+ 1160                  .LM227:
+ 1161 05a2 C243 0000           mov.b   #0, &wordBuffer
+ 1162                  .LM228:
+ 1163 05a6 7A40 1F00           mov.b   #31, r10
+ 1164                  .LM229:
+ 1165 05aa 7940 2000           mov.b   #32, r9
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 22
+
+
+ 1166                  .LVL20:
+ 1167                  .L128:
+ 1168                  .LBB262:
+ 1169                  .LBB263:
+ 1170                  .LM230:
+ 1171 05ae 1D42 0000           mov     &lineBufferPtr, r13 
+ 1172 05b2 5F4D 0000           mov.b   lineBuffer(r13), r15
+ 1173                  .LVL21:
+ 1174                  .LM231:
+ 1175 05b6 4F93                cmp.b   #0, r15
+ 1176 05b8 0820                jne     .L137
+ 1177                  .L135:
+ 1178                  .LBE263:
+ 1179                  .LBE262:
+ 1180                  .LM232:
+ 1181 05ba 4E4F                mov.b   r15, r14
+ 1182                  .LVL22:
+ 1183 05bc 7E53                add.b   #llo(-1), r14
+ 1184 05be 4A9E                cmp.b   r14, r10
+ 1185 05c0 0928                jlo     .L139
+ 1186                  .L117:
+ 1187                  .LBB264:
+ 1188                  .LBB265:
+ 1189                  .LM233:
+ 1190 05c2 5F4D 0000           mov.b   lineBuffer(r13), r15
+ 1191                  .LM234:
+ 1192 05c6 4F93                cmp.b   #0, r15
+ 1193 05c8 F827                jeq     .L135
+ 1194                  .LVL23:
+ 1195                  .L137:
+ 1196 05ca 1D53                add     #1, r13
+ 1197                  .LBE265:
+ 1198                  .LBE264:
+ 1199                  .LM235:
+ 1200 05cc 4E4F                mov.b   r15, r14
+ 1201                  .LVL24:
+ 1202 05ce 7E53                add.b   #llo(-1), r14
+ 1203 05d0 4A9E                cmp.b   r14, r10
+ 1204 05d2 F72F                jhs     .L117
+ 1205                  .L139:
+ 1206 05d4 824D 0000           mov     r13, &lineBufferPtr 
+ 1207                  .LM236:
+ 1208 05d8 4F93                cmp.b   #0, r15
+ 1209 05da 2024                jeq     .L118
+ 1210                  .LM237:
+ 1211 05dc 7F90 2200           cmp.b   #34, r15
+ 1212 05e0 2224                jeq     .L119
+ 1213                  .LM238:
+ 1214 05e2 499F                cmp.b   r15, r9
+ 1215 05e4 242C                jhs     .L121
+ 1216                  .LM239:
+ 1217 05e6 0C4D                mov     r13, r12 
+ 1218 05e8 3C50 0000           add     #lineBuffer, r12
+ 1219 05ec 0B4D                mov     r13, r11 
+ 1220 05ee 0E43                mov     #0, r14 
+ 1221                  .LVL25:
+ 1222                  .L126:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 23
+
+
+ 1223                  .LM240:
+ 1224 05f0 CE4F 0000           mov.b   r15, wordBuffer(r14)
+ 1225 05f4 1E53                add     #1, r14
+ 1226                  .LBB266:
+ 1227                  .LBB267:
+ 1228                  .LM241:
+ 1229 05f6 6F4C                mov.b   @r12, r15
+ 1230                  .LM242:
+ 1231 05f8 4F93                cmp.b   #0, r15
+ 1232 05fa 0524                jeq     .L138
+ 1233 05fc 0B4E                mov     r14, r11 
+ 1234 05fe 0B5D                add     r13, r11
+ 1235 0600 1C53                add     #1, r12
+ 1236                  .LBE267:
+ 1237                  .LBE266:
+ 1238                  .LM243:
+ 1239 0602 499F                cmp.b   r15, r9
+ 1240 0604 F52B                jlo     .L126
+ 1241                  .L138:
+ 1242 0606 824B 0000           mov     r11, &lineBufferPtr 
+ 1243                  .L124:
+ 1244                  .LM244:
+ 1245 060a CE43 0000           mov.b   #0, wordBuffer(r14)
+ 1246                  .L127:
+ 1247                  .LM245:
+ 1248 060e C293 0000           cmp.b   #0, &wordBuffer
+ 1249 0612 CD27                jeq     .L128
+ 1250                  
+ 1251                          /* epilogue: frame size = 0 */
+ 1252                  .LM246:
+ 1253 0614 3941                pop     r9
+ 1254 0616 3A41                pop     r10
+ 1255 0618 3B41                pop     r11
+ 1256 061a 3041                ret
+ 1257                  .LVL26:
+ 1258                  .L118:
+ 1259                  .LM247:
+ 1260 061c C24F 0000           mov.b   r15, &wordBuffer
+ 1261                  .LM248:
+ 1262 0620 B012 0000           call    #getLine
+ 1263                  .LVL27:
+ 1264 0624 F43F                jmp     .L127
+ 1265                  .LVL28:
+ 1266                  .L119:
+ 1267                  .LBB268:
+ 1268                  .LBB269:
+ 1269                  .LM249:
+ 1270 0626 5F4D 0000           mov.b   lineBuffer(r13), r15
+ 1271                  .LVL29:
+ 1272                  .LM250:
+ 1273 062a 4F93                cmp.b   #0, r15
+ 1274 062c 0220                jne     .L140
+ 1275                  .L121:
+ 1276                  .LBE269:
+ 1277                  .LBE268:
+ 1278                  .LM251:
+ 1279 062e 0E43                mov     #0, r14 
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 24
+
+
+ 1280                  .LVL30:
+ 1281 0630 EC3F                jmp     .L124
+ 1282                  .LVL31:
+ 1283                  .L140:
+ 1284                  .LBB271:
+ 1285                  .LBB270:
+ 1286                  .LM252:
+ 1287 0632 1D53                add     #1, r13
+ 1288 0634 824D 0000           mov     r13, &lineBufferPtr 
+ 1289                  .LBE270:
+ 1290                  .LBE271:
+ 1291                  .LM253:
+ 1292 0638 7F90 2200           cmp.b   #34, r15
+ 1293 063c F827                jeq     .L121
+ 1294 063e 0E43                mov     #0, r14 
+ 1295                  .LVL32:
+ 1296                  .L123:
+ 1297                  .LM254:
+ 1298 0640 CE4F 0000           mov.b   r15, wordBuffer(r14)
+ 1299 0644 1E53                add     #1, r14
+ 1300                  .LBB272:
+ 1301                  .LBB273:
+ 1302                  .LM255:
+ 1303 0646 5F4D 0000           mov.b   lineBuffer(r13), r15
+ 1304                  .LM256:
+ 1305 064a 4F93                cmp.b   #0, r15
+ 1306 064c 0424                jeq     .L122
+ 1307 064e 1D53                add     #1, r13
+ 1308                  .LBE273:
+ 1309                  .LBE272:
+ 1310                  .LM257:
+ 1311 0650 7F90 2200           cmp.b   #34, r15
+ 1312 0654 F523                jne     .L123
+ 1313                  .L122:
+ 1314                  .LM258:
+ 1315 0656 824D 0000           mov     r13, &lineBufferPtr 
+ 1316 065a D73F                jmp     .L124
+ 1317                  .LFE16:
+ 1318                  .Lfe16:
+ 1319                          .size   getWord,.Lfe16-getWord
+ 1320                  ;; End of function 
+ 1321                  
+ 1322                          .p2align 1,0
+ 1323                  .global printString
+ 1324                          .type   printString,@function
+ 1325                  /***********************
+ 1326                   * Function `printString' 
+ 1327                   ***********************/
+ 1328                  printString:
+ 1329                  .LFB17:
+ 1330                  .LM259:
+ 1331                  .LVL33:
+ 1332 065c 0B12                push    r11
+ 1333                  .LCFI18:
+ 1334                          /* prologue ends here (frame size = 0) */
+ 1335                  .L__FrameSize_printString=0x0
+ 1336                  .L__FrameOffset_printString=0x2
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 25
+
+
+ 1337                  .LM260:
+ 1338 065e 6C4F                mov.b   @r15, r12
+ 1339 0660 4C93                cmp.b   #0, r12
+ 1340 0662 2124                jeq     .L146
+ 1341                  .L147:
+ 1342                  .LBB274:
+ 1343                  .LBB275:
+ 1344                  .LM261:
+ 1345 0664 1B42 0000           mov     &outputRingPtrXin, r11 
+ 1346                  .LM262:
+ 1347 0668 1D42 0000           mov     &outputRingPtrXout, r13 
+ 1348 066c 1E42 0000           mov     &outputRingPtrXin, r14 
+ 1349 0670 0D9E                cmp     r14, r13
+ 1350 0672 0724                jeq     .L143
+ 1351                  .L148:
+ 1352                  .LM263:
+ 1353                  /* #APP */
+ 1354                   ;  577 "x.c" 1
+ 1355 0674 32D2                eint
+ 1356                   ;  0 "" 2
+ 1357                  .LM264:
+ 1358                  /* #NOAPP */
+ 1359 0676 1D42 0000           mov     &outputRingPtrXout, r13 
+ 1360 067a 1E42 0000           mov     &outputRingPtrXin, r14 
+ 1361 067e 0D9E                cmp     r14, r13
+ 1362 0680 F923                jne     .L148
+ 1363                  .L143:
+ 1364                  .LM265:
+ 1365 0682 1E42 0000           mov     &outputRingPtrXin, r14 
+ 1366 0686 0E5E                rla     r14
+ 1367 0688 CE4C 0000           mov.b   r12, outputRing(r14)
+ 1368 068c CE43 0000           clr.b   outputRing+1(r14)
+ 1369                  .LM266:
+ 1370 0690 4E4B                mov.b   r11, r14
+ 1371 0692 5E53                add.b   #1, r14
+ 1372                  .LM267:
+ 1373 0694 0D4E                mov     r14, r13 
+ 1374 0696 3DF0 0F00           and     #15, r13
+ 1375 069a 824D 0000           mov     r13, &outputRingPtrXin 
+ 1376                  .LBE275:
+ 1377                  .LBE274:
+ 1378                  .LM268:
+ 1379 069e 1F53                add     #1, r15
+ 1380                  .LVL34:
+ 1381                  .LM269:
+ 1382 06a0 6C4F                mov.b   @r15, r12
+ 1383 06a2 4C93                cmp.b   #0, r12
+ 1384 06a4 DF23                jne     .L147
+ 1385                  .L146:
+ 1386                  
+ 1387                          /* epilogue: frame size = 0 */
+ 1388                  .LM270:
+ 1389 06a6 3B41                pop     r11
+ 1390 06a8 3041                ret
+ 1391                  .LFE17:
+ 1392                  .Lfe17:
+ 1393                          .size   printString,.Lfe17-printString
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 26
+
+
+ 1394                  ;; End of function 
+ 1395                  
+ 1396                          .p2align 1,0
+ 1397                  .global sc
+ 1398                          .type   sc,@function
+ 1399                  /***********************
+ 1400                   * Function `sc' 
+ 1401                   ***********************/
+ 1402                  sc:
+ 1403                  .LFB18:
+ 1404                  .LM271:
+ 1405                  .LVL35:
+ 1406 06aa 0B12                push    r11
+ 1407                  .LCFI19:
+ 1408                          /* prologue ends here (frame size = 0) */
+ 1409                  .L__FrameSize_sc=0x0
+ 1410                  .L__FrameOffset_sc=0x2
+ 1411                  .LM272:
+ 1412 06ac 6D4F                mov.b   @r15, r13
+ 1413 06ae 4D93                cmp.b   #0, r13
+ 1414 06b0 1324                jeq     .L152
+ 1415 06b2 6C4E                mov.b   @r14, r12
+ 1416 06b4 4C93                cmp.b   #0, r12
+ 1417 06b6 1024                jeq     .L152
+ 1418 06b8 1B43                mov     #1, r11 
+ 1419                  .LVL36:
+ 1420 06ba 043C                jmp     .L155
+ 1421                  .L158:
+ 1422                  .LM273:
+ 1423 06bc 1E53                add     #1, r14
+ 1424                  .LVL37:
+ 1425                  .LM274:
+ 1426 06be 6C4E                mov.b   @r14, r12
+ 1427 06c0 4C93                cmp.b   #0, r12
+ 1428 06c2 0724                jeq     .L154
+ 1429                  .L155:
+ 1430                  .LM275:
+ 1431 06c4 4D9C                cmp.b   r12, r13
+ 1432 06c6 0124                jeq     .L153
+ 1433 06c8 0B43                mov     #0, r11 
+ 1434                  .L153:
+ 1435                  .LM276:
+ 1436 06ca 1F53                add     #1, r15
+ 1437                  .LVL38:
+ 1438                  .LM277:
+ 1439 06cc 6D4F                mov.b   @r15, r13
+ 1440 06ce 4D93                cmp.b   #0, r13
+ 1441 06d0 F523                jne     .L158
+ 1442                  .L154:
+ 1443                  .LM278:
+ 1444 06d2 0F4B                mov     r11, r15 
+ 1445                  .LVL39:
+ 1446                  
+ 1447                          /* epilogue: frame size = 0 */
+ 1448 06d4 3B41                pop     r11
+ 1449                  .LVL40:
+ 1450 06d6 3041                ret
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 27
+
+
+ 1451                  .LVL41:
+ 1452                  .L152:
+ 1453                  .LM279:
+ 1454 06d8 1B43                mov     #1, r11 
+ 1455                  .LVL42:
+ 1456 06da FB3F                jmp     .L154
+ 1457                  .LFE18:
+ 1458                  .Lfe18:
+ 1459                          .size   sc,.Lfe18-sc
+ 1460                  ;; End of function 
+ 1461                  
+ 1462                          .p2align 1,0
+ 1463                  .global listFunction
+ 1464                          .type   listFunction,@function
+ 1465                  /***********************
+ 1466                   * Function `listFunction' 
+ 1467                   ***********************/
+ 1468                  listFunction:
+ 1469                  .LFB19:
+ 1470                  .LM280:
+ 1471 06dc 0B12                push    r11
+ 1472                  .LCFI20:
+ 1473                          /* prologue ends here (frame size = 0) */
+ 1474                  .L__FrameSize_listFunction=0x0
+ 1475                  .L__FrameOffset_listFunction=0x2
+ 1476                  .LM281:
+ 1477 06de 7C40 6500           mov.b   #101, r12
+ 1478 06e2 3D40 0000           mov     #cmdListBi, r13 
+ 1479                  .LVL43:
+ 1480                  .L162:
+ 1481                  .LBB276:
+ 1482                  .LBB277:
+ 1483                  .LBB278:
+ 1484                  .LBB279:
+ 1485                  .LM282:
+ 1486 06e6 1B42 0000           mov     &outputRingPtrXin, r11 
+ 1487                  .LM283:
+ 1488 06ea 1E42 0000           mov     &outputRingPtrXout, r14 
+ 1489 06ee 1F42 0000           mov     &outputRingPtrXin, r15 
+ 1490 06f2 0E9F                cmp     r15, r14
+ 1491 06f4 0724                jeq     .L160
+ 1492                  .L173:
+ 1493                  .LM284:
+ 1494                  /* #APP */
+ 1495                   ;  577 "x.c" 1
+ 1496 06f6 32D2                eint
+ 1497                   ;  0 "" 2
+ 1498                  .LM285:
+ 1499                  /* #NOAPP */
+ 1500 06f8 1E42 0000           mov     &outputRingPtrXout, r14 
+ 1501 06fc 1F42 0000           mov     &outputRingPtrXin, r15 
+ 1502 0700 0E9F                cmp     r15, r14
+ 1503 0702 F923                jne     .L173
+ 1504                  .L160:
+ 1505                  .LM286:
+ 1506 0704 1F42 0000           mov     &outputRingPtrXin, r15 
+ 1507 0708 0F5F                rla     r15
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 28
+
+
+ 1508 070a CF4C 0000           mov.b   r12, outputRing(r15)
+ 1509 070e CF43 0000           clr.b   outputRing+1(r15)
+ 1510                  .LM287:
+ 1511 0712 4F4B                mov.b   r11, r15
+ 1512 0714 5F53                add.b   #1, r15
+ 1513                  .LM288:
+ 1514 0716 0E4F                mov     r15, r14 
+ 1515 0718 3EF0 0F00           and     #15, r14
+ 1516 071c 824E 0000           mov     r14, &outputRingPtrXin 
+ 1517                  .LBE279:
+ 1518                  .LBE278:
+ 1519                  .LM289:
+ 1520 0720 1D53                add     #1, r13
+ 1521                  .LM290:
+ 1522 0722 6C4D                mov.b   @r13, r12
+ 1523 0724 4C93                cmp.b   #0, r12
+ 1524 0726 DF23                jne     .L162
+ 1525 0728 7B40 5B00           mov.b   #91, r11
+ 1526 072c 3D40 0000           mov     #cmdListBi2, r13 
+ 1527                  .LVL44:
+ 1528                  .L165:
+ 1529                  .LBE277:
+ 1530                  .LBE276:
+ 1531                  .LBB280:
+ 1532                  .LBB281:
+ 1533                  .LBB282:
+ 1534                  .LBB283:
+ 1535                  .LM291:
+ 1536 0730 1C42 0000           mov     &outputRingPtrXin, r12 
+ 1537                  .LM292:
+ 1538 0734 1E42 0000           mov     &outputRingPtrXout, r14 
+ 1539 0738 1F42 0000           mov     &outputRingPtrXin, r15 
+ 1540 073c 0E9F                cmp     r15, r14
+ 1541 073e 0724                jeq     .L163
+ 1542                  .L172:
+ 1543                  .LM293:
+ 1544                  /* #APP */
+ 1545                   ;  577 "x.c" 1
+ 1546 0740 32D2                eint
+ 1547                   ;  0 "" 2
+ 1548                  .LM294:
+ 1549                  /* #NOAPP */
+ 1550 0742 1E42 0000           mov     &outputRingPtrXout, r14 
+ 1551 0746 1F42 0000           mov     &outputRingPtrXin, r15 
+ 1552 074a 0E9F                cmp     r15, r14
+ 1553 074c F923                jne     .L172
+ 1554                  .L163:
+ 1555                  .LM295:
+ 1556 074e 1F42 0000           mov     &outputRingPtrXin, r15 
+ 1557 0752 0F5F                rla     r15
+ 1558 0754 CF4B 0000           mov.b   r11, outputRing(r15)
+ 1559 0758 CF43 0000           clr.b   outputRing+1(r15)
+ 1560                  .LM296:
+ 1561 075c 4F4C                mov.b   r12, r15
+ 1562 075e 5F53                add.b   #1, r15
+ 1563                  .LM297:
+ 1564 0760 0E4F                mov     r15, r14 
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 29
+
+
+ 1565 0762 3EF0 0F00           and     #15, r14
+ 1566 0766 824E 0000           mov     r14, &outputRingPtrXin 
+ 1567                  .LBE283:
+ 1568                  .LBE282:
+ 1569                  .LM298:
+ 1570 076a 1D53                add     #1, r13
+ 1571                  .LM299:
+ 1572 076c 6B4D                mov.b   @r13, r11
+ 1573 076e 4B93                cmp.b   #0, r11
+ 1574 0770 DF23                jne     .L165
+ 1575                  .LBE281:
+ 1576                  .LBE280:
+ 1577                  .LBB284:
+ 1578                  .LBB285:
+ 1579 0772 5C42 0000           mov.b   &cmdList, r12
+ 1580 0776 4C9B                cmp.b   r11, r12
+ 1581 0778 2324                jeq     .L170
+ 1582 077a 3D40 0000           mov     #cmdList, r13 
+ 1583                  .LVL45:
+ 1584                  .L169:
+ 1585                  .LBB286:
+ 1586                  .LBB287:
+ 1587                  .LM300:
+ 1588 077e 1B42 0000           mov     &outputRingPtrXin, r11 
+ 1589                  .LM301:
+ 1590 0782 1E42 0000           mov     &outputRingPtrXout, r14 
+ 1591 0786 1F42 0000           mov     &outputRingPtrXin, r15 
+ 1592 078a 0E9F                cmp     r15, r14
+ 1593 078c 0724                jeq     .L167
+ 1594                  .L171:
+ 1595                  .LM302:
+ 1596                  /* #APP */
+ 1597                   ;  577 "x.c" 1
+ 1598 078e 32D2                eint
+ 1599                   ;  0 "" 2
+ 1600                  .LM303:
+ 1601                  /* #NOAPP */
+ 1602 0790 1E42 0000           mov     &outputRingPtrXout, r14 
+ 1603 0794 1F42 0000           mov     &outputRingPtrXin, r15 
+ 1604 0798 0E9F                cmp     r15, r14
+ 1605 079a F923                jne     .L171
+ 1606                  .L167:
+ 1607                  .LM304:
+ 1608 079c 1F42 0000           mov     &outputRingPtrXin, r15 
+ 1609 07a0 0F5F                rla     r15
+ 1610 07a2 CF4C 0000           mov.b   r12, outputRing(r15)
+ 1611 07a6 CF43 0000           clr.b   outputRing+1(r15)
+ 1612                  .LM305:
+ 1613 07aa 4F4B                mov.b   r11, r15
+ 1614 07ac 5F53                add.b   #1, r15
+ 1615                  .LM306:
+ 1616 07ae 0E4F                mov     r15, r14 
+ 1617 07b0 3EF0 0F00           and     #15, r14
+ 1618 07b4 824E 0000           mov     r14, &outputRingPtrXin 
+ 1619                  .LBE287:
+ 1620                  .LBE286:
+ 1621                  .LM307:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 30
+
+
+ 1622 07b8 1D53                add     #1, r13
+ 1623                  .LM308:
+ 1624 07ba 6C4D                mov.b   @r13, r12
+ 1625 07bc 4C93                cmp.b   #0, r12
+ 1626 07be DF23                jne     .L169
+ 1627                  .LVL46:
+ 1628                  .L170:
+ 1629                  
+ 1630                          /* epilogue: frame size = 0 */
+ 1631                  .LBE285:
+ 1632                  .LBE284:
+ 1633                  .LM309:
+ 1634 07c0 3B41                pop     r11
+ 1635 07c2 3041                ret
+ 1636                  .LFE19:
+ 1637                  .Lfe19:
+ 1638                          .size   listFunction,.Lfe19-listFunction
+ 1639                  ;; End of function 
+ 1640                  
+ 1641                          .p2align 1,0
+ 1642                  .global popMathStack
+ 1643                          .type   popMathStack,@function
+ 1644                  /***********************
+ 1645                   * Function `popMathStack' 
+ 1646                   ***********************/
+ 1647                  popMathStack:
+ 1648                  .LFB20:
+ 1649                  .LM310:
+ 1650                          /* prologue ends here (frame size = 0) */
+ 1651                  .L__FrameSize_popMathStack=0x0
+ 1652                  .L__FrameOffset_popMathStack=0x0
+ 1653                  .LM311:
+ 1654 07c4 1F42 0000           mov     &mathStack, r15 
+ 1655                  .LVL47:
+ 1656 07c8 3E40 0000           mov     #mathStack+2, r14 
+ 1657                  .L181:
+ 1658                  .LM312:
+ 1659 07cc AE4E FEFF           mov     @r14, -2(r14) 
+ 1660 07d0 2E53                add     #2, r14
+ 1661                  .LM313:
+ 1662 07d2 3E90 0000           cmp     #mathStack+32, r14
+ 1663 07d6 FA23                jne     .L181
+ 1664                  
+ 1665                          /* epilogue: not required */
+ 1666                  .LM314:
+ 1667 07d8 3041                ret
+ 1668                  .LFE20:
+ 1669                  .Lfe20:
+ 1670                          .size   popMathStack,.Lfe20-popMathStack
+ 1671                  ;; End of function 
+ 1672                  
+ 1673                          .p2align 1,0
+ 1674                  .global pushMathStack
+ 1675                          .type   pushMathStack,@function
+ 1676                  /***********************
+ 1677                   * Function `pushMathStack' 
+ 1678                   ***********************/
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 31
+
+
+ 1679                  pushMathStack:
+ 1680                  .LFB21:
+ 1681                  .LM315:
+ 1682                  .LVL48:
+ 1683                          /* prologue ends here (frame size = 0) */
+ 1684                  .L__FrameSize_pushMathStack=0x0
+ 1685                  .L__FrameOffset_pushMathStack=0x0
+ 1686                  .LM316:
+ 1687 07da 3E40 0000           mov     #mathStack+26, r14 
+ 1688                  .L185:
+ 1689                  .LM317:
+ 1690 07de AE4E 0200           mov     @r14, 2(r14) 
+ 1691 07e2 2E83                sub     #2, r14
+ 1692                  .LM318:
+ 1693 07e4 3E90 0000           cmp     #mathStack-2, r14
+ 1694 07e8 FA23                jne     .L185
+ 1695                  .LM319:
+ 1696 07ea 824F 0000           mov     r15, &mathStack 
+ 1697                  
+ 1698                          /* epilogue: not required */
+ 1699                  .LM320:
+ 1700 07ee 3041                ret
+ 1701                  .LFE21:
+ 1702                  .Lfe21:
+ 1703                          .size   pushMathStack,.Lfe21-pushMathStack
+ 1704                  ;; End of function 
+ 1705                  
+ 1706                          .p2align 1,0
+ 1707                  .global popAddrStack
+ 1708                          .type   popAddrStack,@function
+ 1709                  /***********************
+ 1710                   * Function `popAddrStack' 
+ 1711                   ***********************/
+ 1712                  popAddrStack:
+ 1713                  .LFB22:
+ 1714                  .LM321:
+ 1715                          /* prologue ends here (frame size = 0) */
+ 1716                  .L__FrameSize_popAddrStack=0x0
+ 1717                  .L__FrameOffset_popAddrStack=0x0
+ 1718                  .LM322:
+ 1719 07f0 1E42 0000           mov     &addrStackPtr, r14 
+ 1720 07f4 0F4E                mov     r14, r15 
+ 1721 07f6 0F5F                rla     r15
+ 1722                  .LM323:
+ 1723 07f8 1E53                add     #1, r14
+ 1724 07fa 824E 0000           mov     r14, &addrStackPtr 
+ 1725                  .LM324:
+ 1726 07fe 1F4F 0000           mov     addrStack(r15), r15 
+ 1727                  
+ 1728                          /* epilogue: not required */
+ 1729 0802 3041                ret
+ 1730                  .LFE22:
+ 1731                  .Lfe22:
+ 1732                          .size   popAddrStack,.Lfe22-popAddrStack
+ 1733                  ;; End of function 
+ 1734                  
+ 1735                          .p2align 1,0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 32
+
+
+ 1736                  .global pushAddrStack
+ 1737                          .type   pushAddrStack,@function
+ 1738                  /***********************
+ 1739                   * Function `pushAddrStack' 
+ 1740                   ***********************/
+ 1741                  pushAddrStack:
+ 1742                  .LFB23:
+ 1743                  .LM325:
+ 1744                  .LVL49:
+ 1745                          /* prologue ends here (frame size = 0) */
+ 1746                  .L__FrameSize_pushAddrStack=0x0
+ 1747                  .L__FrameOffset_pushAddrStack=0x0
+ 1748                  .LM326:
+ 1749 0804 1E42 0000           mov     &addrStackPtr, r14 
+ 1750 0808 3E53                add     #llo(-1), r14
+ 1751 080a 824E 0000           mov     r14, &addrStackPtr 
+ 1752                  .LM327:
+ 1753 080e 0E5E                rla     r14
+ 1754 0810 8E4F 0000           mov     r15, addrStack(r14) 
+ 1755                  
+ 1756                          /* epilogue: not required */
+ 1757                  .LM328:
+ 1758 0814 3041                ret
+ 1759                  .LFE23:
+ 1760                  .Lfe23:
+ 1761                          .size   pushAddrStack,.Lfe23-pushAddrStack
+ 1762                  ;; End of function 
+ 1763                  
+ 1764                          .p2align 1,0
+ 1765                  .global lookupToken
+ 1766                          .type   lookupToken,@function
+ 1767                  /***********************
+ 1768                   * Function `lookupToken' 
+ 1769                   ***********************/
+ 1770                  lookupToken:
+ 1771                  .LFB24:
+ 1772                  .LM329:
+ 1773                  .LVL50:
+ 1774 0816 0B12                push    r11
+ 1775                  .LCFI21:
+ 1776 0818 0A12                push    r10
+ 1777                  .LCFI22:
+ 1778 081a 0912                push    r9
+ 1779                  .LCFI23:
+ 1780 081c 0812                push    r8
+ 1781                  .LCFI24:
+ 1782 081e 0712                push    r7
+ 1783                  .LCFI25:
+ 1784                          /* prologue ends here (frame size = 0) */
+ 1785                  .L__FrameSize_lookupToken=0x0
+ 1786                  .L__FrameOffset_lookupToken=0xa
+ 1787                  .LM330:
+ 1788 0820 6D4E                mov.b   @r14, r13
+ 1789 0822 4D93                cmp.b   #0, r13
+ 1790 0824 6924                jeq     .L216
+ 1791 0826 1843                mov     #1, r8 
+ 1792                  .LVL51:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 33
+
+
+ 1793 0828 0743                mov     #0, r7 
+ 1794                  .LVL52:
+ 1795 082a 0947                mov     r7, r9 
+ 1796                  .LVL53:
+ 1797 082c 0C47                mov     r7, r12 
+ 1798                  .LVL54:
+ 1799                  .LM331:
+ 1800 082e 7A40 2000           mov.b   #32, r10
+ 1801                  .L208:
+ 1802                  .LM332:
+ 1803 0832 0B4F                mov     r15, r11 
+ 1804 0834 0B59                add     r9, r11
+ 1805 0836 6B4B                mov.b   @r11, r11
+ 1806 0838 4B93                cmp.b   #0, r11
+ 1807 083a 2324                jeq     .L195
+ 1808                  .LM333:
+ 1809 083c 7D90 2000           cmp.b   #32, r13
+ 1810 0840 4724                jeq     .L217
+ 1811                  .LM334:
+ 1812 0842 4D9B                cmp.b   r11, r13
+ 1813 0844 5724                jeq     .L199
+ 1814                  .LM335:
+ 1815 0846 0D4E                mov     r14, r13 
+ 1816 0848 0D5C                add     r12, r13
+ 1817 084a 6A9D                cmp.b   @r13, r10
+ 1818 084c 0B2C                jhs     .L201
+ 1819                  .LM336:
+ 1820 084e 0D4C                mov     r12, r13 
+ 1821 0850 1D53                add     #1, r13
+ 1822                  .LVL55:
+ 1823 0852 0B4E                mov     r14, r11 
+ 1824 0854 0B5D                add     r13, r11
+ 1825 0856 013C                jmp     .L203
+ 1826                  .LVL56:
+ 1827                  .L218:
+ 1828 0858 1D53                add     #1, r13
+ 1829                  .LVL57:
+ 1830                  .L203:
+ 1831                  .LM337:
+ 1832 085a 0C4D                mov     r13, r12 
+ 1833 085c 694B                mov.b   @r11, r9
+ 1834                  .LVL58:
+ 1835 085e 1B53                add     #1, r11
+ 1836 0860 4A99                cmp.b   r9, r10
+ 1837 0862 FA2B                jlo     .L218
+ 1838                  .LVL59:
+ 1839                  .L201:
+ 1840                  .LM338:
+ 1841 0864 1853                add     #1, r8
+ 1842 0866 0943                mov     #0, r9 
+ 1843                  .L202:
+ 1844                  .LM339:
+ 1845 0868 1C53                add     #1, r12
+ 1846                  .LM340:
+ 1847 086a 0D4E                mov     r14, r13 
+ 1848 086c 0D5C                add     r12, r13
+ 1849 086e 6D4D                mov.b   @r13, r13
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 34
+
+
+ 1850 0870 4D93                cmp.b   #0, r13
+ 1851 0872 DF23                jne     .L208
+ 1852                  .L194:
+ 1853                  .LM341:
+ 1854 0874 0F47                mov     r7, r15 
+ 1855                  .LVL60:
+ 1856                  
+ 1857                          /* epilogue: frame size = 0 */
+ 1858 0876 3741                pop     r7
+ 1859                  .LVL61:
+ 1860 0878 3841                pop     r8
+ 1861                  .LVL62:
+ 1862 087a 3941                pop     r9
+ 1863                  .LVL63:
+ 1864 087c 3A41                pop     r10
+ 1865 087e 3B41                pop     r11
+ 1866 0880 3041                ret
+ 1867                  .LVL64:
+ 1868                  .L195:
+ 1869                  .LM342:
+ 1870 0882 7D90 2000           cmp.b   #32, r13
+ 1871 0886 1224                jeq     .L219
+ 1872                  .LM343:
+ 1873 0888 1853                add     #1, r8
+ 1874                  .LM344:
+ 1875 088a 0D4E                mov     r14, r13 
+ 1876 088c 0D5C                add     r12, r13
+ 1877 088e 6A9D                cmp.b   @r13, r10
+ 1878 0890 0B2C                jhs     .L197
+ 1879 0892 0D4C                mov     r12, r13 
+ 1880 0894 1D53                add     #1, r13
+ 1881                  .LVL65:
+ 1882 0896 0B4E                mov     r14, r11 
+ 1883 0898 0B5D                add     r13, r11
+ 1884 089a 013C                jmp     .L207
+ 1885                  .LVL66:
+ 1886                  .L220:
+ 1887 089c 1D53                add     #1, r13
+ 1888                  .LVL67:
+ 1889                  .L207:
+ 1890 089e 0C4D                mov     r13, r12 
+ 1891 08a0 694B                mov.b   @r11, r9
+ 1892                  .LVL68:
+ 1893 08a2 1B53                add     #1, r11
+ 1894 08a4 4A99                cmp.b   r9, r10
+ 1895 08a6 FA2B                jlo     .L220
+ 1896                  .LVL69:
+ 1897                  .L197:
+ 1898 08a8 0943                mov     #0, r9 
+ 1899 08aa DE3F                jmp     .L202
+ 1900                  .LVL70:
+ 1901                  .L219:
+ 1902                  .LM345:
+ 1903 08ac 0D4E                mov     r14, r13 
+ 1904 08ae 0D5C                add     r12, r13
+ 1905 08b0 CD93 0000           cmp.b   #0, @r13
+ 1906 08b4 0B24                jeq     .L205
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 35
+
+
+ 1907 08b6 0D4C                mov     r12, r13 
+ 1908 08b8 1D53                add     #1, r13
+ 1909                  .LVL71:
+ 1910 08ba 0B4E                mov     r14, r11 
+ 1911 08bc 0B5D                add     r13, r11
+ 1912 08be 013C                jmp     .L206
+ 1913                  .LVL72:
+ 1914                  .L221:
+ 1915 08c0 1D53                add     #1, r13
+ 1916                  .LVL73:
+ 1917                  .L206:
+ 1918                  .LM346:
+ 1919 08c2 0C4D                mov     r13, r12 
+ 1920                  .LM347:
+ 1921 08c4 674B                mov.b   @r11, r7
+ 1922                  .LVL74:
+ 1923 08c6 1B53                add     #1, r11
+ 1924 08c8 4793                cmp.b   #0, r7
+ 1925 08ca FA23                jne     .L221
+ 1926                  .LVL75:
+ 1927                  .L205:
+ 1928                  .LM348:
+ 1929 08cc 0748                mov     r8, r7 
+ 1930 08ce CC3F                jmp     .L202
+ 1931                  .LVL76:
+ 1932                  .L217:
+ 1933                  .LM349:
+ 1934 08d0 1853                add     #1, r8
+ 1935                  .LM350:
+ 1936 08d2 0B4E                mov     r14, r11 
+ 1937 08d4 0B5C                add     r12, r11
+ 1938 08d6 6D9B                cmp.b   @r11, r13
+ 1939 08d8 E72F                jhs     .L197
+ 1940 08da 0D4C                mov     r12, r13 
+ 1941 08dc 1D53                add     #1, r13
+ 1942                  .LVL77:
+ 1943 08de 0B4E                mov     r14, r11 
+ 1944 08e0 0B5D                add     r13, r11
+ 1945 08e2 013C                jmp     .L198
+ 1946                  .LVL78:
+ 1947                  .L222:
+ 1948 08e4 1D53                add     #1, r13
+ 1949                  .LVL79:
+ 1950                  .L198:
+ 1951 08e6 0C4D                mov     r13, r12 
+ 1952 08e8 694B                mov.b   @r11, r9
+ 1953                  .LVL80:
+ 1954 08ea 1B53                add     #1, r11
+ 1955 08ec 4A99                cmp.b   r9, r10
+ 1956 08ee FA2B                jlo     .L222
+ 1957                  .LVL81:
+ 1958                  .LM351:
+ 1959 08f0 0943                mov     #0, r9 
+ 1960                  .LVL82:
+ 1961 08f2 BA3F                jmp     .L202
+ 1962                  .LVL83:
+ 1963                  .L199:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 36
+
+
+ 1964                  .LM352:
+ 1965 08f4 1953                add     #1, r9
+ 1966 08f6 B83F                jmp     .L202
+ 1967                  .LVL84:
+ 1968                  .L216:
+ 1969                  .LM353:
+ 1970 08f8 0743                mov     #0, r7 
+ 1971                  .LVL85:
+ 1972 08fa BC3F                jmp     .L194
+ 1973                  .LFE24:
+ 1974                  .Lfe24:
+ 1975                          .size   lookupToken,.Lfe24-lookupToken
+ 1976                  ;; End of function 
+ 1977                  
+ 1978                          .p2align 1,0
+ 1979                  .global luFunc
+ 1980                          .type   luFunc,@function
+ 1981                  /***********************
+ 1982                   * Function `luFunc' 
+ 1983                   ***********************/
+ 1984                  luFunc:
+ 1985                  .LFB25:
+ 1986                  .LM354:
+ 1987 08fc 0B12                push    r11
+ 1988                  .LCFI26:
+ 1989 08fe 0A12                push    r10
+ 1990                  .LCFI27:
+ 1991                          /* prologue ends here (frame size = 0) */
+ 1992                  .L__FrameSize_luFunc=0x0
+ 1993                  .L__FrameOffset_luFunc=0x4
+ 1994                  .LM355:
+ 1995 0900 3E40 0000           mov     #cmdListBi, r14 
+ 1996 0904 3F40 0000           mov     #wordBuffer, r15 
+ 1997 0908 B012 0000           call    #lookupToken
+ 1998 090c 0B4F                mov     r15, r11 
+ 1999                  .LVL86:
+ 2000                  .LM356:
+ 2001 090e 0F93                cmp     #0, r15
+ 2002 0910 1A24                jeq     .L224
+ 2003                  .LVL87:
+ 2004 0912 3E40 0000           mov     #mathStack+26, r14 
+ 2005                  .LM357:
+ 2006 0916 0C4E                mov     r14, r12 
+ 2007 0918 3C50 E4FF           add     #llo(-28), r12
+ 2008 091c 0D4E                mov     r14, r13 
+ 2009                  .L225:
+ 2010                  .LBB288:
+ 2011                  .LBB289:
+ 2012                  .LM358:
+ 2013 091e AD4D 0200           mov     @r13, 2(r13) 
+ 2014 0922 2D83                sub     #2, r13
+ 2015                  .LM359:
+ 2016 0924 3D90 0000           cmp     #mathStack-2, r13
+ 2017 0928 FA23                jne     .L225
+ 2018                  .LM360:
+ 2019 092a 3B50 204E           add     #20000, r11
+ 2020                  .LVL88:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 37
+
+
+ 2021 092e 824B 0000           mov     r11, &mathStack 
+ 2022                  .L226:
+ 2023                  .LBE289:
+ 2024                  .LBE288:
+ 2025                  .LBB290:
+ 2026                  .LBB291:
+ 2027                  .LM361:
+ 2028 0932 AE4E 0200           mov     @r14, 2(r14) 
+ 2029 0936 2E83                sub     #2, r14
+ 2030                  .LM362:
+ 2031 0938 0C9E                cmp     r14, r12
+ 2032 093a FB23                jne     .L226
+ 2033                  .LVL89:
+ 2034                  .L243:
+ 2035                  .LBE291:
+ 2036                  .LBE290:
+ 2037                  .LBB292:
+ 2038                  .LBB293:
+ 2039                  .LM363:
+ 2040 093c 9243 0000           mov     #1, &mathStack 
+ 2041                  .L235:
+ 2042                  
+ 2043                          /* epilogue: frame size = 0 */
+ 2044                  .LBE293:
+ 2045                  .LBE292:
+ 2046                  .LM364:
+ 2047 0940 3A41                pop     r10
+ 2048                  .LVL90:
+ 2049 0942 3B41                pop     r11
+ 2050                  .LVL91:
+ 2051 0944 3041                ret
+ 2052                  .LVL92:
+ 2053                  .L224:
+ 2054                  .LM365:
+ 2055 0946 3E40 0000           mov     #cmdListBi2, r14 
+ 2056 094a 3F40 0000           mov     #wordBuffer, r15 
+ 2057                  .LVL93:
+ 2058 094e B012 0000           call    #lookupToken
+ 2059 0952 0A4F                mov     r15, r10 
+ 2060                  .LVL94:
+ 2061                  .LM366:
+ 2062 0954 0F9B                cmp     r11, r15
+ 2063 0956 1424                jeq     .L228
+ 2064                  .LVL95:
+ 2065 0958 3E40 0000           mov     #mathStack+26, r14 
+ 2066                  .LM367:
+ 2067 095c 0D4E                mov     r14, r13 
+ 2068                  .L229:
+ 2069                  .LBB295:
+ 2070                  .LBB296:
+ 2071                  .LM368:
+ 2072 095e AD4D 0200           mov     @r13, 2(r13) 
+ 2073 0962 2D83                sub     #2, r13
+ 2074                  .LM369:
+ 2075 0964 3D90 0000           cmp     #mathStack-2, r13
+ 2076 0968 FA23                jne     .L229
+ 2077                  .LM370:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 38
+
+
+ 2078 096a 3A50 1027           add     #10000, r10
+ 2079                  .LVL96:
+ 2080 096e 824A 0000           mov     r10, &mathStack 
+ 2081                  .L230:
+ 2082                  .LBE296:
+ 2083                  .LBE295:
+ 2084                  .LBB297:
+ 2085                  .LBB298:
+ 2086                  .LM371:
+ 2087 0972 AE4E 0200           mov     @r14, 2(r14) 
+ 2088 0976 2E83                sub     #2, r14
+ 2089                  .LM372:
+ 2090 0978 3E90 0000           cmp     #mathStack-2, r14
+ 2091 097c FA23                jne     .L230
+ 2092 097e DE3F                jmp     .L243
+ 2093                  .LVL97:
+ 2094                  .L228:
+ 2095                  .LBE298:
+ 2096                  .LBE297:
+ 2097                  .LM373:
+ 2098 0980 3E40 0000           mov     #cmdList, r14 
+ 2099 0984 3F40 0000           mov     #wordBuffer, r15 
+ 2100 0988 B012 0000           call    #lookupToken
+ 2101                  .LM374:
+ 2102 098c 0F9A                cmp     r10, r15
+ 2103 098e 1424                jeq     .L231
+ 2104                  .LVL98:
+ 2105 0990 3E40 0000           mov     #mathStack+26, r14 
+ 2106                  .LM375:
+ 2107 0994 0C4E                mov     r14, r12 
+ 2108 0996 3C50 E4FF           add     #llo(-28), r12
+ 2109 099a 0D4E                mov     r14, r13 
+ 2110                  .L232:
+ 2111                  .LBB299:
+ 2112                  .LBB300:
+ 2113                  .LM376:
+ 2114 099c AD4D 0200           mov     @r13, 2(r13) 
+ 2115 09a0 2D83                sub     #2, r13
+ 2116                  .LM377:
+ 2117 09a2 3D90 0000           cmp     #mathStack-2, r13
+ 2118 09a6 FA23                jne     .L232
+ 2119                  .LM378:
+ 2120 09a8 824F 0000           mov     r15, &mathStack 
+ 2121                  .LVL99:
+ 2122                  .L233:
+ 2123                  .LBE300:
+ 2124                  .LBE299:
+ 2125                  .LBB301:
+ 2126                  .LBB294:
+ 2127                  .LM379:
+ 2128 09ac AE4E 0200           mov     @r14, 2(r14) 
+ 2129 09b0 2E83                sub     #2, r14
+ 2130                  .LM380:
+ 2131 09b2 0C9E                cmp     r14, r12
+ 2132 09b4 FB23                jne     .L233
+ 2133 09b6 C23F                jmp     .L243
+ 2134                  .LVL100:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 39
+
+
+ 2135                  .L231:
+ 2136                  .LM381:
+ 2137 09b8 3F40 0000           mov     #mathStack+26, r15 
+ 2138                  .L234:
+ 2139                  .LBE294:
+ 2140                  .LBE301:
+ 2141                  .LBB302:
+ 2142                  .LBB303:
+ 2143                  .LM382:
+ 2144 09bc AF4F 0200           mov     @r15, 2(r15) 
+ 2145 09c0 2F83                sub     #2, r15
+ 2146                  .LM383:
+ 2147 09c2 3F90 0000           cmp     #mathStack-2, r15
+ 2148 09c6 FA23                jne     .L234
+ 2149                  .LM384:
+ 2150 09c8 8243 0000           mov     #0, &mathStack 
+ 2151 09cc B93F                jmp     .L235
+ 2152                  .LBE303:
+ 2153                  .LBE302:
+ 2154                  .LFE25:
+ 2155                  .Lfe25:
+ 2156                          .size   luFunc,.Lfe25-luFunc
+ 2157                  ;; End of function 
+ 2158                  
+ 2159                          .p2align 1,0
+ 2160                  .global numFunc
+ 2161                          .type   numFunc,@function
+ 2162                  /***********************
+ 2163                   * Function `numFunc' 
+ 2164                   ***********************/
+ 2165                  numFunc:
+ 2166                  .LFB26:
+ 2167                  .LM385:
+ 2168 09ce 0B12                push    r11
+ 2169                  .LCFI28:
+ 2170                          /* prologue ends here (frame size = 0) */
+ 2171                  .L__FrameSize_numFunc=0x0
+ 2172                  .L__FrameOffset_numFunc=0x2
+ 2173                  .LM386:
+ 2174 09d0 5F42 0000           mov.b   &wordBuffer, r15
+ 2175                  .LM387:
+ 2176 09d4 4B43                mov.b   #0, r11
+ 2177 09d6 7F90 2D00           cmp.b   #45, r15
+ 2178 09da 3824                jeq     .L261
+ 2179                  .L245:
+ 2180 09dc 4D4B                mov.b   r11, r13
+ 2181                  .LVL101:
+ 2182                  .LM388:
+ 2183 09de 5C4D 0000           mov.b   wordBuffer(r13), r12
+ 2184 09e2 4E4C                mov.b   r12, r14
+ 2185 09e4 7E50 D0FF           add.b   #llo(-48), r14
+ 2186 09e8 7E90 0A00           cmp.b   #10, r14
+ 2187 09ec 1728                jlo     .L246
+ 2188 09ee 0F43                mov     #0, r15 
+ 2189                  .LVL102:
+ 2190 09f0 0C4F                mov     r15, r12 
+ 2191                  .LVL103:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 40
+
+
+ 2192                  .L247:
+ 2193                  .LM389:
+ 2194 09f2 3E40 0000           mov     #mathStack+26, r14 
+ 2195                  .LM390:
+ 2196 09f6 0D4E                mov     r14, r13 
+ 2197                  .LVL104:
+ 2198                  .L254:
+ 2199                  .LBB304:
+ 2200                  .LBB305:
+ 2201                  .LM391:
+ 2202 09f8 AD4D 0200           mov     @r13, 2(r13) 
+ 2203 09fc 2D83                sub     #2, r13
+ 2204                  .LM392:
+ 2205 09fe 3D90 0000           cmp     #mathStack-2, r13
+ 2206 0a02 FA23                jne     .L254
+ 2207                  .LM393:
+ 2208 0a04 824F 0000           mov     r15, &mathStack 
+ 2209                  .L255:
+ 2210                  .LBE305:
+ 2211                  .LBE304:
+ 2212                  .LBB306:
+ 2213                  .LBB307:
+ 2214                  .LM394:
+ 2215 0a08 AE4E 0200           mov     @r14, 2(r14) 
+ 2216 0a0c 2E83                sub     #2, r14
+ 2217                  .LM395:
+ 2218 0a0e 3E90 0000           cmp     #mathStack-2, r14
+ 2219 0a12 FA23                jne     .L255
+ 2220                  .LM396:
+ 2221 0a14 824C 0000           mov     r12, &mathStack 
+ 2222                  
+ 2223                          /* epilogue: frame size = 0 */
+ 2224                  .LBE307:
+ 2225                  .LBE306:
+ 2226                  .LM397:
+ 2227 0a18 3B41                pop     r11
+ 2228 0a1a 3041                ret
+ 2229                  .LVL105:
+ 2230                  .L246:
+ 2231                  .LM398:
+ 2232 0a1c 7F90 3000           cmp.b   #48, r15
+ 2233 0a20 1724                jeq     .L262
+ 2234                  .L248:
+ 2235                  .LM399:
+ 2236 0a22 1D53                add     #1, r13
+ 2237                  .LVL106:
+ 2238 0a24 3D50 0000           add     #wordBuffer, r13
+ 2239 0a28 0F43                mov     #0, r15 
+ 2240                  .LVL107:
+ 2241                  .L253:
+ 2242                  .LM400:
+ 2243 0a2a 0E4F                mov     r15, r14 
+ 2244 0a2c 0E5E                rla     r14
+ 2245 0a2e 0E5E                rla     r14
+ 2246 0a30 0F5E                add     r14, r15
+ 2247 0a32 0F5F                rla     r15
+ 2248 0a34 7CF3                and.b   #-1,r12
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 41
+
+
+ 2249 0a36 0F5C                add     r12, r15
+ 2250 0a38 3F50 D0FF           add     #llo(-48), r15
+ 2251                  .LM401:
+ 2252 0a3c 6C4D                mov.b   @r13, r12
+ 2253 0a3e 1D53                add     #1, r13
+ 2254 0a40 4C93                cmp.b   #0, r12
+ 2255 0a42 F323                jne     .L253
+ 2256                  .LM402:
+ 2257 0a44 4B9C                cmp.b   r12, r11
+ 2258 0a46 2520                jne     .L263
+ 2259                  .LM403:
+ 2260 0a48 1C43                mov     #1, r12 
+ 2261                  .LVL108:
+ 2262 0a4a D33F                jmp     .L247
+ 2263                  .LVL109:
+ 2264                  .L261:
+ 2265                  .LM404:
+ 2266 0a4c 5B53                add.b   #1, r11
+ 2267 0a4e C63F                jmp     .L245
+ 2268                  .LVL110:
+ 2269                  .L262:
+ 2270                  .LM405:
+ 2271 0a50 F290 7800           cmp.b   #120, &wordBuffer+1
+ 2271      0000 
+ 2272 0a56 E523                jne     .L248
+ 2273                  .LM406:
+ 2274 0a58 5C42 0000           mov.b   &wordBuffer+2, r12
+ 2275 0a5c 4C93                cmp.b   #0, r12
+ 2276 0a5e 1D24                jeq     .L264
+ 2277 0a60 3D40 0000           mov     #wordBuffer+3, r13 
+ 2278                  .LVL111:
+ 2279 0a64 0F43                mov     #0, r15 
+ 2280                  .LVL112:
+ 2281                  .LM407:
+ 2282 0a66 7B40 3900           mov.b   #57, r11
+ 2283                  .L251:
+ 2284                  .LM408:
+ 2285 0a6a 0E4F                mov     r15, r14 
+ 2286 0a6c 0E5E                rla     r14
+ 2287 0a6e 0E5E                rla     r14
+ 2288 0a70 0E5E                rla     r14
+ 2289 0a72 0E5E                rla     r14
+ 2290 0a74 4F4C                mov.b   r12, r15
+ 2291                  .LVL113:
+ 2292 0a76 0E5F                add     r15, r14
+ 2293 0a78 0F4E                mov     r14, r15 
+ 2294                  .LVL114:
+ 2295 0a7a 3F50 D0FF           add     #llo(-48), r15
+ 2296                  .LM409:
+ 2297 0a7e 4B9C                cmp.b   r12, r11
+ 2298 0a80 022C                jhs     .L250
+ 2299                  .LM410:
+ 2300 0a82 3F50 F9FF           add     #llo(-7), r15
+ 2301                  .L250:
+ 2302                  .LM411:
+ 2303 0a86 6C4D                mov.b   @r13, r12
+ 2304 0a88 1D53                add     #1, r13
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 42
+
+
+ 2305 0a8a 4C93                cmp.b   #0, r12
+ 2306 0a8c EE23                jne     .L251
+ 2307                  .LM412:
+ 2308 0a8e 1C43                mov     #1, r12 
+ 2309                  .LVL115:
+ 2310 0a90 B03F                jmp     .L247
+ 2311                  .LVL116:
+ 2312                  .L263:
+ 2313 0a92 3FE3                inv     r15
+ 2314 0a94 1F53                add     #1, r15
+ 2315 0a96 1C43                mov     #1, r12 
+ 2316                  .LVL117:
+ 2317 0a98 AC3F                jmp     .L247
+ 2318                  .LVL118:
+ 2319                  .L264:
+ 2320                  .LM413:
+ 2321 0a9a 0F43                mov     #0, r15 
+ 2322                  .LVL119:
+ 2323 0a9c 1C43                mov     #1, r12 
+ 2324                  .LVL120:
+ 2325 0a9e A93F                jmp     .L247
+ 2326                  .LFE26:
+ 2327                  .Lfe26:
+ 2328                          .size   numFunc,.Lfe26-numFunc
+ 2329                  ;; End of function 
+ 2330                  
+ 2331                          .p2align 1,0
+ 2332                  .global ifFunc
+ 2333                          .type   ifFunc,@function
+ 2334                  /***********************
+ 2335                   * Function `ifFunc' 
+ 2336                   ***********************/
+ 2337                  ifFunc:
+ 2338                  .LFB27:
+ 2339                  .LM414:
+ 2340                  .LVL121:
+ 2341                          /* prologue ends here (frame size = 0) */
+ 2342                  .L__FrameSize_ifFunc=0x0
+ 2343                  .L__FrameOffset_ifFunc=0x0
+ 2344                  .LM415:
+ 2345 0aa0 1E42 0000           mov     &progCounter, r14 
+ 2346 0aa4 3E90 1027           cmp     #10000, r14
+ 2347 0aa8 1938                jl      .L266
+ 2348                  .LM416:
+ 2349 0aaa 0D4E                mov     r14, r13 
+ 2350 0aac 0D5D                rla     r13
+ 2351 0aae 3D50 0000           add     #progBi-20000, r13
+ 2352 0ab2 2D4D                mov     @r13, r13 
+ 2353                  .LVL122:
+ 2354                  .LM417:
+ 2355 0ab4 1E53                add     #1, r14
+ 2356 0ab6 824E 0000           mov     r14, &progCounter 
+ 2357                  .LM418:
+ 2358 0aba 5F93                cmp.b   #1, r15
+ 2359 0abc 1824                jeq     .L273
+ 2360                  .L268:
+ 2361                  .LBB308:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 43
+
+
+ 2362                  .LBB309:
+ 2363                  .LM419:
+ 2364 0abe 1E42 0000           mov     &mathStack, r14 
+ 2365                  .LVL123:
+ 2366 0ac2 3F40 0000           mov     #mathStack+2, r15 
+ 2367                  .LVL124:
+ 2368                  .L270:
+ 2369                  .LM420:
+ 2370 0ac6 AF4F FEFF           mov     @r15, -2(r15) 
+ 2371 0aca 2F53                add     #2, r15
+ 2372                  .LM421:
+ 2373 0acc 3F90 0000           cmp     #mathStack+32, r15
+ 2374 0ad0 FA23                jne     .L270
+ 2375                  .LBE309:
+ 2376                  .LBE308:
+ 2377                  .LM422:
+ 2378 0ad2 0E93                cmp     #0, r14
+ 2379 0ad4 0220                jne     .L271
+ 2380                  .LM423:
+ 2381 0ad6 824D 0000           mov     r13, &progCounter 
+ 2382                  .L271:
+ 2383 0ada 3041                ret
+ 2384                  .LVL125:
+ 2385                  .L266:
+ 2386                  .LM424:
+ 2387 0adc 0D4E                mov     r14, r13 
+ 2388 0ade 0D5D                rla     r13
+ 2389 0ae0 1D4D 0000           mov     prog(r13), r13 
+ 2390                  .LVL126:
+ 2391                  .LM425:
+ 2392 0ae4 1E53                add     #1, r14
+ 2393 0ae6 824E 0000           mov     r14, &progCounter 
+ 2394                  .LM426:
+ 2395 0aea 5F93                cmp.b   #1, r15
+ 2396 0aec E823                jne     .L268
+ 2397                  .L273:
+ 2398                  .LM427:
+ 2399 0aee 824D 0000           mov     r13, &progCounter 
+ 2400 0af2 3041                ret
+ 2401                  .LFE27:
+ 2402                  .Lfe27:
+ 2403                          .size   ifFunc,.Lfe27-ifFunc
+ 2404                  ;; End of function 
+ 2405                  
+ 2406                          .p2align 1,0
+ 2407                  .global pushnFunc
+ 2408                          .type   pushnFunc,@function
+ 2409                  /***********************
+ 2410                   * Function `pushnFunc' 
+ 2411                   ***********************/
+ 2412                  pushnFunc:
+ 2413                  .LFB28:
+ 2414                  .LM428:
+ 2415                          /* prologue ends here (frame size = 0) */
+ 2416                  .L__FrameSize_pushnFunc=0x0
+ 2417                  .L__FrameOffset_pushnFunc=0x0
+ 2418                  .LM429:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 44
+
+
+ 2419 0af4 1F42 0000           mov     &progCounter, r15 
+ 2420 0af8 3F90 1027           cmp     #10000, r15
+ 2421 0afc 1338                jl      .L275
+ 2422                  .LM430:
+ 2423 0afe 0E4F                mov     r15, r14 
+ 2424 0b00 0E5E                rla     r14
+ 2425 0b02 3E50 0000           add     #progBi-20000, r14
+ 2426 0b06 2E4E                mov     @r14, r14 
+ 2427                  .LVL127:
+ 2428                  .L276:
+ 2429                  .LM431:
+ 2430 0b08 1F53                add     #1, r15
+ 2431 0b0a 824F 0000           mov     r15, &progCounter 
+ 2432 0b0e 3F40 0000           mov     #mathStack+26, r15 
+ 2433                  .L277:
+ 2434                  .LBB310:
+ 2435                  .LBB311:
+ 2436                  .LM432:
+ 2437 0b12 AF4F 0200           mov     @r15, 2(r15) 
+ 2438 0b16 2F83                sub     #2, r15
+ 2439                  .LM433:
+ 2440 0b18 3F90 0000           cmp     #mathStack-2, r15
+ 2441 0b1c FA23                jne     .L277
+ 2442                  .LM434:
+ 2443 0b1e 824E 0000           mov     r14, &mathStack 
+ 2444                  
+ 2445                          /* epilogue: not required */
+ 2446                  .LBE311:
+ 2447                  .LBE310:
+ 2448                  .LM435:
+ 2449 0b22 3041                ret
+ 2450                  .LVL128:
+ 2451                  .L275:
+ 2452                  .LM436:
+ 2453 0b24 0E4F                mov     r15, r14 
+ 2454 0b26 0E5E                rla     r14
+ 2455 0b28 1E4E 0000           mov     prog(r14), r14 
+ 2456                  .LVL129:
+ 2457 0b2c ED3F                jmp     .L276
+ 2458                  .LFE28:
+ 2459                  .Lfe28:
+ 2460                          .size   pushnFunc,.Lfe28-pushnFunc
+ 2461                  ;; End of function 
+ 2462                  
+ 2463                          .p2align 1,0
+ 2464                  .global overFunc
+ 2465                          .type   overFunc,@function
+ 2466                  /***********************
+ 2467                   * Function `overFunc' 
+ 2468                   ***********************/
+ 2469                  overFunc:
+ 2470                  .LFB29:
+ 2471                  .LM437:
+ 2472                          /* prologue ends here (frame size = 0) */
+ 2473                  .L__FrameSize_overFunc=0x0
+ 2474                  .L__FrameOffset_overFunc=0x0
+ 2475                  .LM438:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 45
+
+
+ 2476 0b2e 1E42 0000           mov     &mathStack+2, r14 
+ 2477                  .LVL130:
+ 2478 0b32 3F40 0000           mov     #mathStack+26, r15 
+ 2479                  .L281:
+ 2480                  .LBB312:
+ 2481                  .LBB313:
+ 2482                  .LM439:
+ 2483 0b36 AF4F 0200           mov     @r15, 2(r15) 
+ 2484 0b3a 2F83                sub     #2, r15
+ 2485                  .LM440:
+ 2486 0b3c 3F90 0000           cmp     #mathStack-2, r15
+ 2487 0b40 FA23                jne     .L281
+ 2488                  .LM441:
+ 2489 0b42 824E 0000           mov     r14, &mathStack 
+ 2490                  
+ 2491                          /* epilogue: not required */
+ 2492                  .LBE313:
+ 2493                  .LBE312:
+ 2494                  .LM442:
+ 2495 0b46 3041                ret
+ 2496                  .LFE29:
+ 2497                  .Lfe29:
+ 2498                          .size   overFunc,.Lfe29-overFunc
+ 2499                  ;; End of function 
+ 2500                  
+ 2501                          .p2align 1,0
+ 2502                  .global dfnFunc
+ 2503                          .type   dfnFunc,@function
+ 2504                  /***********************
+ 2505                   * Function `dfnFunc' 
+ 2506                   ***********************/
+ 2507                  dfnFunc:
+ 2508                  .LFB30:
+ 2509                  .LM443:
+ 2510 0b48 0B12                push    r11
+ 2511                  .LCFI29:
+ 2512                          /* prologue ends here (frame size = 0) */
+ 2513                  .L__FrameSize_dfnFunc=0x0
+ 2514                  .L__FrameOffset_dfnFunc=0x2
+ 2515                  .LM444:
+ 2516 0b4a 5E42 0000           mov.b   &wordBuffer, r14
+ 2517 0b4e 4E93                cmp.b   #0, r14
+ 2518 0b50 2624                jeq     .L290
+ 2519 0b52 1B42 0000           mov     &cmdListPtr, r11 
+ 2520 0b56 0C4B                mov     r11, r12 
+ 2521 0b58 1C53                add     #1, r12
+ 2522 0b5a 0F43                mov     #0, r15 
+ 2523                  .LVL131:
+ 2524 0b5c 3B50 0000           add     #cmdList, r11
+ 2525                  .L287:
+ 2526                  .LM445:
+ 2527 0b60 0D4B                mov     r11, r13 
+ 2528 0b62 0D5F                add     r15, r13
+ 2529 0b64 CD4E 0000           mov.b   r14, @r13
+ 2530 0b68 0D4C                mov     r12, r13 
+ 2531                  .LM446:
+ 2532 0b6a 1F53                add     #1, r15
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 46
+
+
+ 2533                  .LM447:
+ 2534 0b6c 5E4F 0000           mov.b   wordBuffer(r15), r14
+ 2535 0b70 1C53                add     #1, r12
+ 2536 0b72 4E93                cmp.b   #0, r14
+ 2537 0b74 F523                jne     .L287
+ 2538                  .L286:
+ 2539                  .LM448:
+ 2540 0b76 FD40 2000           mov.b   #32, cmdList(r13)
+ 2540      0000 
+ 2541 0b7c 1D53                add     #1, r13
+ 2542 0b7e 824D 0000           mov     r13, &cmdListPtr 
+ 2543                  .LM449:
+ 2544 0b82 CD43 0000           mov.b   #0, cmdList(r13)
+ 2545                  .LM450:
+ 2546 0b86 3E40 0000           mov     #cmdList, r14 
+ 2547 0b8a 3F40 0000           mov     #wordBuffer, r15 
+ 2548                  .LVL132:
+ 2549 0b8e B012 0000           call    #lookupToken
+ 2550                  .LM451:
+ 2551 0b92 0F5F                rla     r15
+ 2552 0b94 9F42 0000           mov     &progPtr, progOps(r15) 
+ 2552      0000 
+ 2553                  
+ 2554                          /* epilogue: frame size = 0 */
+ 2555                  .LM452:
+ 2556 0b9a 3B41                pop     r11
+ 2557 0b9c 3041                ret
+ 2558                  .L290:
+ 2559                  .LM453:
+ 2560 0b9e 1D42 0000           mov     &cmdListPtr, r13 
+ 2561 0ba2 E93F                jmp     .L286
+ 2562                  .LFE30:
+ 2563                  .Lfe30:
+ 2564                          .size   dfnFunc,.Lfe30-dfnFunc
+ 2565                  ;; End of function 
+ 2566                  
+ 2567                          .p2align 1,0
+ 2568                  .global printNumber
+ 2569                          .type   printNumber,@function
+ 2570                  /***********************
+ 2571                   * Function `printNumber' 
+ 2572                   ***********************/
+ 2573                  printNumber:
+ 2574                  .LFB31:
+ 2575                  .LM454:
+ 2576                  .LVL133:
+ 2577 0ba4 0B12                push    r11
+ 2578                  .LCFI30:
+ 2579 0ba6 0A12                push    r10
+ 2580                  .LCFI31:
+ 2581 0ba8 0912                push    r9
+ 2582                  .LCFI32:
+ 2583 0baa 0812                push    r8
+ 2584                  .LCFI33:
+ 2585 0bac 0712                push    r7
+ 2586                  .LCFI34:
+ 2587 0bae 0412                push    r4
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 47
+
+
+ 2588                  .LCFI35:
+ 2589 0bb0 3150 F2FF           add     #llo(-14), r1
+ 2590                  .LCFI36:
+ 2591                          /* prologue ends here (frame size = 14) */
+ 2592                  .L__FrameSize_printNumber=0xe
+ 2593                  .L__FrameOffset_printNumber=0x1a
+ 2594                  .LM455:
+ 2595 0bb4 084F                mov     r15, r8 
+ 2596                  .LVL134:
+ 2597 0bb6 0893                tst     r8
+ 2598 0bb8 0234                jge     .Lae2003
+ 2599 0bba 38E3                inv     r8
+ 2600 0bbc 1853                inc     r8
+ 2601                  .Lae2003:
+ 2602 0bbe 0441                mov     r1, r4 
+ 2603 0bc0 0743                mov     #0, r7 
+ 2604                  .LVL135:
+ 2605 0bc2 013C                jmp     .L293
+ 2606                  .LVL136:
+ 2607                  .L310:
+ 2608                  .LM456:
+ 2609 0bc4 074E                mov     r14, r7 
+ 2610                  .LVL137:
+ 2611                  .L293:
+ 2612                  .LM457:
+ 2613 0bc6 0C48                mov     r8, r12 
+ 2614 0bc8 3A40 0A00           mov     #10, r10 
+ 2615 0bcc B012 0000           call    #__divmodhi4
+ 2616 0bd0 094E                mov     r14, r9 
+ 2617                  .LM458:
+ 2618 0bd2 0C48                mov     r8, r12 
+ 2619 0bd4 3A40 0A00           mov     #10, r10 
+ 2620 0bd8 B012 0000           call    #__divmodhi4
+ 2621 0bdc 084C                mov     r12, r8 
+ 2622                  .LM459:
+ 2623 0bde 3950 3000           add     #48, r9
+ 2624 0be2 8449 0000           mov     r9, @r4 
+ 2625 0be6 0E47                mov     r7, r14 
+ 2626 0be8 1E53                add     #1, r14
+ 2627                  .LVL138:
+ 2628 0bea 2453                add     #2, r4
+ 2629                  .LM460:
+ 2630 0bec 0C93                cmp     #0, r12
+ 2631 0bee EA23                jne     .L310
+ 2632                  .LVL139:
+ 2633                  .LM461:
+ 2634 0bf0 0F9C                cmp     r12, r15
+ 2635 0bf2 4D38                jl      .L311
+ 2636                  .L294:
+ 2637                  .LBB314:
+ 2638                  .LBB315:
+ 2639                  .LM462:
+ 2640 0bf4 0C47                mov     r7, r12 
+ 2641                  .LVL140:
+ 2642 0bf6 3C53                add     #llo(-1), r12
+ 2643                  .LVL141:
+ 2644 0bf8 0E4C                mov     r12, r14 
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 48
+
+
+ 2645 0bfa 0E5E                rla     r14
+ 2646 0bfc 0E51                add     r1, r14
+ 2647                  .L300:
+ 2648                  .LBE315:
+ 2649                  .LBE314:
+ 2650                  .LBB317:
+ 2651                  .LBB318:
+ 2652                  .LM463:
+ 2653 0bfe 1842 0000           mov     &outputRingPtrXin, r8 
+ 2654                  .LVL142:
+ 2655                  .LM464:
+ 2656 0c02 1D42 0000           mov     &outputRingPtrXout, r13 
+ 2657 0c06 1F42 0000           mov     &outputRingPtrXin, r15 
+ 2658                  .LVL143:
+ 2659 0c0a 0D9F                cmp     r15, r13
+ 2660 0c0c 0724                jeq     .L297
+ 2661                  .L305:
+ 2662                  .LM465:
+ 2663                  /* #APP */
+ 2664                   ;  577 "x.c" 1
+ 2665 0c0e 32D2                eint
+ 2666                   ;  0 "" 2
+ 2667                  .LM466:
+ 2668                  /* #NOAPP */
+ 2669 0c10 1D42 0000           mov     &outputRingPtrXout, r13 
+ 2670 0c14 1F42 0000           mov     &outputRingPtrXin, r15 
+ 2671 0c18 0D9F                cmp     r15, r13
+ 2672 0c1a F923                jne     .L305
+ 2673                  .L297:
+ 2674                  .LM467:
+ 2675 0c1c 1F42 0000           mov     &outputRingPtrXin, r15 
+ 2676 0c20 0F5F                rla     r15
+ 2677 0c22 CF49 0000           mov.b   r9, outputRing(r15)
+ 2678 0c26 CF43 0000           clr.b   outputRing+1(r15)
+ 2679                  .LM468:
+ 2680 0c2a 4F48                mov.b   r8, r15
+ 2681 0c2c 5F53                add.b   #1, r15
+ 2682                  .LM469:
+ 2683 0c2e 0D4F                mov     r15, r13 
+ 2684 0c30 3DF0 0F00           and     #15, r13
+ 2685 0c34 824D 0000           mov     r13, &outputRingPtrXin 
+ 2686                  .LBE318:
+ 2687                  .LBE317:
+ 2688                  .LM470:
+ 2689 0c38 0C93                cmp     #0, r12
+ 2690 0c3a 0438                jl      .L299
+ 2691 0c3c 294E                mov     @r14, r9 
+ 2692 0c3e 2E83                sub     #2, r14
+ 2693 0c40 3C53                add     #llo(-1), r12
+ 2694 0c42 DD3F                jmp     .L300
+ 2695                  .L299:
+ 2696                  .LBB319:
+ 2697                  .LBB320:
+ 2698                  .LM471:
+ 2699 0c44 1D42 0000           mov     &outputRingPtrXin, r13 
+ 2700                  .LM472:
+ 2701 0c48 1E42 0000           mov     &outputRingPtrXout, r14 
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 49
+
+
+ 2702 0c4c 1F42 0000           mov     &outputRingPtrXin, r15 
+ 2703 0c50 0E9F                cmp     r15, r14
+ 2704 0c52 0724                jeq     .L301
+ 2705                  .L304:
+ 2706                  .LM473:
+ 2707                  /* #APP */
+ 2708                   ;  577 "x.c" 1
+ 2709 0c54 32D2                eint
+ 2710                   ;  0 "" 2
+ 2711                  .LM474:
+ 2712                  /* #NOAPP */
+ 2713 0c56 1E42 0000           mov     &outputRingPtrXout, r14 
+ 2714 0c5a 1F42 0000           mov     &outputRingPtrXin, r15 
+ 2715 0c5e 0E9F                cmp     r15, r14
+ 2716 0c60 F923                jne     .L304
+ 2717                  .L301:
+ 2718                  .LM475:
+ 2719 0c62 1F42 0000           mov     &outputRingPtrXin, r15 
+ 2720 0c66 0F5F                rla     r15
+ 2721 0c68 BF40 2000           mov     #32, outputRing(r15) 
+ 2721      0000 
+ 2722                  .LM476:
+ 2723 0c6e 4F4D                mov.b   r13, r15
+ 2724 0c70 5F53                add.b   #1, r15
+ 2725                  .LM477:
+ 2726 0c72 0E4F                mov     r15, r14 
+ 2727 0c74 3EF0 0F00           and     #15, r14
+ 2728 0c78 824E 0000           mov     r14, &outputRingPtrXin 
+ 2729                  
+ 2730                          /* epilogue: frame size = 14 */
+ 2731                  .LBE320:
+ 2732                  .LBE319:
+ 2733                  .LM478:
+ 2734 0c7c 3150 0E00           add     #14, r1
+ 2735                  .LCFI37:
+ 2736 0c80 3441                pop     r4
+ 2737 0c82 3741                pop     r7
+ 2738 0c84 3841                pop     r8
+ 2739 0c86 3941                pop     r9
+ 2740 0c88 3A41                pop     r10
+ 2741 0c8a 3B41                pop     r11
+ 2742 0c8c 3041                ret
+ 2743                  .LVL144:
+ 2744                  .L311:
+ 2745                  .LBB321:
+ 2746                  .LBB316:
+ 2747                  .LM479:
+ 2748 0c8e 1D42 0000           mov     &outputRingPtrXin, r13 
+ 2749                  .LM480:
+ 2750 0c92 1E42 0000           mov     &outputRingPtrXout, r14 
+ 2751                  .LVL145:
+ 2752 0c96 1F42 0000           mov     &outputRingPtrXin, r15 
+ 2753                  .LVL146:
+ 2754 0c9a 0E9F                cmp     r15, r14
+ 2755 0c9c 0724                jeq     .L295
+ 2756                  .L306:
+ 2757                  .LM481:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 50
+
+
+ 2758                  /* #APP */
+ 2759                   ;  577 "x.c" 1
+ 2760 0c9e 32D2                eint
+ 2761                   ;  0 "" 2
+ 2762                  .LM482:
+ 2763                  /* #NOAPP */
+ 2764 0ca0 1E42 0000           mov     &outputRingPtrXout, r14 
+ 2765 0ca4 1F42 0000           mov     &outputRingPtrXin, r15 
+ 2766 0ca8 0E9F                cmp     r15, r14
+ 2767 0caa F923                jne     .L306
+ 2768                  .L295:
+ 2769                  .LM483:
+ 2770 0cac 1F42 0000           mov     &outputRingPtrXin, r15 
+ 2771 0cb0 0F5F                rla     r15
+ 2772 0cb2 BF40 2D00           mov     #45, outputRing(r15) 
+ 2772      0000 
+ 2773                  .LM484:
+ 2774 0cb8 4F4D                mov.b   r13, r15
+ 2775 0cba 5F53                add.b   #1, r15
+ 2776                  .LM485:
+ 2777 0cbc 0D4F                mov     r15, r13 
+ 2778 0cbe 3DF0 0F00           and     #15, r13
+ 2779 0cc2 824D 0000           mov     r13, &outputRingPtrXin 
+ 2780 0cc6 963F                jmp     .L294
+ 2781                  .LBE316:
+ 2782                  .LBE321:
+ 2783                  .LFE31:
+ 2784                  .Lfe31:
+ 2785                          .size   printNumber,.Lfe31-printNumber
+ 2786                  ;; End of function 
+ 2787                  
+ 2788                          .p2align 1,0
+ 2789                  .global printHexChar
+ 2790                          .type   printHexChar,@function
+ 2791                  /***********************
+ 2792                   * Function `printHexChar' 
+ 2793                   ***********************/
+ 2794                  printHexChar:
+ 2795                  .LFB32:
+ 2796                  .LM486:
+ 2797                  .LVL147:
+ 2798                          /* prologue ends here (frame size = 0) */
+ 2799                  .L__FrameSize_printHexChar=0x0
+ 2800                  .L__FrameOffset_printHexChar=0x0
+ 2801                  .LM487:
+ 2802 0cc8 3FF0 0F00           and     #15, r15
+ 2803                  .LVL148:
+ 2804                  .LM488:
+ 2805 0ccc 3F90 0A00           cmp     #10, r15
+ 2806 0cd0 0238                jl      .L313
+ 2807                  .LM489:
+ 2808 0cd2 3F50 0700           add     #7, r15
+ 2809                  .L313:
+ 2810                  .LBB322:
+ 2811                  .LBB323:
+ 2812                  .LM490:
+ 2813 0cd6 1C42 0000           mov     &outputRingPtrXin, r12 
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 51
+
+
+ 2814                  .LM491:
+ 2815 0cda 1D42 0000           mov     &outputRingPtrXout, r13 
+ 2816 0cde 1E42 0000           mov     &outputRingPtrXin, r14 
+ 2817 0ce2 0D9E                cmp     r14, r13
+ 2818 0ce4 0724                jeq     .L314
+ 2819                  .L317:
+ 2820                  .LM492:
+ 2821                  /* #APP */
+ 2822                   ;  577 "x.c" 1
+ 2823 0ce6 32D2                eint
+ 2824                   ;  0 "" 2
+ 2825                  .LM493:
+ 2826                  /* #NOAPP */
+ 2827 0ce8 1D42 0000           mov     &outputRingPtrXout, r13 
+ 2828 0cec 1E42 0000           mov     &outputRingPtrXin, r14 
+ 2829 0cf0 0D9E                cmp     r14, r13
+ 2830 0cf2 F923                jne     .L317
+ 2831                  .L314:
+ 2832                  .LM494:
+ 2833 0cf4 1E42 0000           mov     &outputRingPtrXin, r14 
+ 2834 0cf8 0E5E                rla     r14
+ 2835 0cfa 3F50 3000           add     #48, r15
+ 2836 0cfe 8E4F 0000           mov     r15, outputRing(r14) 
+ 2837                  .LM495:
+ 2838 0d02 4F4C                mov.b   r12, r15
+ 2839                  .LVL149:
+ 2840 0d04 5F53                add.b   #1, r15
+ 2841                  .LM496:
+ 2842 0d06 0E4F                mov     r15, r14 
+ 2843 0d08 3EF0 0F00           and     #15, r14
+ 2844 0d0c 824E 0000           mov     r14, &outputRingPtrXin 
+ 2845                  
+ 2846                          /* epilogue: not required */
+ 2847                  .LBE323:
+ 2848                  .LBE322:
+ 2849                  .LM497:
+ 2850 0d10 3041                ret
+ 2851                  .LFE32:
+ 2852                  .Lfe32:
+ 2853                          .size   printHexChar,.Lfe32-printHexChar
+ 2854                  ;; End of function 
+ 2855                  
+ 2856                          .p2align 1,0
+ 2857                  .global printHexByte
+ 2858                          .type   printHexByte,@function
+ 2859                  /***********************
+ 2860                   * Function `printHexByte' 
+ 2861                   ***********************/
+ 2862                  printHexByte:
+ 2863                  .LFB33:
+ 2864                  .LM498:
+ 2865                  .LVL150:
+ 2866 0d12 0B12                push    r11
+ 2867                  .LCFI38:
+ 2868                          /* prologue ends here (frame size = 0) */
+ 2869                  .L__FrameSize_printHexByte=0x0
+ 2870                  .L__FrameOffset_printHexByte=0x2
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 52
+
+
+ 2871                  .LM499:
+ 2872 0d14 7FF3                and.b   #-1,r15
+ 2873                  .LVL151:
+ 2874                  .LBB324:
+ 2875                  .LBB325:
+ 2876                  .LM500:
+ 2877 0d16 0E4F                mov     r15, r14 
+ 2878                  .LVL152:
+ 2879 0d18 0E11                rra     r14
+ 2880 0d1a 0E11                rra     r14
+ 2881 0d1c 0E11                rra     r14
+ 2882 0d1e 0E11                rra     r14
+ 2883 0d20 3EF0 0F00           and     #15, r14
+ 2884                  .LM501:
+ 2885 0d24 3E90 0A00           cmp     #10, r14
+ 2886 0d28 0238                jl      .L320
+ 2887                  .LM502:
+ 2888 0d2a 3E50 0700           add     #7, r14
+ 2889                  .L320:
+ 2890                  .LBB326:
+ 2891                  .LBB327:
+ 2892                  .LM503:
+ 2893 0d2e 1B42 0000           mov     &outputRingPtrXin, r11 
+ 2894                  .LM504:
+ 2895 0d32 1C42 0000           mov     &outputRingPtrXout, r12 
+ 2896 0d36 1D42 0000           mov     &outputRingPtrXin, r13 
+ 2897 0d3a 0C9D                cmp     r13, r12
+ 2898 0d3c 0724                jeq     .L321
+ 2899                  .L328:
+ 2900                  .LM505:
+ 2901                  /* #APP */
+ 2902                   ;  577 "x.c" 1
+ 2903 0d3e 32D2                eint
+ 2904                   ;  0 "" 2
+ 2905                  .LM506:
+ 2906                  /* #NOAPP */
+ 2907 0d40 1C42 0000           mov     &outputRingPtrXout, r12 
+ 2908 0d44 1D42 0000           mov     &outputRingPtrXin, r13 
+ 2909 0d48 0C9D                cmp     r13, r12
+ 2910 0d4a F923                jne     .L328
+ 2911                  .L321:
+ 2912                  .LM507:
+ 2913 0d4c 1D42 0000           mov     &outputRingPtrXin, r13 
+ 2914 0d50 0D5D                rla     r13
+ 2915 0d52 3E50 3000           add     #48, r14
+ 2916 0d56 8D4E 0000           mov     r14, outputRing(r13) 
+ 2917                  .LM508:
+ 2918 0d5a 4E4B                mov.b   r11, r14
+ 2919                  .LVL153:
+ 2920 0d5c 5E53                add.b   #1, r14
+ 2921                  .LM509:
+ 2922 0d5e 0D4E                mov     r14, r13 
+ 2923 0d60 3DF0 0F00           and     #15, r13
+ 2924 0d64 824D 0000           mov     r13, &outputRingPtrXin 
+ 2925                  .LBE327:
+ 2926                  .LBE326:
+ 2927                  .LBE325:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 53
+
+
+ 2928                  .LBE324:
+ 2929                  .LBB328:
+ 2930                  .LBB329:
+ 2931                  .LM510:
+ 2932 0d68 0D4F                mov     r15, r13 
+ 2933                  .LVL154:
+ 2934 0d6a 3DF0 0F00           and     #15, r13
+ 2935                  .LM511:
+ 2936 0d6e 3D90 0A00           cmp     #10, r13
+ 2937 0d72 0238                jl      .L323
+ 2938                  .LM512:
+ 2939 0d74 3D50 0700           add     #7, r13
+ 2940                  .L323:
+ 2941                  .LBB330:
+ 2942                  .LBB331:
+ 2943                  .LM513:
+ 2944 0d78 1C42 0000           mov     &outputRingPtrXin, r12 
+ 2945                  .LM514:
+ 2946 0d7c 1E42 0000           mov     &outputRingPtrXout, r14 
+ 2947 0d80 1F42 0000           mov     &outputRingPtrXin, r15 
+ 2948                  .LVL155:
+ 2949 0d84 0E9F                cmp     r15, r14
+ 2950 0d86 0724                jeq     .L324
+ 2951                  .L327:
+ 2952                  .LM515:
+ 2953                  /* #APP */
+ 2954                   ;  577 "x.c" 1
+ 2955 0d88 32D2                eint
+ 2956                   ;  0 "" 2
+ 2957                  .LM516:
+ 2958                  /* #NOAPP */
+ 2959 0d8a 1E42 0000           mov     &outputRingPtrXout, r14 
+ 2960 0d8e 1F42 0000           mov     &outputRingPtrXin, r15 
+ 2961 0d92 0E9F                cmp     r15, r14
+ 2962 0d94 F923                jne     .L327
+ 2963                  .L324:
+ 2964                  .LM517:
+ 2965 0d96 1F42 0000           mov     &outputRingPtrXin, r15 
+ 2966 0d9a 0F5F                rla     r15
+ 2967 0d9c 3D50 3000           add     #48, r13
+ 2968 0da0 8F4D 0000           mov     r13, outputRing(r15) 
+ 2969                  .LM518:
+ 2970 0da4 4F4C                mov.b   r12, r15
+ 2971 0da6 5F53                add.b   #1, r15
+ 2972                  .LM519:
+ 2973 0da8 0E4F                mov     r15, r14 
+ 2974 0daa 3EF0 0F00           and     #15, r14
+ 2975 0dae 824E 0000           mov     r14, &outputRingPtrXin 
+ 2976                  
+ 2977                          /* epilogue: frame size = 0 */
+ 2978                  .LBE331:
+ 2979                  .LBE330:
+ 2980                  .LBE329:
+ 2981                  .LBE328:
+ 2982                  .LM520:
+ 2983 0db2 3B41                pop     r11
+ 2984 0db4 3041                ret
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 54
+
+
+ 2985                  .LFE33:
+ 2986                  .Lfe33:
+ 2987                          .size   printHexByte,.Lfe33-printHexByte
+ 2988                  ;; End of function 
+ 2989                  
+ 2990                          .p2align 1,0
+ 2991                  .global printHexWord
+ 2992                          .type   printHexWord,@function
+ 2993                  /***********************
+ 2994                   * Function `printHexWord' 
+ 2995                   ***********************/
+ 2996                  printHexWord:
+ 2997                  .LFB34:
+ 2998                  .LM521:
+ 2999                  .LVL156:
+ 3000 0db6 0B12                push    r11
+ 3001                  .LCFI39:
+ 3002                          /* prologue ends here (frame size = 0) */
+ 3003                  .L__FrameSize_printHexWord=0x0
+ 3004                  .L__FrameOffset_printHexWord=0x2
+ 3005 0db8 0B4F                mov     r15, r11 
+ 3006                  .LM522:
+ 3007 0dba 8F10                swpb    r15
+ 3008 0dbc 8F11                sxt     r15
+ 3009                  .LVL157:
+ 3010 0dbe B012 0000           call    #printHexByte
+ 3011                  .LM523:
+ 3012 0dc2 0F4B                mov     r11, r15 
+ 3013                  .LVL158:
+ 3014 0dc4 B012 0000           call    #printHexByte
+ 3015                  
+ 3016                          /* epilogue: frame size = 0 */
+ 3017                  .LM524:
+ 3018 0dc8 3B41                pop     r11
+ 3019                  .LVL159:
+ 3020 0dca 3041                ret
+ 3021                  .LFE34:
+ 3022                  .Lfe34:
+ 3023                          .size   printHexWord,.Lfe34-printHexWord
+ 3024                  ;; End of function 
+ 3025                  
+ 3026                  .LC0:
+ 3027 0dcc 7072 6F67           .string "prog mem"
+ 3027      206D 656D 
+ 3027      00
+ 3028                  .LC1:
+ 3029 0dd5 6F70 636F           .string "opcode "
+ 3029      6465 2000 
+ 3030 0ddd 00                  .p2align 1,0
+ 3031                  .global execN
+ 3032                          .type   execN,@function
+ 3033                  /***********************
+ 3034                   * Function `execN' 
+ 3035                   ***********************/
+ 3036                  execN:
+ 3037                  .LFB36:
+ 3038                  .LM525:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 55
+
+
+ 3039                  .LVL160:
+ 3040 0dde 0B12                push    r11
+ 3041                  .LCFI40:
+ 3042 0de0 0A12                push    r10
+ 3043                  .LCFI41:
+ 3044 0de2 0912                push    r9
+ 3045                  .LCFI42:
+ 3046 0de4 0812                push    r8
+ 3047                  .LCFI43:
+ 3048                          /* prologue ends here (frame size = 0) */
+ 3049                  .L__FrameSize_execN=0x0
+ 3050                  .L__FrameOffset_execN=0x8
+ 3051                  .LM526:
+ 3052 0de6 3F90 4000           cmp     #64, r15
+ 3053 0dea 2C28                jlo     .L571
+ 3054                  .L334:
+ 3055                  .LM527:
+ 3056 0dec 3D40 0000           mov     #.LC1, r13 
+ 3057                  .LVL161:
+ 3058                  .LBB332:
+ 3059                  .LBB333:
+ 3060                  .LM528:
+ 3061 0df0 5C42 0000           mov.b   &.LC1, r12
+ 3062 0df4 4C93                cmp.b   #0, r12
+ 3063 0df6 2124                jeq     .L483
+ 3064                  .L484:
+ 3065                  .LBB334:
+ 3066                  .LBB335:
+ 3067                  .LM529:
+ 3068 0df8 1B42 0000           mov     &outputRingPtrXin, r11 
+ 3069                  .LM530:
+ 3070 0dfc 1E42 0000           mov     &outputRingPtrXout, r14 
+ 3071 0e00 1F42 0000           mov     &outputRingPtrXin, r15 
+ 3072                  .LVL162:
+ 3073 0e04 0E9F                cmp     r15, r14
+ 3074 0e06 0724                jeq     .L480
+ 3075                  .L485:
+ 3076                  .LM531:
+ 3077                  /* #APP */
+ 3078                   ;  577 "x.c" 1
+ 3079 0e08 32D2                eint
+ 3080                   ;  0 "" 2
+ 3081                  .LM532:
+ 3082                  /* #NOAPP */
+ 3083 0e0a 1E42 0000           mov     &outputRingPtrXout, r14 
+ 3084 0e0e 1F42 0000           mov     &outputRingPtrXin, r15 
+ 3085 0e12 0E9F                cmp     r15, r14
+ 3086 0e14 F923                jne     .L485
+ 3087                  .L480:
+ 3088                  .LM533:
+ 3089 0e16 1F42 0000           mov     &outputRingPtrXin, r15 
+ 3090 0e1a 0F5F                rla     r15
+ 3091 0e1c CF4C 0000           mov.b   r12, outputRing(r15)
+ 3092 0e20 CF43 0000           clr.b   outputRing+1(r15)
+ 3093                  .LM534:
+ 3094 0e24 4F4B                mov.b   r11, r15
+ 3095 0e26 5F53                add.b   #1, r15
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 56
+
+
+ 3096                  .LM535:
+ 3097 0e28 0E4F                mov     r15, r14 
+ 3098 0e2a 3EF0 0F00           and     #15, r14
+ 3099 0e2e 824E 0000           mov     r14, &outputRingPtrXin 
+ 3100                  .LBE335:
+ 3101                  .LBE334:
+ 3102                  .LM536:
+ 3103 0e32 1D53                add     #1, r13
+ 3104                  .LM537:
+ 3105 0e34 6C4D                mov.b   @r13, r12
+ 3106 0e36 4C93                cmp.b   #0, r12
+ 3107 0e38 DF23                jne     .L484
+ 3108                  .LVL163:
+ 3109                  .L483:
+ 3110                  
+ 3111                          /* epilogue: frame size = 0 */
+ 3112                  .LBE333:
+ 3113                  .LBE332:
+ 3114                  .LM538:
+ 3115 0e3a 3841                pop     r8
+ 3116 0e3c 3941                pop     r9
+ 3117                  .LVL164:
+ 3118 0e3e 3A41                pop     r10
+ 3119 0e40 3B41                pop     r11
+ 3120 0e42 3041                ret
+ 3121                  .LVL165:
+ 3122                  .L571:
+ 3123                  .LM539:
+ 3124 0e44 0F5F                rla     r15
+ 3125                  .LVL166:
+ 3126 0e46 104F 0000           br      .L395(r15)      ;       .L395
+ 3127                          .p2align 1,0
+ 3128                          .p2align 1,0
+ 3129                  .L395:
+ 3130 0e4a 0000                .word   .L334
+ 3131 0e4c 0000                .word   .L483
+ 3132 0e4e 0000                .word   .L336
+ 3133 0e50 0000                .word   .L337
+ 3134 0e52 0000                .word   .L338
+ 3135 0e54 0000                .word   .L339
+ 3136 0e56 0000                .word   .L340
+ 3137 0e58 0000                .word   .L341
+ 3138 0e5a 0000                .word   .L342
+ 3139 0e5c 0000                .word   .L343
+ 3140 0e5e 0000                .word   .L344
+ 3141 0e60 0000                .word   .L345
+ 3142 0e62 0000                .word   .L346
+ 3143 0e64 0000                .word   .L347
+ 3144 0e66 0000                .word   .L348
+ 3145 0e68 0000                .word   .L349
+ 3146 0e6a 0000                .word   .L350
+ 3147 0e6c 0000                .word   .L351
+ 3148 0e6e 0000                .word   .L352
+ 3149 0e70 0000                .word   .L353
+ 3150 0e72 0000                .word   .L354
+ 3151 0e74 0000                .word   .L355
+ 3152 0e76 0000                .word   .L356
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 57
+
+
+ 3153 0e78 0000                .word   .L334
+ 3154 0e7a 0000                .word   .L334
+ 3155 0e7c 0000                .word   .L357
+ 3156 0e7e 0000                .word   .L358
+ 3157 0e80 0000                .word   .L359
+ 3158 0e82 0000                .word   .L360
+ 3159 0e84 0000                .word   .L334
+ 3160 0e86 0000                .word   .L361
+ 3161 0e88 0000                .word   .L362
+ 3162 0e8a 0000                .word   .L363
+ 3163 0e8c 0000                .word   .L364
+ 3164 0e8e 0000                .word   .L365
+ 3165 0e90 0000                .word   .L366
+ 3166 0e92 0000                .word   .L367
+ 3167 0e94 0000                .word   .L368
+ 3168 0e96 0000                .word   .L369
+ 3169 0e98 0000                .word   .L370
+ 3170 0e9a 0000                .word   .L371
+ 3171 0e9c 0000                .word   .L372
+ 3172 0e9e 0000                .word   .L373
+ 3173 0ea0 0000                .word   .L374
+ 3174 0ea2 0000                .word   .L375
+ 3175 0ea4 0000                .word   .L376
+ 3176 0ea6 0000                .word   .L377
+ 3177 0ea8 0000                .word   .L385
+ 3178 0eaa 0000                .word   .L379
+ 3179 0eac 0000                .word   .L380
+ 3180 0eae 0000                .word   .L381
+ 3181 0eb0 0000                .word   .L382
+ 3182 0eb2 0000                .word   .L557
+ 3183 0eb4 0000                .word   .L384
+ 3184 0eb6 0000                .word   .L385
+ 3185 0eb8 0000                .word   .L386
+ 3186 0eba 0000                .word   .L387
+ 3187 0ebc 0000                .word   .L388
+ 3188 0ebe 0000                .word   .L389
+ 3189 0ec0 0000                .word   .L390
+ 3190 0ec2 0000                .word   .L391
+ 3191 0ec4 0000                .word   .L392
+ 3192 0ec6 0000                .word   .L393
+ 3193 0ec8 0000                .word   .L394
+ 3194                  .LVL167:
+ 3195                  .L490:
+ 3196                  .LBB336:
+ 3197                  .LBB337:
+ 3198                  .LM540:
+ 3199                  /* #APP */
+ 3200                   ;  588 "x.c" 1
+ 3201 0eca 32D2                eint
+ 3202                   ;  0 "" 2
+ 3203                  .LVL168:
+ 3204                  /* #NOAPP */
+ 3205                  .L557:
+ 3206                  .LM541:
+ 3207 0ecc 1E42 0000           mov     &inputRingPtrXin, r14 
+ 3208 0ed0 1F42 0000           mov     &inputRingPtrXout, r15 
+ 3209                  .LVL169:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 58
+
+
+ 3210 0ed4 0E9F                cmp     r15, r14
+ 3211 0ed6 F927                jeq     .L490
+ 3212                  .LM542:
+ 3213 0ed8 1F42 0000           mov     &inputRingPtrXout, r15 
+ 3214 0edc 5E4F 0000           mov.b   inputRing(r15), r14
+ 3215                  .LVL170:
+ 3216 0ee0 1F53                add     #1, r15
+ 3217 0ee2 824F 0000           mov     r15, &inputRingPtrXout 
+ 3218                  .LM543:
+ 3219 0ee6 B2F0 0F00           and     #15, &inputRingPtrXout
+ 3219      0000 
+ 3220 0eec 3F40 0000           mov     #mathStack+26, r15 
+ 3221                  .L450:
+ 3222                  .LBE337:
+ 3223                  .LBE336:
+ 3224                  .LBB338:
+ 3225                  .LBB339:
+ 3226                  .LM544:
+ 3227 0ef0 AF4F 0200           mov     @r15, 2(r15) 
+ 3228 0ef4 2F83                sub     #2, r15
+ 3229                  .LM545:
+ 3230 0ef6 3F90 0000           cmp     #mathStack-2, r15
+ 3231 0efa FA23                jne     .L450
+ 3232                  .LM546:
+ 3233 0efc C24E 0000           mov.b   r14, &mathStack
+ 3234 0f00 C243 0000           clr.b   &mathStack+1
+ 3235                  .LBE339:
+ 3236                  .LBE338:
+ 3237                  .LM547:
+ 3238 0f04 9A3F                jmp     .L483
+ 3239                  .LVL171:
+ 3240                  .L385:
+ 3241                  .LM548:
+ 3242 0f06 1F42 0000           mov     &mathStack, r15 
+ 3243                  .LVL172:
+ 3244 0f0a 0F5F                rla     r15
+ 3245 0f0c 924F 0000           mov     buckets(r15), &mathStack 
+ 3245      0000 
+ 3246                  .LM549:
+ 3247 0f12 933F                jmp     .L483
+ 3248                  .LVL173:
+ 3249                  .L366:
+ 3250                  .LBB340:
+ 3251                  .LBB341:
+ 3252                  .LM550:
+ 3253 0f14 1F42 0000           mov     &progCounter, r15 
+ 3254                  .LVL174:
+ 3255 0f18 3F90 1027           cmp     #10000, r15
+ 3256 0f1c 0234                jge     +4
+ 3257 0f1e 3040 0000           br      #.L425
+ 3258                  .LM551:
+ 3259 0f22 0E4F                mov     r15, r14 
+ 3260 0f24 0E5E                rla     r14
+ 3261 0f26 3E50 0000           add     #progBi-20000, r14
+ 3262 0f2a 2E4E                mov     @r14, r14 
+ 3263                  .LVL175:
+ 3264                  .L426:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 59
+
+
+ 3265                  .LM552:
+ 3266 0f2c 1F53                add     #1, r15
+ 3267 0f2e 824F 0000           mov     r15, &progCounter 
+ 3268 0f32 3F40 0000           mov     #mathStack+26, r15 
+ 3269                  .L427:
+ 3270                  .LBB342:
+ 3271                  .LBB343:
+ 3272                  .LM553:
+ 3273 0f36 AF4F 0200           mov     @r15, 2(r15) 
+ 3274 0f3a 2F83                sub     #2, r15
+ 3275                  .LM554:
+ 3276 0f3c 3F90 0000           cmp     #mathStack-2, r15
+ 3277 0f40 FA23                jne     .L427
+ 3278                  .LBE343:
+ 3279                  .LBE342:
+ 3280                  .LBE341:
+ 3281                  .LBE340:
+ 3282                  .LBB345:
+ 3283                  .LBB348:
+ 3284                  .LM555:
+ 3285 0f42 824E 0000           mov     r14, &mathStack 
+ 3286                  .LVL176:
+ 3287                  .LBE348:
+ 3288                  .LBE345:
+ 3289                  .LM556:
+ 3290 0f46 793F                jmp     .L483
+ 3291                  .LVL177:
+ 3292                  .L365:
+ 3293                  .LM557:
+ 3294 0f48 B012 0000           call    #luFunc
+ 3295                  .LVL178:
+ 3296                  .LM558:
+ 3297 0f4c 763F                jmp     .L483
+ 3298                  .LVL179:
+ 3299                  .L364:
+ 3300                  .LM559:
+ 3301 0f4e B012 0000           call    #execFunc
+ 3302                  .LVL180:
+ 3303                  .LM560:
+ 3304 0f52 733F                jmp     .L483
+ 3305                  .LVL181:
+ 3306                  .L363:
+ 3307                  .LM561:
+ 3308 0f54 5F43                mov.b   #1, r15
+ 3309                  .LVL182:
+ 3310 0f56 B012 0000           call    #ifFunc
+ 3311                  .LM562:
+ 3312 0f5a 6F3F                jmp     .L483
+ 3313                  .LVL183:
+ 3314                  .L362:
+ 3315                  .LM563:
+ 3316 0f5c 3F40 0000           mov     #mathStack+26, r15 
+ 3317                  .LVL184:
+ 3318                  .L424:
+ 3319                  .LBB352:
+ 3320                  .LBB354:
+ 3321                  .LM564:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 60
+
+
+ 3322 0f60 AF4F 0200           mov     @r15, 2(r15) 
+ 3323 0f64 2F83                sub     #2, r15
+ 3324                  .LM565:
+ 3325 0f66 3F90 0000           cmp     #mathStack-2, r15
+ 3326 0f6a FA23                jne     .L424
+ 3327                  .LVL185:
+ 3328                  .L562:
+ 3329                  .LM566:
+ 3330 0f6c 8243 0000           mov     #0, &mathStack 
+ 3331                  .LBE354:
+ 3332                  .LBE352:
+ 3333                  .LM567:
+ 3334 0f70 643F                jmp     .L483
+ 3335                  .LVL186:
+ 3336                  .L361:
+ 3337                  .LM568:
+ 3338 0f72 B012 0000           call    #numFunc
+ 3339                  .LVL187:
+ 3340                  .LM569:
+ 3341 0f76 613F                jmp     .L483
+ 3342                  .LVL188:
+ 3343                  .L360:
+ 3344                  .LBB356:
+ 3345                  .LBB357:
+ 3346                  .LM570:
+ 3347 0f78 1F42 0000           mov     &mathStack, r15 
+ 3348                  .LVL189:
+ 3349 0f7c 3E40 0000           mov     #mathStack+2, r14 
+ 3350                  .L423:
+ 3351                  .LM571:
+ 3352 0f80 AE4E FEFF           mov     @r14, -2(r14) 
+ 3353 0f84 2E53                add     #2, r14
+ 3354                  .LM572:
+ 3355 0f86 3E90 0000           cmp     #mathStack+32, r14
+ 3356 0f8a FA23                jne     .L423
+ 3357                  .LBE357:
+ 3358                  .LBE356:
+ 3359                  .LM573:
+ 3360 0f8c B012 0000           call    #printHexWord
+ 3361                  .LVL190:
+ 3362                  .LM574:
+ 3363 0f90 543F                jmp     .L483
+ 3364                  .LVL191:
+ 3365                  .L359:
+ 3366                  .LM575:
+ 3367 0f92 3F40 0000           mov     #buckets, r15 
+ 3368                  .LVL192:
+ 3369                  .L422:
+ 3370                  .LM576:
+ 3371 0f96 8F43 0000           mov     #0, @r15 
+ 3372 0f9a 2F53                add     #2, r15
+ 3373                  .LM577:
+ 3374 0f9c 3F90 0000           cmp     #buckets+512, r15
+ 3375 0fa0 FA23                jne     .L422
+ 3376 0fa2 4B3F                jmp     .L483
+ 3377                  .LVL193:
+ 3378                  .L358:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 61
+
+
+ 3379                  .LBB358:
+ 3380                  .LBB359:
+ 3381                  .LM578:
+ 3382 0fa4 1E42 0000           mov     &addrStackPtr, r14 
+ 3383 0fa8 0F4E                mov     r14, r15 
+ 3384                  .LVL194:
+ 3385 0faa 0F5F                rla     r15
+ 3386 0fac 1C4F 0000           mov     addrStack(r15), r12 
+ 3387                  .LVL195:
+ 3388                  .LM579:
+ 3389 0fb0 0F4E                mov     r14, r15 
+ 3390 0fb2 1F53                add     #1, r15
+ 3391 0fb4 824F 0000           mov     r15, &addrStackPtr 
+ 3392                  .LBE359:
+ 3393                  .LBE358:
+ 3394                  .LBB360:
+ 3395                  .LBB361:
+ 3396                  .LM580:
+ 3397 0fb8 1D42 0000           mov     &mathStack, r13 
+ 3398                  .LVL196:
+ 3399 0fbc 3F40 0000           mov     #mathStack+2, r15 
+ 3400                  .L421:
+ 3401                  .LM581:
+ 3402 0fc0 AF4F FEFF           mov     @r15, -2(r15) 
+ 3403 0fc4 2F53                add     #2, r15
+ 3404                  .LM582:
+ 3405 0fc6 3F90 0000           cmp     #mathStack+32, r15
+ 3406 0fca FA23                jne     .L421
+ 3407                  .LBE361:
+ 3408                  .LBE360:
+ 3409                  .LM583:
+ 3410 0fcc 0D93                cmp     #0, r13
+ 3411 0fce 3523                jne     .L483
+ 3412                  .LM584:
+ 3413 0fd0 824E 0000           mov     r14, &addrStackPtr 
+ 3414                  .LM585:
+ 3415 0fd4 824C 0000           mov     r12, &progCounter 
+ 3416 0fd8 303F                jmp     .L483
+ 3417                  .LVL197:
+ 3418                  .L357:
+ 3419                  .LBB362:
+ 3420                  .LBB363:
+ 3421                  .LM586:
+ 3422 0fda 1F42 0000           mov     &addrStackPtr, r15 
+ 3423                  .LVL198:
+ 3424 0fde 3F53                add     #llo(-1), r15
+ 3425 0fe0 824F 0000           mov     r15, &addrStackPtr 
+ 3426                  .LM587:
+ 3427 0fe4 0F5F                rla     r15
+ 3428 0fe6 9F42 0000           mov     &progCounter, addrStack(r15) 
+ 3428      0000 
+ 3429 0fec 263F                jmp     .L483
+ 3430                  .LVL199:
+ 3431                  .L336:
+ 3432                  .LBE363:
+ 3433                  .LBE362:
+ 3434                  .LM588:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 62
+
+
+ 3435 0fee 1E42 0000           mov     &mathStack, r14 
+ 3436 0ff2 1E52 0000           add     &mathStack+2, r14
+ 3437 0ff6 824E 0000           mov     r14, &mathStack+2 
+ 3438 0ffa 3F40 0000           mov     #mathStack, r15 
+ 3439                  .LVL200:
+ 3440 0ffe 023C                jmp     .L399
+ 3441                  .L572:
+ 3442                  .LBB364:
+ 3443                  .LBB365:
+ 3444                  .LM589:
+ 3445 1000 1E4F 0200           mov     2(r15), r14 
+ 3446                  .L399:
+ 3447                  .LM590:
+ 3448 1004 8F4E 0000           mov     r14, @r15 
+ 3449 1008 2F53                add     #2, r15
+ 3450                  .LM591:
+ 3451 100a 3F90 0000           cmp     #mathStack+30, r15
+ 3452 100e F823                jne     .L572
+ 3453 1010 143F                jmp     .L483
+ 3454                  .LVL201:
+ 3455                  .L384:
+ 3456                  .LBE365:
+ 3457                  .LBE364:
+ 3458                  .LBB366:
+ 3459                  .LBB367:
+ 3460                  .LM592:
+ 3461 1012 1D42 0000           mov     &outputRingPtrXin, r13 
+ 3462                  .LM593:
+ 3463 1016 1E42 0000           mov     &outputRingPtrXout, r14 
+ 3464 101a 1F42 0000           mov     &outputRingPtrXin, r15 
+ 3465                  .LVL202:
+ 3466 101e 0E9F                cmp     r15, r14
+ 3467 1020 0724                jeq     .L451
+ 3468                  .L492:
+ 3469                  .LM594:
+ 3470                  /* #APP */
+ 3471                   ;  577 "x.c" 1
+ 3472 1022 32D2                eint
+ 3473                   ;  0 "" 2
+ 3474                  .LM595:
+ 3475                  /* #NOAPP */
+ 3476 1024 1E42 0000           mov     &outputRingPtrXout, r14 
+ 3477 1028 1F42 0000           mov     &outputRingPtrXin, r15 
+ 3478 102c 0E9F                cmp     r15, r14
+ 3479 102e F923                jne     .L492
+ 3480                  .L451:
+ 3481                  .LM596:
+ 3482 1030 1F42 0000           mov     &outputRingPtrXin, r15 
+ 3483 1034 0F5F                rla     r15
+ 3484 1036 BF40 0D00           mov     #13, outputRing(r15) 
+ 3484      0000 
+ 3485                  .LM597:
+ 3486 103c 4F4D                mov.b   r13, r15
+ 3487 103e 5F53                add.b   #1, r15
+ 3488                  .LM598:
+ 3489 1040 0E4F                mov     r15, r14 
+ 3490 1042 3EF0 0F00           and     #15, r14
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 63
+
+
+ 3491 1046 824E 0000           mov     r14, &outputRingPtrXin 
+ 3492                  .LBE367:
+ 3493                  .LBE366:
+ 3494                  .LBB368:
+ 3495                  .LBB369:
+ 3496                  .LM599:
+ 3497 104a 1D42 0000           mov     &outputRingPtrXin, r13 
+ 3498                  .LM600:
+ 3499 104e 1E42 0000           mov     &outputRingPtrXout, r14 
+ 3500 1052 1F42 0000           mov     &outputRingPtrXin, r15 
+ 3501 1056 0E9F                cmp     r15, r14
+ 3502 1058 0724                jeq     .L453
+ 3503                  .L491:
+ 3504                  .LM601:
+ 3505                  /* #APP */
+ 3506                   ;  577 "x.c" 1
+ 3507 105a 32D2                eint
+ 3508                   ;  0 "" 2
+ 3509                  .LM602:
+ 3510                  /* #NOAPP */
+ 3511 105c 1E42 0000           mov     &outputRingPtrXout, r14 
+ 3512 1060 1F42 0000           mov     &outputRingPtrXin, r15 
+ 3513 1064 0E9F                cmp     r15, r14
+ 3514 1066 F923                jne     .L491
+ 3515                  .L453:
+ 3516                  .LM603:
+ 3517 1068 1F42 0000           mov     &outputRingPtrXin, r15 
+ 3518 106c 0F5F                rla     r15
+ 3519 106e BF40 0A00           mov     #10, outputRing(r15) 
+ 3519      0000 
+ 3520                  .LVL203:
+ 3521                  .L567:
+ 3522                  .LM604:
+ 3523 1074 4F4D                mov.b   r13, r15
+ 3524 1076 5F53                add.b   #1, r15
+ 3525                  .LM605:
+ 3526 1078 0E4F                mov     r15, r14 
+ 3527 107a 3EF0 0F00           and     #15, r14
+ 3528 107e 824E 0000           mov     r14, &outputRingPtrXin 
+ 3529                  .LBE369:
+ 3530                  .LBE368:
+ 3531                  .LM606:
+ 3532 1082 DB3E                jmp     .L483
+ 3533                  .LVL204:
+ 3534                  .L387:
+ 3535                  .LM607:
+ 3536 1084 3F40 0000           mov     #mathStack+26, r15 
+ 3537                  .LVL205:
+ 3538                  .L456:
+ 3539                  .LBB370:
+ 3540                  .LBB371:
+ 3541                  .LM608:
+ 3542 1088 AF4F 0200           mov     @r15, 2(r15) 
+ 3543 108c 2F83                sub     #2, r15
+ 3544                  .LM609:
+ 3545 108e 3F90 0000           cmp     #mathStack-2, r15
+ 3546 1092 FA23                jne     .L456
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 64
+
+
+ 3547                  .LM610:
+ 3548 1094 3F40 0000           mov     #fastTimer, r15 
+ 3549 1098 0F11                rra     r15
+ 3550 109a 824F 0000           mov     r15, &mathStack 
+ 3551                  .LBE371:
+ 3552                  .LBE370:
+ 3553                  .LM611:
+ 3554 109e CD3E                jmp     .L483
+ 3555                  .LVL206:
+ 3556                  .L386:
+ 3557                  .LM612:
+ 3558 10a0 3F40 0000           mov     #buckets, r15 
+ 3559                  .LVL207:
+ 3560                  .L455:
+ 3561                  .LM613:
+ 3562 10a4 8F43 0000           mov     #0, @r15 
+ 3563 10a8 2F53                add     #2, r15
+ 3564                  .LM614:
+ 3565 10aa 3F90 0000           cmp     #buckets+520, r15
+ 3566 10ae FA23                jne     .L455
+ 3567 10b0 C43E                jmp     .L483
+ 3568                  .LVL208:
+ 3569                  .L391:
+ 3570                  .LM615:
+ 3571 10b2 1F42 0000           mov     &fecShadow+4, r15 
+ 3572                  .LVL209:
+ 3573 10b6 B012 0000           call    #printHexWord
+ 3574                  .LBB372:
+ 3575                  .LBB373:
+ 3576                  .LM616:
+ 3577 10ba 1D42 0000           mov     &outputRingPtrXin, r13 
+ 3578                  .LM617:
+ 3579 10be 1E42 0000           mov     &outputRingPtrXout, r14 
+ 3580 10c2 1F42 0000           mov     &outputRingPtrXin, r15 
+ 3581 10c6 0E9F                cmp     r15, r14
+ 3582 10c8 0724                jeq     .L469
+ 3583                  .L498:
+ 3584                  .LM618:
+ 3585                  /* #APP */
+ 3586                   ;  577 "x.c" 1
+ 3587 10ca 32D2                eint
+ 3588                   ;  0 "" 2
+ 3589                  .LM619:
+ 3590                  /* #NOAPP */
+ 3591 10cc 1E42 0000           mov     &outputRingPtrXout, r14 
+ 3592 10d0 1F42 0000           mov     &outputRingPtrXin, r15 
+ 3593 10d4 0E9F                cmp     r15, r14
+ 3594 10d6 F923                jne     .L498
+ 3595                  .L469:
+ 3596                  .LM620:
+ 3597 10d8 1F42 0000           mov     &outputRingPtrXin, r15 
+ 3598 10dc 0F5F                rla     r15
+ 3599 10de BF40 2000           mov     #32, outputRing(r15) 
+ 3599      0000 
+ 3600                  .LM621:
+ 3601 10e4 4F4D                mov.b   r13, r15
+ 3602 10e6 5F53                add.b   #1, r15
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 65
+
+
+ 3603                  .LM622:
+ 3604 10e8 0E4F                mov     r15, r14 
+ 3605 10ea 3EF0 0F00           and     #15, r14
+ 3606 10ee 824E 0000           mov     r14, &outputRingPtrXin 
+ 3607                  .LBE373:
+ 3608                  .LBE372:
+ 3609                  .LM623:
+ 3610 10f2 1F42 0000           mov     &fecShadow+2, r15 
+ 3611 10f6 B012 0000           call    #printHexWord
+ 3612                  .LBB374:
+ 3613                  .LBB375:
+ 3614                  .LM624:
+ 3615 10fa 1D42 0000           mov     &outputRingPtrXin, r13 
+ 3616                  .LM625:
+ 3617 10fe 1E42 0000           mov     &outputRingPtrXout, r14 
+ 3618 1102 1F42 0000           mov     &outputRingPtrXin, r15 
+ 3619 1106 0E9F                cmp     r15, r14
+ 3620 1108 0724                jeq     .L471
+ 3621                  .L497:
+ 3622                  .LM626:
+ 3623                  /* #APP */
+ 3624                   ;  577 "x.c" 1
+ 3625 110a 32D2                eint
+ 3626                   ;  0 "" 2
+ 3627                  .LM627:
+ 3628                  /* #NOAPP */
+ 3629 110c 1E42 0000           mov     &outputRingPtrXout, r14 
+ 3630 1110 1F42 0000           mov     &outputRingPtrXin, r15 
+ 3631 1114 0E9F                cmp     r15, r14
+ 3632 1116 F923                jne     .L497
+ 3633                  .L471:
+ 3634                  .LM628:
+ 3635 1118 1F42 0000           mov     &outputRingPtrXin, r15 
+ 3636 111c 0F5F                rla     r15
+ 3637 111e BF40 2000           mov     #32, outputRing(r15) 
+ 3637      0000 
+ 3638                  .LM629:
+ 3639 1124 4F4D                mov.b   r13, r15
+ 3640 1126 5F53                add.b   #1, r15
+ 3641                  .LM630:
+ 3642 1128 0E4F                mov     r15, r14 
+ 3643 112a 3EF0 0F00           and     #15, r14
+ 3644 112e 824E 0000           mov     r14, &outputRingPtrXin 
+ 3645                  .LBE375:
+ 3646                  .LBE374:
+ 3647                  .LM631:
+ 3648 1132 1F42 0000           mov     &fecShadow, r15 
+ 3649 1136 B012 0000           call    #printHexWord
+ 3650 113a 7F3E                jmp     .L483
+ 3651                  .LVL210:
+ 3652                  .L390:
+ 3653                  .LM632:
+ 3654 113c 3B40 0000           mov     #buckets, r11 
+ 3655 1140 0A43                mov     #0, r10 
+ 3656                  .LVL211:
+ 3657 1142 053C                jmp     .L468
+ 3658                  .L461:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 66
+
+
+ 3659                  .LM633:
+ 3660 1144 1A53                add     #1, r10
+ 3661 1146 2B53                add     #2, r11
+ 3662 1148 3A90 0001           cmp     #256, r10
+ 3663 114c 7626                jeq     .L483
+ 3664                  .L468:
+ 3665                  .LM634:
+ 3666 114e 8B93 0000           cmp     #0, @r11
+ 3667 1152 F827                jeq     .L461
+ 3668                  .LM635:
+ 3669 1154 0F4A                mov     r10, r15 
+ 3670                  .LVL212:
+ 3671 1156 B012 0000           call    #printHexByte
+ 3672                  .LBB376:
+ 3673                  .LBB377:
+ 3674                  .LM636:
+ 3675 115a 1F42 0000           mov     &outputRingPtrXin, r15 
+ 3676                  .LM637:
+ 3677 115e 1D42 0000           mov     &outputRingPtrXout, r13 
+ 3678 1162 1E42 0000           mov     &outputRingPtrXin, r14 
+ 3679 1166 0D9E                cmp     r14, r13
+ 3680 1168 0724                jeq     .L462
+ 3681                  .L496:
+ 3682                  .LM638:
+ 3683                  /* #APP */
+ 3684                   ;  577 "x.c" 1
+ 3685 116a 32D2                eint
+ 3686                   ;  0 "" 2
+ 3687                  .LM639:
+ 3688                  /* #NOAPP */
+ 3689 116c 1D42 0000           mov     &outputRingPtrXout, r13 
+ 3690 1170 1E42 0000           mov     &outputRingPtrXin, r14 
+ 3691 1174 0D9E                cmp     r14, r13
+ 3692 1176 F923                jne     .L496
+ 3693                  .L462:
+ 3694                  .LM640:
+ 3695 1178 1E42 0000           mov     &outputRingPtrXin, r14 
+ 3696 117c 0E5E                rla     r14
+ 3697 117e BE40 2000           mov     #32, outputRing(r14) 
+ 3697      0000 
+ 3698                  .LM641:
+ 3699 1184 5F53                add.b   #1, r15
+ 3700                  .LM642:
+ 3701 1186 0E4F                mov     r15, r14 
+ 3702 1188 3EF0 0F00           and     #15, r14
+ 3703 118c 824E 0000           mov     r14, &outputRingPtrXin 
+ 3704                  .LBE377:
+ 3705                  .LBE376:
+ 3706                  .LM643:
+ 3707 1190 2F4B                mov     @r11, r15 
+ 3708 1192 B012 0000           call    #printHexWord
+ 3709                  .LBB378:
+ 3710                  .LBB379:
+ 3711                  .LM644:
+ 3712 1196 1F42 0000           mov     &outputRingPtrXin, r15 
+ 3713                  .LM645:
+ 3714 119a 1D42 0000           mov     &outputRingPtrXout, r13 
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 67
+
+
+ 3715 119e 1E42 0000           mov     &outputRingPtrXin, r14 
+ 3716 11a2 0D9E                cmp     r14, r13
+ 3717 11a4 0724                jeq     .L464
+ 3718                  .L495:
+ 3719                  .LM646:
+ 3720                  /* #APP */
+ 3721                   ;  577 "x.c" 1
+ 3722 11a6 32D2                eint
+ 3723                   ;  0 "" 2
+ 3724                  .LM647:
+ 3725                  /* #NOAPP */
+ 3726 11a8 1D42 0000           mov     &outputRingPtrXout, r13 
+ 3727 11ac 1E42 0000           mov     &outputRingPtrXin, r14 
+ 3728 11b0 0D9E                cmp     r14, r13
+ 3729 11b2 F923                jne     .L495
+ 3730                  .L464:
+ 3731                  .LM648:
+ 3732 11b4 1E42 0000           mov     &outputRingPtrXin, r14 
+ 3733 11b8 0E5E                rla     r14
+ 3734 11ba BE40 0D00           mov     #13, outputRing(r14) 
+ 3734      0000 
+ 3735                  .LM649:
+ 3736 11c0 5F53                add.b   #1, r15
+ 3737                  .LM650:
+ 3738 11c2 0E4F                mov     r15, r14 
+ 3739 11c4 3EF0 0F00           and     #15, r14
+ 3740 11c8 824E 0000           mov     r14, &outputRingPtrXin 
+ 3741                  .LBE379:
+ 3742                  .LBE378:
+ 3743                  .LBB380:
+ 3744                  .LBB381:
+ 3745                  .LM651:
+ 3746 11cc 1F42 0000           mov     &outputRingPtrXin, r15 
+ 3747                  .LM652:
+ 3748 11d0 1D42 0000           mov     &outputRingPtrXout, r13 
+ 3749 11d4 1E42 0000           mov     &outputRingPtrXin, r14 
+ 3750 11d8 0D9E                cmp     r14, r13
+ 3751 11da 0724                jeq     .L466
+ 3752                  .L494:
+ 3753                  .LM653:
+ 3754                  /* #APP */
+ 3755                   ;  577 "x.c" 1
+ 3756 11dc 32D2                eint
+ 3757                   ;  0 "" 2
+ 3758                  .LM654:
+ 3759                  /* #NOAPP */
+ 3760 11de 1D42 0000           mov     &outputRingPtrXout, r13 
+ 3761 11e2 1E42 0000           mov     &outputRingPtrXin, r14 
+ 3762 11e6 0D9E                cmp     r14, r13
+ 3763 11e8 F923                jne     .L494
+ 3764                  .L466:
+ 3765                  .LM655:
+ 3766 11ea 1E42 0000           mov     &outputRingPtrXin, r14 
+ 3767 11ee 0E5E                rla     r14
+ 3768 11f0 BE40 0A00           mov     #10, outputRing(r14) 
+ 3768      0000 
+ 3769                  .LM656:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 68
+
+
+ 3770 11f6 5F53                add.b   #1, r15
+ 3771                  .LM657:
+ 3772 11f8 0E4F                mov     r15, r14 
+ 3773 11fa 3EF0 0F00           and     #15, r14
+ 3774 11fe 824E 0000           mov     r14, &outputRingPtrXin 
+ 3775 1202 A03F                jmp     .L461
+ 3776                  .LVL213:
+ 3777                  .L374:
+ 3778                  .LBE381:
+ 3779                  .LBE380:
+ 3780                  .LM658:
+ 3781 1204 1E42 0000           mov     &progPtr, r14 
+ 3782 1208 3F40 0000           mov     #mathStack+26, r15 
+ 3783                  .LVL214:
+ 3784                  .L440:
+ 3785                  .LBB382:
+ 3786                  .LBB383:
+ 3787                  .LM659:
+ 3788 120c AF4F 0200           mov     @r15, 2(r15) 
+ 3789 1210 2F83                sub     #2, r15
+ 3790                  .LM660:
+ 3791 1212 3F90 0000           cmp     #mathStack-2, r15
+ 3792 1216 FA23                jne     .L440
+ 3793                  .LBE383:
+ 3794                  .LBE382:
+ 3795                  .LBB384:
+ 3796                  .LBB349:
+ 3797                  .LM661:
+ 3798 1218 824E 0000           mov     r14, &mathStack 
+ 3799                  .LVL215:
+ 3800 121c 3040 0000           br      #.L483
+ 3801                  .LVL216:
+ 3802                  .L373:
+ 3803                  .LBE349:
+ 3804                  .LBE384:
+ 3805                  .LBB385:
+ 3806                  .LBB386:
+ 3807                  .LM662:
+ 3808 1220 1C42 0000           mov     &mathStack, r12 
+ 3809                  .LVL217:
+ 3810 1224 3F40 0000           mov     #mathStack+2, r15 
+ 3811                  .LVL218:
+ 3812                  .LM663:
+ 3813 1228 0D4F                mov     r15, r13 
+ 3814 122a 3D50 1E00           add     #30, r13
+ 3815 122e 0E4F                mov     r15, r14 
+ 3816                  .L438:
+ 3817                  .LM664:
+ 3818 1230 AE4E FEFF           mov     @r14, -2(r14) 
+ 3819 1234 2E53                add     #2, r14
+ 3820                  .LM665:
+ 3821 1236 3E90 0000           cmp     #mathStack+32, r14
+ 3822 123a FA23                jne     .L438
+ 3823                  .LBE386:
+ 3824                  .LBE385:
+ 3825                  .LBB387:
+ 3826                  .LBB388:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 69
+
+
+ 3827                  .LM666:
+ 3828 123c 1E42 0000           mov     &mathStack, r14 
+ 3829                  .LVL219:
+ 3830                  .L439:
+ 3831                  .LM667:
+ 3832 1240 AF4F FEFF           mov     @r15, -2(r15) 
+ 3833 1244 2F53                add     #2, r15
+ 3834                  .LM668:
+ 3835 1246 0D9F                cmp     r15, r13
+ 3836 1248 FB23                jne     .L439
+ 3837                  .LBE388:
+ 3838                  .LBE387:
+ 3839                  .LM669:
+ 3840 124a 0F4C                mov     r12, r15 
+ 3841 124c 1FC3                bic     #1,r15
+ 3842                  .LVL220:
+ 3843 124e 1F52 0000           add     &dirMemory, r15
+ 3844                  .LVL221:
+ 3845 1252 8F4E 0000           mov     r14, @r15 
+ 3846                  .LM670:
+ 3847 1256 3040 0000           br      #.L483
+ 3848                  .LVL222:
+ 3849                  .L372:
+ 3850                  .LBB389:
+ 3851                  .LBB390:
+ 3852                  .LM671:
+ 3853 125a 1E42 0000           mov     &mathStack, r14 
+ 3854                  .LVL223:
+ 3855 125e 3F40 0000           mov     #mathStack+2, r15 
+ 3856                  .LVL224:
+ 3857                  .L436:
+ 3858                  .LM672:
+ 3859 1262 AF4F FEFF           mov     @r15, -2(r15) 
+ 3860 1266 2F53                add     #2, r15
+ 3861                  .LM673:
+ 3862 1268 3F90 0000           cmp     #mathStack+32, r15
+ 3863 126c FA23                jne     .L436
+ 3864                  .LBE390:
+ 3865                  .LBE389:
+ 3866                  .LM674:
+ 3867 126e 1EC3                bic     #1,r14
+ 3868                  .LVL225:
+ 3869 1270 1E52 0000           add     &dirMemory, r14
+ 3870                  .LVL226:
+ 3871 1274 2E4E                mov     @r14, r14 
+ 3872                  .LVL227:
+ 3873 1276 3F50 FAFF           add     #llo(-6), r15
+ 3874                  .L437:
+ 3875                  .LBB391:
+ 3876                  .LBB392:
+ 3877                  .LM675:
+ 3878 127a AF4F 0200           mov     @r15, 2(r15) 
+ 3879 127e 2F83                sub     #2, r15
+ 3880                  .LM676:
+ 3881 1280 3F90 0000           cmp     #mathStack-2, r15
+ 3882 1284 FA23                jne     .L437
+ 3883                  .LBE392:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 70
+
+
+ 3884                  .LBE391:
+ 3885                  .LBB393:
+ 3886                  .LBB347:
+ 3887                  .LM677:
+ 3888 1286 824E 0000           mov     r14, &mathStack 
+ 3889 128a 3040 0000           br      #.L483
+ 3890                  .LVL228:
+ 3891                  .L371:
+ 3892                  .LBE347:
+ 3893                  .LBE393:
+ 3894                  .LBB394:
+ 3895                  .LBB395:
+ 3896                  .LM678:
+ 3897 128e 1E42 0000           mov     &addrStackPtr, r14 
+ 3898 1292 0F4E                mov     r14, r15 
+ 3899                  .LVL229:
+ 3900 1294 0F5F                rla     r15
+ 3901                  .LM679:
+ 3902 1296 1E53                add     #1, r14
+ 3903 1298 824E 0000           mov     r14, &addrStackPtr 
+ 3904                  .LBE395:
+ 3905                  .LBE394:
+ 3906                  .LM680:
+ 3907 129c 924F 0000           mov     addrStack(r15), &progCounter 
+ 3907      0000 
+ 3908                  .LM681:
+ 3909 12a2 3040 0000           br      #.L483
+ 3910                  .LVL230:
+ 3911                  .L370:
+ 3912                  .LBB396:
+ 3913                  .LBB397:
+ 3914                  .LM682:
+ 3915 12a6 1C42 0000           mov     &mathStack, r12 
+ 3916                  .LVL231:
+ 3917 12aa 3F40 0000           mov     #mathStack+2, r15 
+ 3918                  .LVL232:
+ 3919                  .L433:
+ 3920                  .LM683:
+ 3921 12ae AF4F FEFF           mov     @r15, -2(r15) 
+ 3922 12b2 2F53                add     #2, r15
+ 3923                  .LM684:
+ 3924 12b4 3F90 0000           cmp     #mathStack+32, r15
+ 3925 12b8 FA23                jne     .L433
+ 3926                  .LBE397:
+ 3927                  .LBE396:
+ 3928                  .LBB398:
+ 3929                  .LBB399:
+ 3930                  .LM685:
+ 3931 12ba 1D42 0000           mov     &outputRingPtrXin, r13 
+ 3932                  .LM686:
+ 3933 12be 1E42 0000           mov     &outputRingPtrXout, r14 
+ 3934 12c2 1F42 0000           mov     &outputRingPtrXin, r15 
+ 3935 12c6 0E9F                cmp     r15, r14
+ 3936 12c8 0724                jeq     .L434
+ 3937                  .L489:
+ 3938                  .LM687:
+ 3939                  /* #APP */
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 71
+
+
+ 3940                   ;  577 "x.c" 1
+ 3941 12ca 32D2                eint
+ 3942                   ;  0 "" 2
+ 3943                  .LM688:
+ 3944                  /* #NOAPP */
+ 3945 12cc 1E42 0000           mov     &outputRingPtrXout, r14 
+ 3946 12d0 1F42 0000           mov     &outputRingPtrXin, r15 
+ 3947 12d4 0E9F                cmp     r15, r14
+ 3948 12d6 F923                jne     .L489
+ 3949                  .L434:
+ 3950                  .LM689:
+ 3951 12d8 1F42 0000           mov     &outputRingPtrXin, r15 
+ 3952 12dc 0F5F                rla     r15
+ 3953 12de CF4C 0000           mov.b   r12, outputRing(r15)
+ 3954 12e2 CF43 0000           clr.b   outputRing+1(r15)
+ 3955 12e6 C63E                jmp     .L567
+ 3956                  .LVL233:
+ 3957                  .L369:
+ 3958                  .LBE399:
+ 3959                  .LBE398:
+ 3960                  .LBB400:
+ 3961                  .LBB401:
+ 3962                  .LM690:
+ 3963 12e8 5C42 0000           mov.b   &wordBuffer, r12
+ 3964 12ec 4C93                cmp.b   #0, r12
+ 3965 12ee 0220                jne     +4
+ 3966 12f0 3040 0000           br      #.L483
+ 3967                  .LBE401:
+ 3968                  .LBE400:
+ 3969                  .LM691:
+ 3970 12f4 3D40 0000           mov     #wordBuffer, r13 
+ 3971                  .LVL234:
+ 3972                  .L432:
+ 3973                  .LBB405:
+ 3974                  .LBB404:
+ 3975                  .LBB402:
+ 3976                  .LBB403:
+ 3977                  .LM692:
+ 3978 12f8 1B42 0000           mov     &outputRingPtrXin, r11 
+ 3979                  .LM693:
+ 3980 12fc 1E42 0000           mov     &outputRingPtrXout, r14 
+ 3981 1300 1F42 0000           mov     &outputRingPtrXin, r15 
+ 3982                  .LVL235:
+ 3983 1304 0E9F                cmp     r15, r14
+ 3984 1306 0724                jeq     .L430
+ 3985                  .L488:
+ 3986                  .LM694:
+ 3987                  /* #APP */
+ 3988                   ;  577 "x.c" 1
+ 3989 1308 32D2                eint
+ 3990                   ;  0 "" 2
+ 3991                  .LM695:
+ 3992                  /* #NOAPP */
+ 3993 130a 1E42 0000           mov     &outputRingPtrXout, r14 
+ 3994 130e 1F42 0000           mov     &outputRingPtrXin, r15 
+ 3995 1312 0E9F                cmp     r15, r14
+ 3996 1314 F923                jne     .L488
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 72
+
+
+ 3997                  .L430:
+ 3998                  .LM696:
+ 3999 1316 1F42 0000           mov     &outputRingPtrXin, r15 
+ 4000 131a 0F5F                rla     r15
+ 4001 131c CF4C 0000           mov.b   r12, outputRing(r15)
+ 4002 1320 CF43 0000           clr.b   outputRing+1(r15)
+ 4003                  .LM697:
+ 4004 1324 4F4B                mov.b   r11, r15
+ 4005 1326 5F53                add.b   #1, r15
+ 4006                  .LM698:
+ 4007 1328 0E4F                mov     r15, r14 
+ 4008 132a 3EF0 0F00           and     #15, r14
+ 4009 132e 824E 0000           mov     r14, &outputRingPtrXin 
+ 4010                  .LBE403:
+ 4011                  .LBE402:
+ 4012                  .LM699:
+ 4013 1332 1D53                add     #1, r13
+ 4014                  .LM700:
+ 4015 1334 6C4D                mov.b   @r13, r12
+ 4016 1336 4C93                cmp.b   #0, r12
+ 4017 1338 DF23                jne     .L432
+ 4018 133a 3040 0000           br      #.L483
+ 4019                  .LVL236:
+ 4020                  .L368:
+ 4021                  .LBE404:
+ 4022                  .LBE405:
+ 4023                  .LM701:
+ 4024 133e 3F40 0000           mov     #mathStack+26, r15 
+ 4025                  .LVL237:
+ 4026                  .L429:
+ 4027                  .LBB406:
+ 4028                  .LBB408:
+ 4029                  .LM702:
+ 4030 1342 AF4F 0200           mov     @r15, 2(r15) 
+ 4031 1346 2F83                sub     #2, r15
+ 4032                  .LM703:
+ 4033 1348 3F90 0000           cmp     #mathStack-2, r15
+ 4034 134c FA23                jne     .L429
+ 4035                  .LVL238:
+ 4036                  .L563:
+ 4037                  .LM704:
+ 4038 134e 9243 0000           mov     #1, &mathStack 
+ 4039                  .LBE408:
+ 4040                  .LBE406:
+ 4041                  .LM705:
+ 4042 1352 3040 0000           br      #.L483
+ 4043                  .LVL239:
+ 4044                  .L367:
+ 4045                  .LBB410:
+ 4046                  .LBB411:
+ 4047                  .LM706:
+ 4048 1356 1E42 0000           mov     &mathStack+2, r14 
+ 4049                  .LVL240:
+ 4050 135a 3F40 0000           mov     #mathStack+26, r15 
+ 4051                  .LVL241:
+ 4052                  .L428:
+ 4053                  .LBB412:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 73
+
+
+ 4054                  .LBB413:
+ 4055                  .LM707:
+ 4056 135e AF4F 0200           mov     @r15, 2(r15) 
+ 4057 1362 2F83                sub     #2, r15
+ 4058                  .LM708:
+ 4059 1364 3F90 0000           cmp     #mathStack-2, r15
+ 4060 1368 FA23                jne     .L428
+ 4061                  .LBE413:
+ 4062                  .LBE412:
+ 4063                  .LBE411:
+ 4064                  .LBE410:
+ 4065                  .LBB414:
+ 4066                  .LBB350:
+ 4067                  .LM709:
+ 4068 136a 824E 0000           mov     r14, &mathStack 
+ 4069                  .LVL242:
+ 4070 136e 3040 0000           br      #.L483
+ 4071                  .LVL243:
+ 4072                  .L394:
+ 4073                  .LBE350:
+ 4074                  .LBE414:
+ 4075                  .LBB415:
+ 4076                  .LBB416:
+ 4077                  .LM710:
+ 4078 1372 1E42 0000           mov     &mathStack, r14 
+ 4079                  .LVL244:
+ 4080 1376 3F40 0000           mov     #mathStack+2, r15 
+ 4081                  .LVL245:
+ 4082                  .L478:
+ 4083                  .LM711:
+ 4084 137a AF4F FEFF           mov     @r15, -2(r15) 
+ 4085 137e 2F53                add     #2, r15
+ 4086                  .LM712:
+ 4087 1380 3F90 0000           cmp     #mathStack+32, r15
+ 4088 1384 FA23                jne     .L478
+ 4089                  .LBE416:
+ 4090                  .LBE415:
+ 4091                  .LM713:
+ 4092 1386 3E90 3000           cmp     #48, r14
+ 4093 138a 0F2C                jhs     .L479
+ 4094                  .LM714:
+ 4095 138c 0F4E                mov     r14, r15 
+ 4096                  .LVL246:
+ 4097 138e 0F11                rra     r15
+ 4098 1390 0F11                rra     r15
+ 4099 1392 0F11                rra     r15
+ 4100                  .LM715:
+ 4101 1394 1FC3                bic     #1,r15
+ 4102 1396 1D43                mov     #1, r13 
+ 4103 1398 3EF0 0F00           and     #15, r14
+ 4104                  .LVL247:
+ 4105 139c 0E93                tst     r14
+ 4106 139e 0324                jz      .Lsend3279
+ 4107                  .Lsst3279:
+ 4108 13a0 0D5D                rla     r13
+ 4109 13a2 1E83                dec     r14
+ 4110 13a4 FD23                jnz     .Lsst3279
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 74
+
+
+ 4111                  .Lsend3279:
+ 4112                  .LVL248:
+ 4113 13a6 8FCD 0000           bic r13, fecShadow(r15)
+ 4114                  .LVL249:
+ 4115                  .L479:
+ 4116                  .LM716:
+ 4117 13aa 3F40 0000           mov     #fecShadow, r15 
+ 4118                  .LVL250:
+ 4119 13ae B012 0000           call    #sendToFEC
+ 4120                  .LVL251:
+ 4121                  .LM717:
+ 4122 13b2 3040 0000           br      #.L483
+ 4123                  .LVL252:
+ 4124                  .L377:
+ 4125                  .LM718:
+ 4126 13b6 1F42 0000           mov     &addrStackPtr, r15 
+ 4127                  .LVL253:
+ 4128 13ba 0F5F                rla     r15
+ 4129 13bc 3F50 0000           add     #addrStack+2, r15
+ 4130 13c0 2E4F                mov     @r15, r14 
+ 4131                  .LVL254:
+ 4132 13c2 3F40 0000           mov     #mathStack+26, r15 
+ 4133                  .L443:
+ 4134                  .LBB417:
+ 4135                  .LBB346:
+ 4136                  .LM719:
+ 4137 13c6 AF4F 0200           mov     @r15, 2(r15) 
+ 4138 13ca 2F83                sub     #2, r15
+ 4139                  .LM720:
+ 4140 13cc 3F90 0000           cmp     #mathStack-2, r15
+ 4141 13d0 FA23                jne     .L443
+ 4142                  .LM721:
+ 4143 13d2 824E 0000           mov     r14, &mathStack 
+ 4144 13d6 3040 0000           br      #.L483
+ 4145                  .LVL255:
+ 4146                  .L376:
+ 4147                  .LBE346:
+ 4148                  .LBE417:
+ 4149                  .LBB418:
+ 4150                  .LBB419:
+ 4151                  .LM722:
+ 4152 13da 1B42 0000           mov     &addrStackPtr, r11 
+ 4153 13de 0F4B                mov     r11, r15 
+ 4154                  .LVL256:
+ 4155 13e0 0F5F                rla     r15
+ 4156 13e2 3F50 0000           add     #addrStack, r15
+ 4157 13e6 284F                mov     @r15, r8 
+ 4158                  .LVL257:
+ 4159                  .LM723:
+ 4160 13e8 0A4B                mov     r11, r10 
+ 4161 13ea 1A53                add     #1, r10
+ 4162                  .LBE419:
+ 4163                  .LBE418:
+ 4164                  .LBB420:
+ 4165                  .LBB421:
+ 4166                  .LM724:
+ 4167 13ec 0E4A                mov     r10, r14 
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 75
+
+
+ 4168 13ee 0E5E                rla     r14
+ 4169 13f0 3E50 0000           add     #addrStack, r14
+ 4170 13f4 2C4E                mov     @r14, r12 
+ 4171                  .LVL258:
+ 4172                  .LM725:
+ 4173 13f6 1A53                add     #1, r10
+ 4174                  .LBE421:
+ 4175                  .LBE420:
+ 4176                  .LBB422:
+ 4177                  .LBB423:
+ 4178                  .LM726:
+ 4179 13f8 0D4A                mov     r10, r13 
+ 4180 13fa 0D5D                rla     r13
+ 4181 13fc 3D50 0000           add     #addrStack, r13
+ 4182 1400 294D                mov     @r13, r9 
+ 4183                  .LVL259:
+ 4184                  .LM727:
+ 4185 1402 1A53                add     #1, r10
+ 4186 1404 824A 0000           mov     r10, &addrStackPtr 
+ 4187                  .LBE423:
+ 4188                  .LBE422:
+ 4189                  .LM728:
+ 4190 1408 1C53                add     #1, r12
+ 4191                  .LM729:
+ 4192 140a 0C99                cmp     r9, r12
+ 4193 140c 0238                jl      +4
+ 4194 140e 3040 0000           br      #.L483
+ 4195                  .LBB424:
+ 4196                  .LBB425:
+ 4197                  .LM730:
+ 4198 1412 8E4C 0000           mov     r12, @r14 
+ 4199                  .LBE425:
+ 4200                  .LBE424:
+ 4201                  .LBB426:
+ 4202                  .LBB427:
+ 4203                  .LM731:
+ 4204 1416 824B 0000           mov     r11, &addrStackPtr 
+ 4205                  .LM732:
+ 4206 141a 8F48 0000           mov     r8, @r15 
+ 4207                  .LBE427:
+ 4208                  .LBE426:
+ 4209                  .LM733:
+ 4210 141e 8248 0000           mov     r8, &progCounter 
+ 4211 1422 3040 0000           br      #.L483
+ 4212                  .LVL260:
+ 4213                  .L375:
+ 4214                  .LBB428:
+ 4215                  .LBB429:
+ 4216                  .LM734:
+ 4217 1426 1C42 0000           mov     &mathStack, r12 
+ 4218                  .LVL261:
+ 4219 142a 3F40 0000           mov     #mathStack+2, r15 
+ 4220                  .LVL262:
+ 4221                  .LM735:
+ 4222 142e 0D4F                mov     r15, r13 
+ 4223 1430 3D50 1E00           add     #30, r13
+ 4224 1434 0E4F                mov     r15, r14 
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 76
+
+
+ 4225                  .L441:
+ 4226                  .LM736:
+ 4227 1436 AE4E FEFF           mov     @r14, -2(r14) 
+ 4228 143a 2E53                add     #2, r14
+ 4229                  .LM737:
+ 4230 143c 3E90 0000           cmp     #mathStack+32, r14
+ 4231 1440 FA23                jne     .L441
+ 4232                  .LBE429:
+ 4233                  .LBE428:
+ 4234                  .LBB430:
+ 4235                  .LBB431:
+ 4236                  .LM738:
+ 4237 1442 1B42 0000           mov     &mathStack, r11 
+ 4238                  .LVL263:
+ 4239                  .L442:
+ 4240                  .LM739:
+ 4241 1446 AF4F FEFF           mov     @r15, -2(r15) 
+ 4242 144a 2F53                add     #2, r15
+ 4243                  .LM740:
+ 4244 144c 0D9F                cmp     r15, r13
+ 4245 144e FB23                jne     .L442
+ 4246                  .LBE431:
+ 4247                  .LBE430:
+ 4248                  .LBB432:
+ 4249                  .LBB433:
+ 4250                  .LM741:
+ 4251 1450 1F42 0000           mov     &addrStackPtr, r15 
+ 4252 1454 3F53                add     #llo(-1), r15
+ 4253                  .LM742:
+ 4254 1456 0E4F                mov     r15, r14 
+ 4255 1458 0E5E                rla     r14
+ 4256 145a 8E4B 0000           mov     r11, addrStack(r14) 
+ 4257                  .LBE433:
+ 4258                  .LBE432:
+ 4259                  .LBB434:
+ 4260                  .LBB435:
+ 4261 145e 0E4F                mov     r15, r14 
+ 4262 1460 0E5E                rla     r14
+ 4263 1462 8E4C 0000           mov     r12, addrStack+-2(r14) 
+ 4264                  .LBE435:
+ 4265                  .LBE434:
+ 4266                  .LBB436:
+ 4267                  .LBB437:
+ 4268                  .LM743:
+ 4269 1466 2F83                sub     #2, r15
+ 4270 1468 824F 0000           mov     r15, &addrStackPtr 
+ 4271                  .LM744:
+ 4272 146c 0F5F                rla     r15
+ 4273 146e 9F42 0000           mov     &progCounter, addrStack(r15) 
+ 4273      0000 
+ 4274                  .LBE437:
+ 4275                  .LBE436:
+ 4276                  .LM745:
+ 4277 1474 3040 0000           br      #.L483
+ 4278                  .LVL264:
+ 4279                  .L380:
+ 4280                  .LM746:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 77
+
+
+ 4281 1478 1E42 0000           mov     &mathStack, r14 
+ 4282 147c 1EF2 0000           and     &mathStack+2, r14
+ 4283 1480 824E 0000           mov     r14, &mathStack+2 
+ 4284 1484 3F40 0000           mov     #mathStack, r15 
+ 4285                  .LVL265:
+ 4286 1488 023C                jmp     .L446
+ 4287                  .L573:
+ 4288                  .LBB438:
+ 4289                  .LBB439:
+ 4290                  .LM747:
+ 4291 148a 1E4F 0200           mov     2(r15), r14 
+ 4292                  .L446:
+ 4293                  .LM748:
+ 4294 148e 8F4E 0000           mov     r14, @r15 
+ 4295 1492 2F53                add     #2, r15
+ 4296                  .LM749:
+ 4297 1494 3F90 0000           cmp     #mathStack+30, r15
+ 4298 1498 F823                jne     .L573
+ 4299 149a 3040 0000           br      #.L483
+ 4300                  .LVL266:
+ 4301                  .L379:
+ 4302                  .LBE439:
+ 4303                  .LBE438:
+ 4304                  .LBB440:
+ 4305                  .LBB441:
+ 4306                  .LM750:
+ 4307 149e 1F42 0000           mov     &mathStack, r15 
+ 4308                  .LVL267:
+ 4309 14a2 3D40 0000           mov     #mathStack+2, r13 
+ 4310                  .LM751:
+ 4311 14a6 0C4D                mov     r13, r12 
+ 4312 14a8 3C50 1E00           add     #30, r12
+ 4313 14ac 0E4D                mov     r13, r14 
+ 4314                  .L444:
+ 4315                  .LM752:
+ 4316 14ae AE4E FEFF           mov     @r14, -2(r14) 
+ 4317 14b2 2E53                add     #2, r14
+ 4318                  .LM753:
+ 4319 14b4 3E90 0000           cmp     #mathStack+32, r14
+ 4320 14b8 FA23                jne     .L444
+ 4321                  .LBE441:
+ 4322                  .LBE440:
+ 4323                  .LBB442:
+ 4324                  .LBB443:
+ 4325                  .LM754:
+ 4326 14ba 1E42 0000           mov     &mathStack, r14 
+ 4327                  .LVL268:
+ 4328                  .L445:
+ 4329                  .LM755:
+ 4330 14be AD4D FEFF           mov     @r13, -2(r13) 
+ 4331 14c2 2D53                add     #2, r13
+ 4332                  .LM756:
+ 4333 14c4 0C9D                cmp     r13, r12
+ 4334 14c6 FB23                jne     .L445
+ 4335                  .LBE443:
+ 4336                  .LBE442:
+ 4337                  .LM757:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 78
+
+
+ 4338 14c8 B012 0000           call    #setDAC
+ 4339                  .LVL269:
+ 4340                  .LM758:
+ 4341 14cc 3040 0000           br      #.L483
+ 4342                  .LVL270:
+ 4343                  .L342:
+ 4344                  .LM759:
+ 4345 14d0 3F40 0000           mov     #mathStack+2, r15 
+ 4346                  .LVL271:
+ 4347                  .L405:
+ 4348                  .LBB444:
+ 4349                  .LBB445:
+ 4350                  .LM760:
+ 4351 14d4 AF4F FEFF           mov     @r15, -2(r15) 
+ 4352 14d8 2F53                add     #2, r15
+ 4353                  .LM761:
+ 4354 14da 3F90 0000           cmp     #mathStack+32, r15
+ 4355 14de FA23                jne     .L405
+ 4356 14e0 3040 0000           br      #.L483
+ 4357                  .LVL272:
+ 4358                  .L341:
+ 4359                  .LBE445:
+ 4360                  .LBE444:
+ 4361                  .LM762:
+ 4362 14e4 1E42 0000           mov     &mathStack, r14 
+ 4363 14e8 3F40 0000           mov     #mathStack+26, r15 
+ 4364                  .LVL273:
+ 4365                  .L404:
+ 4366                  .LBB446:
+ 4367                  .LBB447:
+ 4368                  .LM763:
+ 4369 14ec AF4F 0200           mov     @r15, 2(r15) 
+ 4370 14f0 2F83                sub     #2, r15
+ 4371                  .LM764:
+ 4372 14f2 3F90 0000           cmp     #mathStack-2, r15
+ 4373 14f6 FA23                jne     .L404
+ 4374                  .LBE447:
+ 4375                  .LBE446:
+ 4376                  .LBB448:
+ 4377                  .LBB351:
+ 4378                  .LM765:
+ 4379 14f8 824E 0000           mov     r14, &mathStack 
+ 4380                  .LVL274:
+ 4381 14fc 3040 0000           br      #.L483
+ 4382                  .LVL275:
+ 4383                  .L389:
+ 4384                  .LBE351:
+ 4385                  .LBE448:
+ 4386                  .LM766:
+ 4387 1500 3B40 0000           mov     #buckets+512, r11 
+ 4388                  .L460:
+ 4389                  .LM767:
+ 4390 1504 2F4B                mov     @r11, r15 
+ 4391                  .LVL276:
+ 4392 1506 B012 0000           call    #printHexWord
+ 4393                  .LBB449:
+ 4394                  .LBB450:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 79
+
+
+ 4395                  .LM768:
+ 4396 150a 1D42 0000           mov     &outputRingPtrXin, r13 
+ 4397                  .LM769:
+ 4398 150e 1E42 0000           mov     &outputRingPtrXout, r14 
+ 4399 1512 1F42 0000           mov     &outputRingPtrXin, r15 
+ 4400 1516 0E9F                cmp     r15, r14
+ 4401 1518 0724                jeq     .L458
+ 4402                  .L493:
+ 4403                  .LM770:
+ 4404                  /* #APP */
+ 4405                   ;  577 "x.c" 1
+ 4406 151a 32D2                eint
+ 4407                   ;  0 "" 2
+ 4408                  .LM771:
+ 4409                  /* #NOAPP */
+ 4410 151c 1E42 0000           mov     &outputRingPtrXout, r14 
+ 4411 1520 1F42 0000           mov     &outputRingPtrXin, r15 
+ 4412 1524 0E9F                cmp     r15, r14
+ 4413 1526 F923                jne     .L493
+ 4414                  .L458:
+ 4415                  .LM772:
+ 4416 1528 1F42 0000           mov     &outputRingPtrXin, r15 
+ 4417 152c 0F5F                rla     r15
+ 4418 152e BF40 2000           mov     #32, outputRing(r15) 
+ 4418      0000 
+ 4419                  .LM773:
+ 4420 1534 4F4D                mov.b   r13, r15
+ 4421 1536 5F53                add.b   #1, r15
+ 4422                  .LM774:
+ 4423 1538 0E4F                mov     r15, r14 
+ 4424 153a 3EF0 0F00           and     #15, r14
+ 4425 153e 824E 0000           mov     r14, &outputRingPtrXin 
+ 4426 1542 2B53                add     #2, r11
+ 4427                  .LBE450:
+ 4428                  .LBE449:
+ 4429                  .LM775:
+ 4430 1544 3B90 0000           cmp     #buckets+520, r11
+ 4431 1548 DD23                jne     .L460
+ 4432 154a 3040 0000           br      #.L483
+ 4433                  .LVL277:
+ 4434                  .L388:
+ 4435                  .LM776:
+ 4436 154e 3F40 0000           mov     #mathStack+26, r15 
+ 4437                  .LVL278:
+ 4438                  .L457:
+ 4439                  .LBB451:
+ 4440                  .LBB452:
+ 4441                  .LM777:
+ 4442 1552 AF4F 0200           mov     @r15, 2(r15) 
+ 4443 1556 2F83                sub     #2, r15
+ 4444                  .LM778:
+ 4445 1558 3F90 0000           cmp     #mathStack-2, r15
+ 4446 155c FA23                jne     .L457
+ 4447                  .LM779:
+ 4448 155e 3F40 0000           mov     #slowTimer, r15 
+ 4449 1562 0F11                rra     r15
+ 4450 1564 824F 0000           mov     r15, &mathStack 
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 80
+
+
+ 4451                  .LBE452:
+ 4452                  .LBE451:
+ 4453                  .LM780:
+ 4454 1568 3040 0000           br      #.L483
+ 4455                  .LVL279:
+ 4456                  .L382:
+ 4457                  .LBB453:
+ 4458                  .LBB454:
+ 4459                  .LM781:
+ 4460 156c 1942 0000           mov     &mathStack, r9 
+ 4461                  .LVL280:
+ 4462 1570 3F40 0000           mov     #mathStack+2, r15 
+ 4463                  .LVL281:
+ 4464                  .LM782:
+ 4465 1574 0D4F                mov     r15, r13 
+ 4466 1576 3D50 1E00           add     #30, r13
+ 4467 157a 0E4F                mov     r15, r14 
+ 4468                  .L448:
+ 4469                  .LM783:
+ 4470 157c AE4E FEFF           mov     @r14, -2(r14) 
+ 4471 1580 2E53                add     #2, r14
+ 4472                  .LM784:
+ 4473 1582 3E90 0000           cmp     #mathStack+32, r14
+ 4474 1586 FA23                jne     .L448
+ 4475                  .LBE454:
+ 4476                  .LBE453:
+ 4477                  .LBB455:
+ 4478                  .LBB456:
+ 4479                  .LM785:
+ 4480 1588 1A42 0000           mov     &mathStack, r10 
+ 4481                  .LVL282:
+ 4482                  .L449:
+ 4483                  .LM786:
+ 4484 158c AF4F FEFF           mov     @r15, -2(r15) 
+ 4485 1590 2F53                add     #2, r15
+ 4486                  .LM787:
+ 4487 1592 0D9F                cmp     r15, r13
+ 4488 1594 FB23                jne     .L449
+ 4489                  .LBE456:
+ 4490                  .LBE455:
+ 4491                  .LM788:
+ 4492 1596 1C42 0000           mov     &mathStack, r12 
+ 4493 159a 0B43                mov     #0, r11
+ 4494 159c 0A93                tst     r10
+ 4495 159e 0134                jge     +2
+ 4496 15a0 3B43                mov     #-1, r11
+ 4497 15a2 0D43                mov     #0, r13
+ 4498 15a4 0C93                tst     r12
+ 4499 15a6 0134                jge     +2
+ 4500 15a8 3D43                mov     #-1, r13
+ 4501 15aa B012 0000           call    #__mulhisi3
+ 4502                  .LVL283:
+ 4503                  .LM789:
+ 4504 15ae 0C4E                mov     r14, r12
+ 4505 15b0 0D4F                mov     r15, r13
+ 4506 15b2 0A49                mov     r9, r10
+ 4507 15b4 0B4A                mov     r10, r11
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 81
+
+
+ 4508 15b6 0B5B                rla     r11
+ 4509 15b8 0B7B                subc    r11, r11
+ 4510 15ba 3BE3                inv     r11
+ 4511 15bc B012 0000           call    #__divmodsi4
+ 4512                  .LVL284:
+ 4513 15c0 824C 0000           mov     r12, &mathStack 
+ 4514                  .LM790:
+ 4515 15c4 3040 0000           br      #.L483
+ 4516                  .LVL285:
+ 4517                  .L381:
+ 4518                  .LM791:
+ 4519 15c8 1E42 0000           mov     &mathStack, r14 
+ 4520 15cc 1ED2 0000           bis     &mathStack+2, r14
+ 4521 15d0 824E 0000           mov     r14, &mathStack+2 
+ 4522 15d4 3F40 0000           mov     #mathStack, r15 
+ 4523                  .LVL286:
+ 4524 15d8 023C                jmp     .L447
+ 4525                  .L574:
+ 4526                  .LBB457:
+ 4527                  .LBB458:
+ 4528                  .LM792:
+ 4529 15da 1E4F 0200           mov     2(r15), r14 
+ 4530                  .L447:
+ 4531                  .LM793:
+ 4532 15de 8F4E 0000           mov     r14, @r15 
+ 4533 15e2 2F53                add     #2, r15
+ 4534                  .LM794:
+ 4535 15e4 3F90 0000           cmp     #mathStack+30, r15
+ 4536 15e8 F823                jne     .L574
+ 4537 15ea 3040 0000           br      #.L483
+ 4538                  .LVL287:
+ 4539                  .L393:
+ 4540                  .LBE458:
+ 4541                  .LBE457:
+ 4542                  .LBB459:
+ 4543                  .LBB460:
+ 4544                  .LM795:
+ 4545 15ee 1E42 0000           mov     &mathStack, r14 
+ 4546                  .LVL288:
+ 4547 15f2 3F40 0000           mov     #mathStack+2, r15 
+ 4548                  .LVL289:
+ 4549                  .L476:
+ 4550                  .LM796:
+ 4551 15f6 AF4F FEFF           mov     @r15, -2(r15) 
+ 4552 15fa 2F53                add     #2, r15
+ 4553                  .LM797:
+ 4554 15fc 3F90 0000           cmp     #mathStack+32, r15
+ 4555 1600 FA23                jne     .L476
+ 4556                  .LBE460:
+ 4557                  .LBE459:
+ 4558                  .LM798:
+ 4559 1602 3E90 3000           cmp     #48, r14
+ 4560 1606 D12E                jhs     .L479
+ 4561                  .LM799:
+ 4562 1608 0F4E                mov     r14, r15 
+ 4563                  .LVL290:
+ 4564 160a 0F11                rra     r15
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 82
+
+
+ 4565 160c 0F11                rra     r15
+ 4566 160e 0F11                rra     r15
+ 4567                  .LM800:
+ 4568 1610 1FC3                bic     #1,r15
+ 4569 1612 1D43                mov     #1, r13 
+ 4570 1614 3EF0 0F00           and     #15, r14
+ 4571                  .LVL291:
+ 4572 1618 0E93                tst     r14
+ 4573 161a 0324                jz      .Lsend3707
+ 4574                  .Lsst3707:
+ 4575 161c 0D5D                rla     r13
+ 4576 161e 1E83                dec     r14
+ 4577 1620 FD23                jnz     .Lsst3707
+ 4578                  .Lsend3707:
+ 4579                  .LVL292:
+ 4580 1622 8FDD 0000           bis     r13, fecShadow(r15)
+ 4581 1626 C13E                jmp     .L479
+ 4582                  .LVL293:
+ 4583                  .L392:
+ 4584                  .LBB461:
+ 4585                  .LBB462:
+ 4586                  .LM801:
+ 4587 1628 1C42 0000           mov     &mathStack, r12 
+ 4588                  .LVL294:
+ 4589 162c 3F40 0000           mov     #mathStack+2, r15 
+ 4590                  .LVL295:
+ 4591                  .LM802:
+ 4592 1630 0D4F                mov     r15, r13 
+ 4593 1632 3D50 1E00           add     #30, r13
+ 4594 1636 0E4F                mov     r15, r14 
+ 4595                  .L473:
+ 4596                  .LM803:
+ 4597 1638 AE4E FEFF           mov     @r14, -2(r14) 
+ 4598 163c 2E53                add     #2, r14
+ 4599                  .LM804:
+ 4600 163e 3E90 0000           cmp     #mathStack+32, r14
+ 4601 1642 FA23                jne     .L473
+ 4602                  .LBE462:
+ 4603                  .LBE461:
+ 4604                  .LM805:
+ 4605 1644 824C 0000           mov     r12, &fecShadow 
+ 4606                  .LBB463:
+ 4607                  .LBB464:
+ 4608                  .LM806:
+ 4609 1648 1C42 0000           mov     &mathStack, r12 
+ 4610                  .LVL296:
+ 4611 164c 3E50 E2FF           add     #llo(-30), r14
+ 4612                  .L474:
+ 4613                  .LM807:
+ 4614 1650 AE4E FEFF           mov     @r14, -2(r14) 
+ 4615 1654 2E53                add     #2, r14
+ 4616                  .LM808:
+ 4617 1656 0D9E                cmp     r14, r13
+ 4618 1658 FB23                jne     .L474
+ 4619                  .LBE464:
+ 4620                  .LBE463:
+ 4621                  .LM809:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 83
+
+
+ 4622 165a 824C 0000           mov     r12, &fecShadow+2 
+ 4623                  .LBB465:
+ 4624                  .LBB466:
+ 4625                  .LM810:
+ 4626 165e 1E42 0000           mov     &mathStack, r14 
+ 4627                  .LVL297:
+ 4628                  .L475:
+ 4629                  .LM811:
+ 4630 1662 AF4F FEFF           mov     @r15, -2(r15) 
+ 4631 1666 2F53                add     #2, r15
+ 4632                  .LM812:
+ 4633 1668 0D9F                cmp     r15, r13
+ 4634 166a FB23                jne     .L475
+ 4635                  .LBE466:
+ 4636                  .LBE465:
+ 4637                  .LM813:
+ 4638 166c 824E 0000           mov     r14, &fecShadow+4 
+ 4639                  .LM814:
+ 4640 1670 3F40 0000           mov     #fecShadow, r15 
+ 4641 1674 B012 0000           call    #sendToFEC
+ 4642                  .LVL298:
+ 4643                  .LM815:
+ 4644 1678 3040 0000           br      #.L483
+ 4645                  .LVL299:
+ 4646                  .L340:
+ 4647                  .LBB467:
+ 4648                  .LBB468:
+ 4649                  .LM816:
+ 4650 167c 1F42 0000           mov     &mathStack, r15 
+ 4651                  .LVL300:
+ 4652 1680 3E40 0000           mov     #mathStack+2, r14 
+ 4653                  .L403:
+ 4654                  .LM817:
+ 4655 1684 AE4E FEFF           mov     @r14, -2(r14) 
+ 4656 1688 2E53                add     #2, r14
+ 4657                  .LM818:
+ 4658 168a 3E90 0000           cmp     #mathStack+32, r14
+ 4659 168e FA23                jne     .L403
+ 4660                  .LBE468:
+ 4661                  .LBE467:
+ 4662                  .LM819:
+ 4663 1690 B012 0000           call    #printNumber
+ 4664                  .LVL301:
+ 4665                  .LM820:
+ 4666 1694 3040 0000           br      #.L483
+ 4667                  .LVL302:
+ 4668                  .L339:
+ 4669                  .LM821:
+ 4670 1698 1C42 0000           mov     &mathStack+2, r12 
+ 4671 169c 1A42 0000           mov     &mathStack, r10 
+ 4672 16a0 B012 0000           call    #__divmodhi4
+ 4673 16a4 824C 0000           mov     r12, &mathStack+2 
+ 4674 16a8 3F40 0000           mov     #mathStack, r15 
+ 4675                  .LVL303:
+ 4676 16ac 023C                jmp     .L402
+ 4677                  .L575:
+ 4678                  .LBB469:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 84
+
+
+ 4679                  .LBB470:
+ 4680                  .LM822:
+ 4681 16ae 1C4F 0200           mov     2(r15), r12 
+ 4682                  .L402:
+ 4683                  .LM823:
+ 4684 16b2 8F4C 0000           mov     r12, @r15 
+ 4685 16b6 2F53                add     #2, r15
+ 4686                  .LM824:
+ 4687 16b8 3F90 0000           cmp     #mathStack+30, r15
+ 4688 16bc F823                jne     .L575
+ 4689 16be 3040 0000           br      #.L483
+ 4690                  .LVL304:
+ 4691                  .L338:
+ 4692                  .LBE470:
+ 4693                  .LBE469:
+ 4694                  .LM825:
+ 4695 16c2 1A42 0000           mov     &mathStack+2, r10 
+ 4696 16c6 1C42 0000           mov     &mathStack, r12 
+ 4697 16ca B012 0000           call    #__mulhi3
+ 4698 16ce 824E 0000           mov     r14, &mathStack+2 
+ 4699 16d2 3F40 0000           mov     #mathStack, r15 
+ 4700                  .LVL305:
+ 4701 16d6 023C                jmp     .L401
+ 4702                  .L576:
+ 4703                  .LBB471:
+ 4704                  .LBB472:
+ 4705                  .LM826:
+ 4706 16d8 1E4F 0200           mov     2(r15), r14 
+ 4707                  .L401:
+ 4708                  .LM827:
+ 4709 16dc 8F4E 0000           mov     r14, @r15 
+ 4710 16e0 2F53                add     #2, r15
+ 4711                  .LM828:
+ 4712 16e2 3F90 0000           cmp     #mathStack+30, r15
+ 4713 16e6 F823                jne     .L576
+ 4714 16e8 3040 0000           br      #.L483
+ 4715                  .LVL306:
+ 4716                  .L337:
+ 4717                  .LBE472:
+ 4718                  .LBE471:
+ 4719                  .LM829:
+ 4720 16ec 1E42 0000           mov     &mathStack+2, r14 
+ 4721 16f0 1E82 0000           sub     &mathStack, r14
+ 4722 16f4 824E 0000           mov     r14, &mathStack+2 
+ 4723 16f8 3F40 0000           mov     #mathStack, r15 
+ 4724                  .LVL307:
+ 4725 16fc 023C                jmp     .L400
+ 4726                  .L577:
+ 4727                  .LBB473:
+ 4728                  .LBB474:
+ 4729                  .LM830:
+ 4730 16fe 1E4F 0200           mov     2(r15), r14 
+ 4731                  .L400:
+ 4732                  .LM831:
+ 4733 1702 8F4E 0000           mov     r14, @r15 
+ 4734 1706 2F53                add     #2, r15
+ 4735                  .LM832:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 85
+
+
+ 4736 1708 3F90 0000           cmp     #mathStack+30, r15
+ 4737 170c F823                jne     .L577
+ 4738 170e 3040 0000           br      #.L483
+ 4739                  .LVL308:
+ 4740                  .L356:
+ 4741                  .LBE474:
+ 4742                  .LBE473:
+ 4743                  .LM833:
+ 4744 1712 4F43                mov.b   #0, r15
+ 4745                  .LVL309:
+ 4746 1714 B012 0000           call    #ifFunc
+ 4747                  .LM834:
+ 4748 1718 3040 0000           br      #.L483
+ 4749                  .LVL310:
+ 4750                  .L355:
+ 4751                  .LM835:
+ 4752 171c B012 0000           call    #listFunction
+ 4753                  .LVL311:
+ 4754                  .LM836:
+ 4755 1720 3040 0000           br      #.L483
+ 4756                  .LVL312:
+ 4757                  .L354:
+ 4758                  .LM837:
+ 4759 1724 8293 0000           cmp     #0, &mathStack
+ 4760 1728 0220                jne     +4
+ 4761 172a 3040 0000           br      #.L563
+ 4762                  .LBB475:
+ 4763                  .LBB353:
+ 4764                  .LM838:
+ 4765 172e 8243 0000           mov     #0, &mathStack 
+ 4766 1732 3040 0000           br      #.L483
+ 4767                  .L353:
+ 4768                  .LBE353:
+ 4769                  .LBE475:
+ 4770                  .LBB476:
+ 4771                  .LBB477:
+ 4772                  .LM839:
+ 4773 1736 1C42 0000           mov     &mathStack, r12 
+ 4774                  .LVL313:
+ 4775 173a 3F40 0000           mov     #mathStack+2, r15 
+ 4776                  .LVL314:
+ 4777                  .LM840:
+ 4778 173e 0D4F                mov     r15, r13 
+ 4779 1740 3D50 1E00           add     #30, r13
+ 4780 1744 0E4F                mov     r15, r14 
+ 4781                  .L418:
+ 4782                  .LM841:
+ 4783 1746 AE4E FEFF           mov     @r14, -2(r14) 
+ 4784 174a 2E53                add     #2, r14
+ 4785                  .LM842:
+ 4786 174c 3E90 0000           cmp     #mathStack+32, r14
+ 4787 1750 FA23                jne     .L418
+ 4788                  .LBE477:
+ 4789                  .LBE476:
+ 4790                  .LBB478:
+ 4791                  .LBB479:
+ 4792                  .LM843:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 86
+
+
+ 4793 1752 1E42 0000           mov     &mathStack, r14 
+ 4794                  .LVL315:
+ 4795                  .L419:
+ 4796                  .LM844:
+ 4797 1756 AF4F FEFF           mov     @r15, -2(r15) 
+ 4798 175a 2F53                add     #2, r15
+ 4799                  .LM845:
+ 4800 175c 0D9F                cmp     r15, r13
+ 4801 175e FB23                jne     .L419
+ 4802                  .LBE479:
+ 4803                  .LBE478:
+ 4804                  .LM846:
+ 4805 1760 0F4C                mov     r12, r15 
+ 4806 1762 0F5F                rla     r15
+ 4807                  .LVL316:
+ 4808 1764 8F4E 0000           mov     r14, prog(r15) 
+ 4809                  .LM847:
+ 4810 1768 3040 0000           br      #.L483
+ 4811                  .LVL317:
+ 4812                  .L352:
+ 4813                  .LM848:
+ 4814 176c 1F42 0000           mov     &mathStack, r15 
+ 4815                  .LVL318:
+ 4816 1770 0F5F                rla     r15
+ 4817 1772 924F 0000           mov     prog(r15), &mathStack 
+ 4817      0000 
+ 4818                  .LM849:
+ 4819 1778 3040 0000           br      #.L483
+ 4820                  .LVL319:
+ 4821                  .L351:
+ 4822                  .LM850:
+ 4823 177c 1E42 0000           mov     &progPtr, r14 
+ 4824                  .LBB480:
+ 4825                  .LBB481:
+ 4826                  .LM851:
+ 4827 1780 1D42 0000           mov     &mathStack, r13 
+ 4828                  .LVL320:
+ 4829 1784 3F40 0000           mov     #mathStack+2, r15 
+ 4830                  .LVL321:
+ 4831                  .L414:
+ 4832                  .LM852:
+ 4833 1788 AF4F FEFF           mov     @r15, -2(r15) 
+ 4834 178c 2F53                add     #2, r15
+ 4835                  .LM853:
+ 4836 178e 3F90 0000           cmp     #mathStack+32, r15
+ 4837 1792 FA23                jne     .L414
+ 4838                  .LBE481:
+ 4839                  .LBE480:
+ 4840                  .LM854:
+ 4841 1794 0F4E                mov     r14, r15 
+ 4842 1796 0F5F                rla     r15
+ 4843 1798 8F4D 0000           mov     r13, prog(r15) 
+ 4844 179c 1E53                add     #1, r14
+ 4845 179e 824E 0000           mov     r14, &progPtr 
+ 4846                  .LM855:
+ 4847 17a2 3E90 0001           cmp     #256, r14
+ 4848 17a6 0234                jge     +4
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 87
+
+
+ 4849 17a8 3040 0000           br      #.L483
+ 4850                  .LM856:
+ 4851 17ac 3D40 0000           mov     #.LC0, r13 
+ 4852                  .LVL322:
+ 4853                  .LBB482:
+ 4854                  .LBB483:
+ 4855                  .LM857:
+ 4856 17b0 5C42 0000           mov.b   &.LC0, r12
+ 4857 17b4 4C93                cmp.b   #0, r12
+ 4858 17b6 0220                jne     +4
+ 4859 17b8 3040 0000           br      #.L483
+ 4860                  .L486:
+ 4861                  .LBB484:
+ 4862                  .LBB485:
+ 4863                  .LM858:
+ 4864 17bc 1B42 0000           mov     &outputRingPtrXin, r11 
+ 4865                  .LM859:
+ 4866 17c0 1E42 0000           mov     &outputRingPtrXout, r14 
+ 4867 17c4 1F42 0000           mov     &outputRingPtrXin, r15 
+ 4868 17c8 0E9F                cmp     r15, r14
+ 4869 17ca 0724                jeq     .L415
+ 4870                  .L487:
+ 4871                  .LM860:
+ 4872                  /* #APP */
+ 4873                   ;  577 "x.c" 1
+ 4874 17cc 32D2                eint
+ 4875                   ;  0 "" 2
+ 4876                  .LM861:
+ 4877                  /* #NOAPP */
+ 4878 17ce 1E42 0000           mov     &outputRingPtrXout, r14 
+ 4879 17d2 1F42 0000           mov     &outputRingPtrXin, r15 
+ 4880 17d6 0E9F                cmp     r15, r14
+ 4881 17d8 F923                jne     .L487
+ 4882                  .L415:
+ 4883                  .LM862:
+ 4884 17da 1F42 0000           mov     &outputRingPtrXin, r15 
+ 4885 17de 0F5F                rla     r15
+ 4886 17e0 CF4C 0000           mov.b   r12, outputRing(r15)
+ 4887 17e4 CF43 0000           clr.b   outputRing+1(r15)
+ 4888                  .LM863:
+ 4889 17e8 4F4B                mov.b   r11, r15
+ 4890 17ea 5F53                add.b   #1, r15
+ 4891                  .LM864:
+ 4892 17ec 0E4F                mov     r15, r14 
+ 4893 17ee 3EF0 0F00           and     #15, r14
+ 4894 17f2 824E 0000           mov     r14, &outputRingPtrXin 
+ 4895                  .LBE485:
+ 4896                  .LBE484:
+ 4897                  .LM865:
+ 4898 17f6 1D53                add     #1, r13
+ 4899                  .LM866:
+ 4900 17f8 6C4D                mov.b   @r13, r12
+ 4901 17fa 4C93                cmp.b   #0, r12
+ 4902 17fc DF23                jne     .L486
+ 4903 17fe 3040 0000           br      #.L483
+ 4904                  .LVL323:
+ 4905                  .L350:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 88
+
+
+ 4906                  .LBE483:
+ 4907                  .LBE482:
+ 4908                  .LM867:
+ 4909 1802 1D42 0000           mov     &inputRingPtrXin, r13 
+ 4910 1806 1E42 0000           mov     &inputRingPtrXout, r14 
+ 4911 180a 3F40 0000           mov     #mathStack+26, r15 
+ 4912                  .LVL324:
+ 4913                  .L413:
+ 4914                  .LBB486:
+ 4915                  .LBB487:
+ 4916                  .LM868:
+ 4917 180e AF4F 0200           mov     @r15, 2(r15) 
+ 4918 1812 2F83                sub     #2, r15
+ 4919                  .LM869:
+ 4920 1814 3F90 0000           cmp     #mathStack-2, r15
+ 4921 1818 FA23                jne     .L413
+ 4922                  .LM870:
+ 4923 181a 0F4D                mov     r13, r15 
+ 4924 181c 0F8E                sub     r14, r15
+ 4925 181e 3FF0 0F00           and     #15, r15
+ 4926 1822 824F 0000           mov     r15, &mathStack 
+ 4927                  .LBE487:
+ 4928                  .LBE486:
+ 4929                  .LM871:
+ 4930 1826 3040 0000           br      #.L483
+ 4931                  .LVL325:
+ 4932                  .L349:
+ 4933                  .LM872:
+ 4934 182a B012 0000           call    #dfnFunc
+ 4935                  .LVL326:
+ 4936                  .LM873:
+ 4937 182e 3040 0000           br      #.L483
+ 4938                  .LVL327:
+ 4939                  .L348:
+ 4940                  .LM874:
+ 4941 1832 B012 0000           call    #getWord
+ 4942                  .LVL328:
+ 4943                  .LM875:
+ 4944 1836 3040 0000           br      #.L483
+ 4945                  .LVL329:
+ 4946                  .L347:
+ 4947                  .LBB488:
+ 4948                  .LBB489:
+ 4949                  .LM876:
+ 4950 183a 1F42 0000           mov     &mathStack, r15 
+ 4951                  .LVL330:
+ 4952 183e 3E40 0000           mov     #mathStack+2, r14 
+ 4953                  .L412:
+ 4954                  .LM877:
+ 4955 1842 AE4E FEFF           mov     @r14, -2(r14) 
+ 4956 1846 2E53                add     #2, r14
+ 4957                  .LM878:
+ 4958 1848 3E90 0000           cmp     #mathStack+32, r14
+ 4959 184c FA23                jne     .L412
+ 4960                  .LBE489:
+ 4961                  .LBE488:
+ 4962                  .LM879:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 89
+
+
+ 4963 184e B012 0000           call    #printHexByte
+ 4964                  .LVL331:
+ 4965                  .LM880:
+ 4966 1852 3040 0000           br      #.L483
+ 4967                  .LVL332:
+ 4968                  .L346:
+ 4969                  .LBB490:
+ 4970                  .LBB491:
+ 4971                  .LM881:
+ 4972 1856 1E42 0000           mov     &mathStack, r14 
+ 4973                  .LVL333:
+ 4974 185a 3F40 0000           mov     #mathStack+2, r15 
+ 4975                  .LVL334:
+ 4976                  .L410:
+ 4977                  .LM882:
+ 4978 185e AF4F FEFF           mov     @r15, -2(r15) 
+ 4979 1862 2F53                add     #2, r15
+ 4980                  .LM883:
+ 4981 1864 3F90 0000           cmp     #mathStack+32, r15
+ 4982 1868 FA23                jne     .L410
+ 4983                  .LBE491:
+ 4984                  .LBE490:
+ 4985                  .LM884:
+ 4986 186a 829E 0000           cmp     r14, &mathStack
+ 4987 186e 0220                jne     +4
+ 4988 1870 3040 0000           br      #.L563
+ 4989                  .LBB492:
+ 4990                  .LBB355:
+ 4991                  .LM885:
+ 4992 1874 8243 0000           mov     #0, &mathStack 
+ 4993 1878 3040 0000           br      #.L483
+ 4994                  .LVL335:
+ 4995                  .L345:
+ 4996                  .LBE355:
+ 4997                  .LBE492:
+ 4998                  .LBB493:
+ 4999                  .LBB494:
+ 5000                  .LM886:
+ 5001 187c 1E42 0000           mov     &mathStack, r14 
+ 5002                  .LVL336:
+ 5003 1880 3F40 0000           mov     #mathStack+2, r15 
+ 5004                  .LVL337:
+ 5005                  .L408:
+ 5006                  .LM887:
+ 5007 1884 AF4F FEFF           mov     @r15, -2(r15) 
+ 5008 1888 2F53                add     #2, r15
+ 5009                  .LM888:
+ 5010 188a 3F90 0000           cmp     #mathStack+32, r15
+ 5011 188e FA23                jne     .L408
+ 5012                  .LBE494:
+ 5013                  .LBE493:
+ 5014                  .LM889:
+ 5015 1890 1E92 0000           cmp     &mathStack, r14
+ 5016 1894 0238                jl      +4
+ 5017 1896 3040 0000           br      #.L562
+ 5018                  .LBB495:
+ 5019                  .LBB407:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 90
+
+
+ 5020                  .LM890:
+ 5021 189a 9243 0000           mov     #1, &mathStack 
+ 5022 189e 3040 0000           br      #.L483
+ 5023                  .LVL338:
+ 5024                  .L344:
+ 5025                  .LBE407:
+ 5026                  .LBE495:
+ 5027                  .LBB496:
+ 5028                  .LBB497:
+ 5029                  .LM891:
+ 5030 18a2 1E42 0000           mov     &mathStack, r14 
+ 5031                  .LVL339:
+ 5032 18a6 3F40 0000           mov     #mathStack+2, r15 
+ 5033                  .LVL340:
+ 5034                  .L406:
+ 5035                  .LM892:
+ 5036 18aa AF4F FEFF           mov     @r15, -2(r15) 
+ 5037 18ae 2F53                add     #2, r15
+ 5038                  .LM893:
+ 5039 18b0 3F90 0000           cmp     #mathStack+32, r15
+ 5040 18b4 FA23                jne     .L406
+ 5041                  .LBE497:
+ 5042                  .LBE496:
+ 5043                  .LM894:
+ 5044 18b6 829E 0000           cmp     r14, &mathStack
+ 5045 18ba 0238                jl      +4
+ 5046 18bc 3040 0000           br      #.L562
+ 5047                  .LBB498:
+ 5048                  .LBB409:
+ 5049                  .LM895:
+ 5050 18c0 9243 0000           mov     #1, &mathStack 
+ 5051 18c4 3040 0000           br      #.L483
+ 5052                  .LVL341:
+ 5053                  .L343:
+ 5054                  .LBE409:
+ 5055                  .LBE498:
+ 5056                  .LM896:
+ 5057 18c8 1F42 0000           mov     &mathStack, r15 
+ 5058                  .LVL342:
+ 5059                  .LM897:
+ 5060 18cc 9242 0000           mov     &mathStack+2, &mathStack 
+ 5060      0000 
+ 5061                  .LM898:
+ 5062 18d2 824F 0000           mov     r15, &mathStack+2 
+ 5063                  .LM899:
+ 5064 18d6 3040 0000           br      #.L483
+ 5065                  .LVL343:
+ 5066                  .L425:
+ 5067                  .LBB499:
+ 5068                  .LBB344:
+ 5069                  .LM900:
+ 5070 18da 0E4F                mov     r15, r14 
+ 5071 18dc 0E5E                rla     r14
+ 5072 18de 1E4E 0000           mov     prog(r14), r14 
+ 5073                  .LVL344:
+ 5074 18e2 3040 0000           br      #.L426
+ 5075                  .LBE344:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 91
+
+
+ 5076                  .LBE499:
+ 5077                  .LFE36:
+ 5078                  .Lfe35:
+ 5079                          .size   execN,.Lfe35-execN
+ 5080                  ;; End of function 
+ 5081                  
+ 5082                          .p2align 1,0
+ 5083                  .global execFunc
+ 5084                          .type   execFunc,@function
+ 5085                  /***********************
+ 5086                   * Function `execFunc' 
+ 5087                   ***********************/
+ 5088                  execFunc:
+ 5089                  .LFB35:
+ 5090                  .LM901:
+ 5091                          /* prologue ends here (frame size = 0) */
+ 5092                  .L__FrameSize_execFunc=0x0
+ 5093                  .L__FrameOffset_execFunc=0x0
+ 5094                  .LBB500:
+ 5095                  .LBB501:
+ 5096                  .LM902:
+ 5097 18e6 1F42 0000           mov     &mathStack, r15 
+ 5098                  .LVL345:
+ 5099 18ea 3E40 0000           mov     #mathStack+2, r14 
+ 5100                  .L579:
+ 5101                  .LM903:
+ 5102 18ee AE4E FEFF           mov     @r14, -2(r14) 
+ 5103 18f2 2E53                add     #2, r14
+ 5104                  .LM904:
+ 5105 18f4 3E90 0000           cmp     #mathStack+32, r14
+ 5106 18f8 FA23                jne     .L579
+ 5107                  .LBE501:
+ 5108                  .LBE500:
+ 5109                  .LM905:
+ 5110 18fa 3F90 204E           cmp     #20000, r15
+ 5111 18fe 2034                jge     .L585
+ 5112                  .LM906:
+ 5113 1900 3F90 1027           cmp     #10000, r15
+ 5114 1904 0E34                jge     .L586
+ 5115                  .LBB502:
+ 5116                  .LBB503:
+ 5117                  .LM907:
+ 5118 1906 1E42 0000           mov     &addrStackPtr, r14 
+ 5119 190a 3E53                add     #llo(-1), r14
+ 5120 190c 824E 0000           mov     r14, &addrStackPtr 
+ 5121                  .LM908:
+ 5122 1910 0E5E                rla     r14
+ 5123 1912 9E42 0000           mov     &progCounter, addrStack(r14) 
+ 5123      0000 
+ 5124                  .LBE503:
+ 5125                  .LBE502:
+ 5126                  .LM909:
+ 5127 1918 0F5F                rla     r15
+ 5128                  .LVL346:
+ 5129 191a 924F 0000           mov     progOps(r15), &progCounter 
+ 5129      0000 
+ 5130 1920 3041                ret
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 92
+
+
+ 5131                  .L586:
+ 5132                  .LBB504:
+ 5133                  .LBB505:
+ 5134                  .LM910:
+ 5135 1922 1E42 0000           mov     &addrStackPtr, r14 
+ 5136 1926 3E53                add     #llo(-1), r14
+ 5137 1928 824E 0000           mov     r14, &addrStackPtr 
+ 5138                  .LM911:
+ 5139 192c 0E5E                rla     r14
+ 5140 192e 9E42 0000           mov     &progCounter, addrStack(r14) 
+ 5140      0000 
+ 5141                  .LBE505:
+ 5142                  .LBE504:
+ 5143                  .LM912:
+ 5144 1934 0F5F                rla     r15
+ 5145                  .LVL347:
+ 5146 1936 3F50 0000           add     #cmdList2N-20000, r15
+ 5147 193a A24F 0000           mov     @r15, &progCounter 
+ 5148 193e 3041                ret
+ 5149                  .LVL348:
+ 5150                  .L585:
+ 5151                  .LM913:
+ 5152 1940 3F50 E0B1           add     #llo(-20000), r15
+ 5153                  .LVL349:
+ 5154 1944 B012 0000           call    #execN
+ 5155 1948 3041                ret
+ 5156                  .LFE35:
+ 5157                  .Lfe36:
+ 5158                          .size   execFunc,.Lfe36-execFunc
+ 5159                  ;; End of function 
+ 5160                  
+ 5161                          .p2align 1,0
+ 5162                  .global processLoop
+ 5163                          .type   processLoop,@function
+ 5164                  /***********************
+ 5165                   * Function `processLoop' 
+ 5166                   ***********************/
+ 5167                  processLoop:
+ 5168                  .LFB37:
+ 5169                  .LM914:
+ 5170 194a 0B12                push    r11
+ 5171                  .LCFI44:
+ 5172 194c 0A12                push    r10
+ 5173                  .LCFI45:
+ 5174                          /* prologue ends here (frame size = 0) */
+ 5175                  .L__FrameSize_processLoop=0x0
+ 5176                  .L__FrameOffset_processLoop=0x4
+ 5177                  .LM915:
+ 5178 194e 1E42 0000           mov     &progCounter, r14 
+ 5179                  .LM916:
+ 5180 1952 3A40 0F27           mov     #9999, r10 
+ 5181                  .LM917:
+ 5182 1956 3B40 1F4E           mov     #19999, r11 
+ 5183                  .L593:
+ 5184                  .LM918:
+ 5185 195a 0A9E                cmp     r14, r10
+ 5186 195c 1734                jge     .L588
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 93
+
+
+ 5187                  .LVL350:
+ 5188                  .L595:
+ 5189                  .LM919:
+ 5190 195e 0F4E                mov     r14, r15 
+ 5191                  .LVL351:
+ 5192 1960 0F5F                rla     r15
+ 5193 1962 3F50 0000           add     #progBi-20000, r15
+ 5194 1966 2F4F                mov     @r15, r15 
+ 5195                  .LVL352:
+ 5196                  .LM920:
+ 5197 1968 1E53                add     #1, r14
+ 5198 196a 824E 0000           mov     r14, &progCounter 
+ 5199                  .LM921:
+ 5200 196e 0B9F                cmp     r15, r11
+ 5201 1970 1638                jl      .L594
+ 5202                  .L590:
+ 5203                  .LBB506:
+ 5204                  .LBB507:
+ 5205                  .LM922:
+ 5206 1972 1D42 0000           mov     &addrStackPtr, r13 
+ 5207 1976 3D53                add     #llo(-1), r13
+ 5208 1978 824D 0000           mov     r13, &addrStackPtr 
+ 5209                  .LM923:
+ 5210 197c 0D5D                rla     r13
+ 5211 197e 8D4E 0000           mov     r14, addrStack(r13) 
+ 5212                  .LBE507:
+ 5213                  .LBE506:
+ 5214                  .LM924:
+ 5215 1982 0F5F                rla     r15
+ 5216                  .LVL353:
+ 5217 1984 1E4F 0000           mov     progOps(r15), r14 
+ 5218                  .LM925:
+ 5219 1988 0A9E                cmp     r14, r10
+ 5220 198a E93B                jl      .L595
+ 5221                  .L588:
+ 5222                  .LM926:
+ 5223 198c 0F4E                mov     r14, r15 
+ 5224                  .LVL354:
+ 5225 198e 0F5F                rla     r15
+ 5226 1990 1F4F 0000           mov     prog(r15), r15 
+ 5227                  .LVL355:
+ 5228                  .LM927:
+ 5229 1994 1E53                add     #1, r14
+ 5230 1996 824E 0000           mov     r14, &progCounter 
+ 5231                  .LM928:
+ 5232 199a 0B9F                cmp     r15, r11
+ 5233 199c EA37                jge     .L590
+ 5234                  .L594:
+ 5235                  .LM929:
+ 5236 199e 3F50 E0B1           add     #llo(-20000), r15
+ 5237                  .LVL356:
+ 5238 19a2 B012 0000           call    #execN
+ 5239 19a6 1E42 0000           mov     &progCounter, r14 
+ 5240 19aa D73F                jmp     .L593
+ 5241                  .LFE37:
+ 5242                  .Lfe37:
+ 5243                          .size   processLoop,.Lfe37-processLoop
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 94
+
+
+ 5244                  ;; End of function 
+ 5245                  
+ 5246                          .p2align 1,0
+ 5247                  .global main
+ 5248                          .type   main,@function
+ 5249                  /***********************
+ 5250                   * Function `main' 
+ 5251                   ***********************/
+ 5252                  main:
+ 5253                  .LFB38:
+ 5254                  .LM930:
+ 5255 19ac 3140 0000           mov     #__stack, r1 
+ 5256                          /* prologue ends here (frame size = 0) */
+ 5257                  .L__FrameSize_main=0x0
+ 5258                  .L__FrameOffset_main=0x0
+ 5259                  .LM931:
+ 5260 19b0 B240 0C00           mov     #12, &0x200C 
+ 5260      0C20 
+ 5261                  .LM932:
+ 5262 19b6 8243 0820           mov     #0, &0x2008 
+ 5263                  .LM933:
+ 5264 19ba B240 1F00           mov     #31, &0x2004 
+ 5264      0420 
+ 5265                  .LBB508:
+ 5266                  .LBB509:
+ 5267                  .LM934:
+ 5268 19c0 8243 0000           mov     #0, &outputCharCntrN 
+ 5269                  .LM935:
+ 5270 19c4 8243 0000           mov     #0, &outputCharCntr 
+ 5271                  .LM936:
+ 5272 19c8 8243 0000           mov     #0, &inputCharCntr 
+ 5273                  .LM937:
+ 5274 19cc 8243 0000           mov     #0, &inputRingPtrXin 
+ 5275                  .LM938:
+ 5276 19d0 8243 0000           mov     #0, &inputRingPtrXout 
+ 5277                  .LM939:
+ 5278 19d4 8243 0000           mov     #0, &outputRingPtrXin 
+ 5279                  .LM940:
+ 5280 19d8 8243 0000           mov     #0, &outputRingPtrXout 
+ 5281                  .LM941:
+ 5282 19dc C243 0000           mov.b   #0, &inputBufPtr
+ 5283                  .LBE509:
+ 5284                  .LBE508:
+ 5285                  .LM942:
+ 5286 19e0 8243 0460           mov     #0, &0x6004 
+ 5287                  .LM943:
+ 5288 19e4 8243 0260           mov     #0, &0x6002 
+ 5289                  .LM944:
+ 5290 19e8 B240 2304           mov     #1059, &0x600A 
+ 5290      0A60 
+ 5291                  .LM945:
+ 5292 19ee B240 3C00           mov     #60, &0x6000 
+ 5292      0060 
+ 5293                  .LBB510:
+ 5294                  .LBB511:
+ 5295                  .LM946:
+ 5296 19f4 1D42 0000           mov     &outputRingPtrXin, r13 
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 95
+
+
+ 5297                  .LM947:
+ 5298 19f8 1E42 0000           mov     &outputRingPtrXout, r14 
+ 5299 19fc 1F42 0000           mov     &outputRingPtrXin, r15 
+ 5300 1a00 0E9F                cmp     r15, r14
+ 5301 1a02 0724                jeq     .L597
+ 5302                  .L600:
+ 5303                  .LM948:
+ 5304                  /* #APP */
+ 5305                   ;  577 "x.c" 1
+ 5306 1a04 32D2                eint
+ 5307                   ;  0 "" 2
+ 5308                  .LM949:
+ 5309                  /* #NOAPP */
+ 5310 1a06 1E42 0000           mov     &outputRingPtrXout, r14 
+ 5311 1a0a 1F42 0000           mov     &outputRingPtrXin, r15 
+ 5312 1a0e 0E9F                cmp     r15, r14
+ 5313 1a10 F923                jne     .L600
+ 5314                  .L597:
+ 5315                  .LM950:
+ 5316 1a12 1F42 0000           mov     &outputRingPtrXin, r15 
+ 5317 1a16 0F5F                rla     r15
+ 5318 1a18 8F43 0000           mov     #0, outputRing(r15) 
+ 5319                  .LM951:
+ 5320 1a1c 4F4D                mov.b   r13, r15
+ 5321 1a1e 5F53                add.b   #1, r15
+ 5322                  .LM952:
+ 5323 1a20 0E4F                mov     r15, r14 
+ 5324 1a22 3EF0 0F00           and     #15, r14
+ 5325 1a26 824E 0000           mov     r14, &outputRingPtrXin 
+ 5326                  .LBE511:
+ 5327                  .LBE510:
+ 5328                  .LM953:
+ 5329 1a2a B240 2000           mov     #32, &addrStackPtr 
+ 5329      0000 
+ 5330                  .LM954:
+ 5331 1a30 B240 1027           mov     #10000, &progCounter 
+ 5331      0000 
+ 5332                  .LM955:
+ 5333 1a36 9243 0000           mov     #1, &progPtr 
+ 5334                  .LM956:
+ 5335 1a3a 8243 0000           mov     #0, &cmdListPtr 
+ 5336                  .LM957:
+ 5337 1a3e C243 0000           mov.b   #0, &cmdList
+ 5338                  .LM958:
+ 5339 1a42 9243 0000           mov     #1, &progOpsPtr 
+ 5340                  .LM959:
+ 5341 1a46 8243 0000           mov     #0, &dirMemory 
+ 5342                  .LM960:
+ 5343 1a4a B012 0000           call    #setupDACs
+ 5344                  .LM961:
+ 5345 1a4e B012 0000           call    #setAllDACs
+ 5346                  .LM962:
+ 5347 1a52 B012 0000           call    #processLoop
+ 5348                  
+ 5349                          /* epilogue: frame size = 0 */
+ 5350                  .LM963:
+ 5351 1a56 3040 0000           br      #main
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 96
+
+
+ 5352                  .LFE38:
+ 5353                  .Lfe38:
+ 5354                          .size   main,.Lfe38-main
+ 5355                  ;; End of function 
+ 5356                  
+ 5357                          .p2align 1,0
+ 5358                  .global _unexpected_
+ 5359                          .type   _unexpected_,@function
+ 5360                  /***********************
+ 5361                   * Function `_unexpected_' 
+ 5362                   ***********************/
+ 5363                  _unexpected_:
+ 5364                  .LFB39:
+ 5365                  .LM964:
+ 5366                          /* prologue: naked */
+ 5367                  .L__FrameSize__unexpected_=0x0
+ 5368                  .LM965:
+ 5369                  /* #APP */
+ 5370                   ;  1580 "x.c" 1
+ 5371 1a5a 3040 0000           br #main
+ 5372                   ;  0 "" 2
+ 5373                  
+ 5374                          /* epilogue: naked */
+ 5375                  .LM966:
+ 5376                  /* #NOAPP */
+ 5377                  .LFE39:
+ 5378                  .Lfe39:
+ 5379                          .size   _unexpected_,.Lfe39-_unexpected_
+ 5380                  ;; End of function 
+ 5381                  
+ 5382                  .global cmdListBi
+ 5383                          .type   cmdListBi,@object
+ 5384                          .size   cmdListBi,267
+ 5385                  cmdListBi:
+ 5386 1a5e 6578 6974           .ascii  "exit + - * / . dup drop swap < > = .hb gw dfn keyt , p@ p! n"
+ 5386      202B 202D 
+ 5386      202A 202F 
+ 5386      202E 2064 
+ 5386      7570 2064 
+ 5387 1a9a 6F74 206C           .ascii  "ot list if then else begin until clrb .h ] num push0 goto ex"
+ 5387      6973 7420 
+ 5387      6966 2074 
+ 5387      6865 6E20 
+ 5387      656C 7365 
+ 5388 1ad6 6563 206C           .ascii  "ec lu pushn over push1 pwrd emit ; @ ! h@ do loop i b@ a! an"
+ 5388      7520 7075 
+ 5388      7368 6E20 
+ 5388      6F76 6572 
+ 5388      2070 7573 
+ 5389 1b12 6420 6F72           .ascii  "d or */ key cr hist hi"
+ 5389      202A 2F20 
+ 5389      6B65 7920 
+ 5389      6372 2068 
+ 5389      6973 7420 
+ 5390 1b28 7374 636C           .string "stclr fasttimer slowtimer stat hstat fec fecset fecbset fecbclr "
+ 5390      7220 6661 
+ 5390      7374 7469 
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 97
+
+
+ 5390      6D65 7220 
+ 5390      736C 6F77 
+ 5391                  .global cmdListBi2
+ 5392                          .type   cmdListBi2,@object
+ 5393                          .size   cmdListBi2,9
+ 5394                  cmdListBi2:
+ 5395 1b69 5B20 3A20           .string "[ : var "
+ 5395      7661 7220 
+ 5395      00
+ 5396                  .global cmdList2N
+ 5397                          .p2align 1,0
+ 5398                          .type   cmdList2N,@object
+ 5399                          .size   cmdList2N,8
+ 5400                  cmdList2N:
+ 5401 1b72 0000                .word   0
+ 5402 1b74 1027                .word   10000
+ 5403 1b76 3027                .word   10032
+ 5404 1b78 9727                .word   10135
+ 5405                  .global progBi
+ 5406                          .p2align 1,0
+ 5407                          .type   progBi,@object
+ 5408                          .size   progBi,296
+ 5409                  progBi:
+ 5410 1b7a 394E                .word   20025
+ 5411 1b7c 2E4E                .word   20014
+ 5412 1b7e 3E4E                .word   20030
+ 5413 1b80 364E                .word   20022
+ 5414 1b82 1827                .word   10008
+ 5415 1b84 3F4E                .word   20031
+ 5416 1b86 404E                .word   20032
+ 5417 1b88 2E27                .word   10030
+ 5418 1b8a 284E                .word   20008
+ 5419 1b8c 424E                .word   20034
+ 5420 1b8e 364E                .word   20022
+ 5421 1b90 2A27                .word   10026
+ 5422 1b92 434E                .word   20035
+ 5423 1b94 5D00                .word   93
+ 5424 1b96 444E                .word   20036
+ 5425 1b98 2C4E                .word   20012
+ 5426 1b9a 364E                .word   20022
+ 5427 1b9c 2627                .word   10022
+ 5428 1b9e 284E                .word   20008
+ 5429 1ba0 454E                .word   20037
+ 5430 1ba2 404E                .word   20032
+ 5431 1ba4 2E27                .word   10030
+ 5432 1ba6 414E                .word   20033
+ 5433 1ba8 3F4E                .word   20031
+ 5434 1baa 404E                .word   20032
+ 5435 1bac 2E27                .word   10030
+ 5436 1bae 434E                .word   20035
+ 5437 1bb0 3F00                .word   63
+ 5438 1bb2 474E                .word   20039
+ 5439 1bb4 3F4E                .word   20031
+ 5440 1bb6 3A4E                .word   20026
+ 5441 1bb8 484E                .word   20040
+ 5442 1bba 434E                .word   20035
+ 5443 1bbc 5555                .word   21845
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 98
+
+
+ 5444 1bbe 2E4E                .word   20014
+ 5445 1bc0 2F4E                .word   20015
+ 5446 1bc2 394E                .word   20025
+ 5447 1bc4 2E4E                .word   20014
+ 5448 1bc6 3E4E                .word   20030
+ 5449 1bc8 364E                .word   20022
+ 5450 1bca 3F27                .word   10047
+ 5451 1bcc 434E                .word   20035
+ 5452 1bce 434E                .word   20035
+ 5453 1bd0 314E                .word   20017
+ 5454 1bd2 314E                .word   20017
+ 5455 1bd4 3F4E                .word   20031
+ 5456 1bd6 3A4E                .word   20026
+ 5457 1bd8 284E                .word   20008
+ 5458 1bda 424E                .word   20034
+ 5459 1bdc 344E                .word   20020
+ 5460 1bde 364E                .word   20022
+ 5461 1be0 4A27                .word   10058
+ 5462 1be2 434E                .word   20035
+ 5463 1be4 3F00                .word   63
+ 5464 1be6 474E                .word   20039
+ 5465 1be8 464E                .word   20038
+ 5466 1bea 3F4E                .word   20031
+ 5467 1bec 3A4E                .word   20026
+ 5468 1bee 434E                .word   20035
+ 5469 1bf0 364E                .word   20022
+ 5470 1bf2 444E                .word   20036
+ 5471 1bf4 2C4E                .word   20012
+ 5472 1bf6 364E                .word   20022
+ 5473 1bf8 5627                .word   10070
+ 5474 1bfa 314E                .word   20017
+ 5475 1bfc 4B4E                .word   20043
+ 5476 1bfe 274E                .word   20007
+ 5477 1c00 314E                .word   20017
+ 5478 1c02 3F4E                .word   20031
+ 5479 1c04 3A4E                .word   20026
+ 5480 1c06 434E                .word   20035
+ 5481 1c08 384E                .word   20024
+ 5482 1c0a 444E                .word   20036
+ 5483 1c0c 2C4E                .word   20012
+ 5484 1c0e 364E                .word   20022
+ 5485 1c10 6827                .word   10088
+ 5486 1c12 434E                .word   20035
+ 5487 1c14 404E                .word   20032
+ 5488 1c16 314E                .word   20017
+ 5489 1c18 4B4E                .word   20043
+ 5490 1c1a 294E                .word   20009
+ 5491 1c1c 314E                .word   20017
+ 5492 1c1e 294E                .word   20009
+ 5493 1c20 4B4E                .word   20043
+ 5494 1c22 294E                .word   20009
+ 5495 1c24 334E                .word   20019
+ 5496 1c26 3F4E                .word   20031
+ 5497 1c28 3A4E                .word   20026
+ 5498 1c2a 434E                .word   20035
+ 5499 1c2c 374E                .word   20023
+ 5500 1c2e 444E                .word   20036
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 99
+
+
+ 5501 1c30 2C4E                .word   20012
+ 5502 1c32 364E                .word   20022
+ 5503 1c34 7427                .word   10100
+ 5504 1c36 284E                .word   20008
+ 5505 1c38 4B4E                .word   20043
+ 5506 1c3a 294E                .word   20009
+ 5507 1c3c 334E                .word   20019
+ 5508 1c3e 3F4E                .word   20031
+ 5509 1c40 3A4E                .word   20026
+ 5510 1c42 434E                .word   20035
+ 5511 1c44 1127                .word   10001
+ 5512 1c46 444E                .word   20036
+ 5513 1c48 2C4E                .word   20012
+ 5514 1c4a 364E                .word   20022
+ 5515 1c4c 7D27                .word   10109
+ 5516 1c4e 1127                .word   10001
+ 5517 1c50 3F4E                .word   20031
+ 5518 1c52 3A4E                .word   20026
+ 5519 1c54 434E                .word   20035
+ 5520 1c56 484E                .word   20040
+ 5521 1c58 444E                .word   20036
+ 5522 1c5a 2C4E                .word   20012
+ 5523 1c5c 344E                .word   20020
+ 5524 1c5e 364E                .word   20022
+ 5525 1c60 8727                .word   10119
+ 5526 1c62 314E                .word   20017
+ 5527 1c64 3F4E                .word   20031
+ 5528 1c66 3A4E                .word   20026
+ 5529 1c68 314E                .word   20017
+ 5530 1c6a 434E                .word   20035
+ 5531 1c6c 5555                .word   21845
+ 5532 1c6e 2C4E                .word   20012
+ 5533 1c70 344E                .word   20020
+ 5534 1c72 364E                .word   20022
+ 5535 1c74 9427                .word   10132
+ 5536 1c76 434E                .word   20035
+ 5537 1c78 3F00                .word   63
+ 5538 1c7a 474E                .word   20039
+ 5539 1c7c 434E                .word   20035
+ 5540 1c7e 7300                .word   115
+ 5541 1c80 474E                .word   20039
+ 5542 1c82 454E                .word   20037
+ 5543 1c84 3A4E                .word   20026
+ 5544 1c86 484E                .word   20040
+ 5545 1c88 4B4E                .word   20043
+ 5546 1c8a 3F4E                .word   20031
+ 5547 1c8c 314E                .word   20017
+ 5548 1c8e 2E4E                .word   20014
+ 5549 1c90 2F4E                .word   20015
+ 5550 1c92 434E                .word   20035
+ 5551 1c94 434E                .word   20035
+ 5552 1c96 314E                .word   20017
+ 5553 1c98 314E                .word   20017
+ 5554 1c9a 434E                .word   20035
+ 5555 1c9c 484E                .word   20040
+ 5556 1c9e 314E                .word   20017
+ 5557 1ca0 484E                .word   20040
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 100
+
+
+ 5558                  .global biasVoltage
+ 5559                          .p2align 1,0
+ 5560                          .type   biasVoltage,@object
+ 5561                          .size   biasVoltage,32
+ 5562                  biasVoltage:
+ 5563 1ca2 5206                .word   1618
+ 5564 1ca4 660B                .word   2918
+ 5565 1ca6 CD09                .word   2509
+ 5566 1ca8 9A05                .word   1434
+ 5567 1caa 0008                .word   2048
+ 5568 1cac 0008                .word   2048
+ 5569 1cae 0008                .word   2048
+ 5570 1cb0 0008                .word   2048
+ 5571 1cb2 3302                .word   563
+ 5572 1cb4 6603                .word   870
+ 5573 1cb6 B808                .word   2232
+ 5574 1cb8 000A                .word   2560
+ 5575 1cba 3302                .word   563
+ 5576 1cbc 6603                .word   870
+ 5577 1cbe B808                .word   2232
+ 5578 1cc0 000A                .word   2560
+ 5579                  .global InterruptVectors
+ 5580                          .section        .vectors,"aw",@progbits
+ 5581                          .p2align 1,0
+ 5582                          .type   InterruptVectors,@object
+ 5583                          .size   InterruptVectors,32
+ 5584                  InterruptVectors:
+ 5585 0000 003C                .word   15360
+ 5586 0002 3040                .word   16432
+ 5587 0004 0000                .word   main
+ 5588 0006 003C                .word   15360
+ 5589 0008 003C                .word   15360
+ 5590 000a 3040                .word   16432
+ 5591 000c 0000                .word   timerInterrupt
+ 5592 000e 3040                .word   16432
+ 5593 0010 0000                .word   adcInterrupt
+ 5594 0012 003C                .word   15360
+ 5595 0014 003C                .word   15360
+ 5596 0016 003C                .word   15360
+ 5597 0018 003C                .word   15360
+ 5598 001a 003C                .word   15360
+ 5599 001c 3040                .word   16432
+ 5600 001e 0000                .word   junkInterrupt
+ 5601                          .comm mathStack,32,2
+ 5602                          .comm addrStack,64,2
+ 5603                          .comm addrStackPtr,2,2
+ 5604                          .comm prog,512,2
+ 5605                          .comm progPtr,2,2
+ 5606                          .comm progOps,64,2
+ 5607                          .comm progOpsPtr,2,2
+ 5608                          .comm cmdList,128,2
+ 5609                          .comm cmdListPtr,2,2
+ 5610                          .comm subSecondClock,2,2
+ 5611                          .comm fastTimer,2,2
+ 5612                          .comm slowTimer,2,2
+ 5613                          .comm dirMemory,2,2
+ 5614                          .comm buckets,520,2
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 101
+
+
+ 5615                          .comm progCounter,2,2
+ 5616                          .comm lineBuffer,128,2
+ 5617                          .comm lineBufferPtr,2,2
+ 5618                          .comm wordBuffer,32,2
+ 5619                          .comm outputCharN,2,2
+ 5620                          .comm outputCharCntrN,2,2
+ 5621                          .comm outputChar,2,2
+ 5622                          .comm outputCharCntr,2,2
+ 5623                          .comm clicks,2,2
+ 5624                          .comm outputRing,32,2
+ 5625                          .comm outputRingPtrXin,2,2
+ 5626                          .comm outputRingPtrXout,2,2
+ 5627                          .comm inputChar,2,2
+ 5628                          .comm inputCharX,2,2
+ 5629                          .comm inputCharCntr,2,2
+ 5630                          .comm inputCharBit,2,2
+ 5631                          .comm inputRing,16,2
+ 5632                          .comm inputRingPtrXin,2,2
+ 5633                          .comm inputRingPtrXout,2,2
+ 5634                          .comm inputBuf,128,2
+ 5635                          .comm inputBufPtr,1
+ 5636                          .comm fecShadow,6,2
+ 5637                          .comm ad_int_tmp,2,2
+ 5638                          .section        .debug_frame,"",@progbits
+ 5639                  .Lframe0:
+ 5640 0000 1000 0000           .4byte  .LECIE0-.LSCIE0
+ 5641                  .LSCIE0:
+ 5642 0004 FFFF FFFF           .4byte  0xffffffff
+ 5643 0008 01                  .byte   0x1
+ 5644 0009 00                  .string ""
+ 5645 000a 01                  .uleb128 0x1
+ 5646 000b 7E                  .sleb128 -2
+ 5647 000c 11                  .byte   0x11
+ 5648 000d 0C                  .byte   0xc
+ 5649 000e 01                  .uleb128 0x1
+ 5650 000f 02                  .uleb128 0x2
+ 5651 0010 11                  .byte   0x11
+ 5652 0011 11                  .uleb128 0x11
+ 5653 0012 01                  .sleb128 1
+ 5654 0013 00                  .p2align 1,0
+ 5655                  .LECIE0:
+ 5656                  .LSFDE0:
+ 5657 0014 0800 0000           .4byte  .LEFDE0-.LASFDE0
+ 5658                  .LASFDE0:
+ 5659 0018 0000 0000           .4byte  .Lframe0
+ 5660 001c 0000                .2byte  .LFB0
+ 5661 001e 0400                .2byte  .LFE0-.LFB0
+ 5662                          .p2align 1,0
+ 5663                  .LEFDE0:
+ 5664                  .LSFDE2:
+ 5665 0020 0800 0000           .4byte  .LEFDE2-.LASFDE2
+ 5666                  .LASFDE2:
+ 5667 0024 0000 0000           .4byte  .Lframe0
+ 5668 0028 0000                .2byte  .LFB1
+ 5669 002a 0200                .2byte  .LFE1-.LFB1
+ 5670                          .p2align 1,0
+ 5671                  .LEFDE2:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 102
+
+
+ 5672                  .LSFDE4:
+ 5673 002c 1400 0000           .4byte  .LEFDE4-.LASFDE4
+ 5674                  .LASFDE4:
+ 5675 0030 0000 0000           .4byte  .Lframe0
+ 5676 0034 0000                .2byte  .LFB2
+ 5677 0036 9A00                .2byte  .LFE2-.LFB2
+ 5678 0038 42                  .byte   0x4
+ 5679                          .4byte  .LCFI0-.LFB2
+ 5680 0039 0E                  .byte   0xe
+ 5681 003a 04                  .uleb128 0x4
+ 5682 003b 42                  .byte   0x4
+ 5683                          .4byte  .LCFI1-.LCFI0
+ 5684 003c 0E                  .byte   0xe
+ 5685 003d 06                  .uleb128 0x6
+ 5686 003e 11                  .byte   0x11
+ 5687 003f 0E                  .uleb128 0xe
+ 5688 0040 03                  .sleb128 3
+ 5689 0041 11                  .byte   0x11
+ 5690 0042 0F                  .uleb128 0xf
+ 5691 0043 02                  .sleb128 2
+ 5692                          .p2align 1,0
+ 5693                  .LEFDE4:
+ 5694                  .LSFDE6:
+ 5695 0044 1C00 0000           .4byte  .LEFDE6-.LASFDE6
+ 5696                  .LASFDE6:
+ 5697 0048 0000 0000           .4byte  .Lframe0
+ 5698 004c 0000                .2byte  .LFB3
+ 5699 004e 1A01                .2byte  .LFE3-.LFB3
+ 5700 0050 42                  .byte   0x4
+ 5701                          .4byte  .LCFI2-.LFB3
+ 5702 0051 0E                  .byte   0xe
+ 5703 0052 04                  .uleb128 0x4
+ 5704 0053 42                  .byte   0x4
+ 5705                          .4byte  .LCFI3-.LCFI2
+ 5706 0054 0E                  .byte   0xe
+ 5707 0055 06                  .uleb128 0x6
+ 5708 0056 11                  .byte   0x11
+ 5709 0057 0E                  .uleb128 0xe
+ 5710 0058 03                  .sleb128 3
+ 5711 0059 11                  .byte   0x11
+ 5712 005a 0F                  .uleb128 0xf
+ 5713 005b 02                  .sleb128 2
+ 5714 005c 02                  .byte   0x4
+ 5715 005d CC                  .4byte  .LCFI4-.LCFI3
+ 5716 005e 0E                  .byte   0xe
+ 5717 005f 04                  .uleb128 0x4
+ 5718 0060 42                  .byte   0x4
+ 5719                          .4byte  .LCFI5-.LCFI4
+ 5720 0061 0E                  .byte   0xe
+ 5721 0062 02                  .uleb128 0x2
+ 5722 0063 00                  .p2align 1,0
+ 5723                  .LEFDE6:
+ 5724                  .LSFDE8:
+ 5725 0064 0800 0000           .4byte  .LEFDE8-.LASFDE8
+ 5726                  .LASFDE8:
+ 5727 0068 0000 0000           .4byte  .Lframe0
+ 5728 006c 0000                .2byte  .LFB5
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 103
+
+
+ 5729 006e 3C00                .2byte  .LFE5-.LFB5
+ 5730                          .p2align 1,0
+ 5731                  .LEFDE8:
+ 5732                  .LSFDE10:
+ 5733 0070 0800 0000           .4byte  .LEFDE10-.LASFDE10
+ 5734                  .LASFDE10:
+ 5735 0074 0000 0000           .4byte  .Lframe0
+ 5736 0078 0000                .2byte  .LFB6
+ 5737 007a 2800                .2byte  .LFE6-.LFB6
+ 5738                          .p2align 1,0
+ 5739                  .LEFDE10:
+ 5740                  .LSFDE12:
+ 5741 007c 0800 0000           .4byte  .LEFDE12-.LASFDE12
+ 5742                  .LASFDE12:
+ 5743 0080 0000 0000           .4byte  .Lframe0
+ 5744 0084 0000                .2byte  .LFB7
+ 5745 0086 3200                .2byte  .LFE7-.LFB7
+ 5746                          .p2align 1,0
+ 5747                  .LEFDE12:
+ 5748                  .LSFDE14:
+ 5749 0088 0800 0000           .4byte  .LEFDE14-.LASFDE14
+ 5750                  .LASFDE14:
+ 5751 008c 0000 0000           .4byte  .Lframe0
+ 5752 0090 0000                .2byte  .LFB8
+ 5753 0092 3200                .2byte  .LFE8-.LFB8
+ 5754                          .p2align 1,0
+ 5755                  .LEFDE14:
+ 5756                  .LSFDE16:
+ 5757 0094 0800 0000           .4byte  .LEFDE16-.LASFDE16
+ 5758                  .LASFDE16:
+ 5759 0098 0000 0000           .4byte  .Lframe0
+ 5760 009c 0000                .2byte  .LFB9
+ 5761 009e 2400                .2byte  .LFE9-.LFB9
+ 5762                          .p2align 1,0
+ 5763                  .LEFDE16:
+ 5764                  .LSFDE18:
+ 5765 00a0 1400 0000           .4byte  .LEFDE18-.LASFDE18
+ 5766                  .LASFDE18:
+ 5767 00a4 0000 0000           .4byte  .Lframe0
+ 5768 00a8 0000                .2byte  .LFB10
+ 5769 00aa 3400                .2byte  .LFE10-.LFB10
+ 5770 00ac 42                  .byte   0x4
+ 5771                          .4byte  .LCFI6-.LFB10
+ 5772 00ad 0E                  .byte   0xe
+ 5773 00ae 04                  .uleb128 0x4
+ 5774 00af 42                  .byte   0x4
+ 5775                          .4byte  .LCFI7-.LCFI6
+ 5776 00b0 0E                  .byte   0xe
+ 5777 00b1 06                  .uleb128 0x6
+ 5778 00b2 11                  .byte   0x11
+ 5779 00b3 0A                  .uleb128 0xa
+ 5780 00b4 03                  .sleb128 3
+ 5781 00b5 11                  .byte   0x11
+ 5782 00b6 0B                  .uleb128 0xb
+ 5783 00b7 02                  .sleb128 2
+ 5784                          .p2align 1,0
+ 5785                  .LEFDE18:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 104
+
+
+ 5786                  .LSFDE20:
+ 5787 00b8 1400 0000           .4byte  .LEFDE20-.LASFDE20
+ 5788                  .LASFDE20:
+ 5789 00bc 0000 0000           .4byte  .Lframe0
+ 5790 00c0 0000                .2byte  .LFB11
+ 5791 00c2 2000                .2byte  .LFE11-.LFB11
+ 5792 00c4 42                  .byte   0x4
+ 5793                          .4byte  .LCFI8-.LFB11
+ 5794 00c5 0E                  .byte   0xe
+ 5795 00c6 04                  .uleb128 0x4
+ 5796 00c7 42                  .byte   0x4
+ 5797                          .4byte  .LCFI9-.LCFI8
+ 5798 00c8 0E                  .byte   0xe
+ 5799 00c9 06                  .uleb128 0x6
+ 5800 00ca 11                  .byte   0x11
+ 5801 00cb 0A                  .uleb128 0xa
+ 5802 00cc 03                  .sleb128 3
+ 5803 00cd 11                  .byte   0x11
+ 5804 00ce 0B                  .uleb128 0xb
+ 5805 00cf 02                  .sleb128 2
+ 5806                          .p2align 1,0
+ 5807                  .LEFDE20:
+ 5808                  .LSFDE22:
+ 5809 00d0 0E00 0000           .4byte  .LEFDE22-.LASFDE22
+ 5810                  .LASFDE22:
+ 5811 00d4 0000 0000           .4byte  .Lframe0
+ 5812 00d8 0000                .2byte  .LFB12
+ 5813 00da 3A00                .2byte  .LFE12-.LFB12
+ 5814 00dc 44                  .byte   0x4
+ 5815                          .4byte  .LCFI10-.LFB12
+ 5816 00dd 0E                  .byte   0xe
+ 5817 00de 08                  .uleb128 0x8
+ 5818 00df 74                  .byte   0x4
+ 5819                          .4byte  .LCFI11-.LCFI10
+ 5820 00e0 0E                  .byte   0xe
+ 5821 00e1 02                  .uleb128 0x2
+ 5822                          .p2align 1,0
+ 5823                  .LEFDE22:
+ 5824                  .LSFDE24:
+ 5825 00e2 0800 0000           .4byte  .LEFDE24-.LASFDE24
+ 5826                  .LASFDE24:
+ 5827 00e6 0000 0000           .4byte  .Lframe0
+ 5828 00ea 0000                .2byte  .LFB13
+ 5829 00ec 2200                .2byte  .LFE13-.LFB13
+ 5830                          .p2align 1,0
+ 5831                  .LEFDE24:
+ 5832                  .LSFDE26:
+ 5833 00ee 0800 0000           .4byte  .LEFDE26-.LASFDE26
+ 5834                  .LASFDE26:
+ 5835 00f2 0000 0000           .4byte  .Lframe0
+ 5836 00f6 0000                .2byte  .LFB14
+ 5837 00f8 1400                .2byte  .LFE14-.LFB14
+ 5838                          .p2align 1,0
+ 5839                  .LEFDE26:
+ 5840                  .LSFDE28:
+ 5841 00fa 1A00 0000           .4byte  .LEFDE28-.LASFDE28
+ 5842                  .LASFDE28:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 105
+
+
+ 5843 00fe 0000 0000           .4byte  .Lframe0
+ 5844 0102 0000                .2byte  .LFB15
+ 5845 0104 3202                .2byte  .LFE15-.LFB15
+ 5846 0106 42                  .byte   0x4
+ 5847                          .4byte  .LCFI12-.LFB15
+ 5848 0107 0E                  .byte   0xe
+ 5849 0108 04                  .uleb128 0x4
+ 5850 0109 42                  .byte   0x4
+ 5851                          .4byte  .LCFI13-.LCFI12
+ 5852 010a 0E                  .byte   0xe
+ 5853 010b 06                  .uleb128 0x6
+ 5854 010c 42                  .byte   0x4
+ 5855                          .4byte  .LCFI14-.LCFI13
+ 5856 010d 0E                  .byte   0xe
+ 5857 010e 08                  .uleb128 0x8
+ 5858 010f 11                  .byte   0x11
+ 5859 0110 09                  .uleb128 0x9
+ 5860 0111 04                  .sleb128 4
+ 5861 0112 11                  .byte   0x11
+ 5862 0113 0A                  .uleb128 0xa
+ 5863 0114 03                  .sleb128 3
+ 5864 0115 11                  .byte   0x11
+ 5865 0116 0B                  .uleb128 0xb
+ 5866 0117 02                  .sleb128 2
+ 5867                          .p2align 1,0
+ 5868                  .LEFDE28:
+ 5869                  .LSFDE30:
+ 5870 0118 1A00 0000           .4byte  .LEFDE30-.LASFDE30
+ 5871                  .LASFDE30:
+ 5872 011c 0000 0000           .4byte  .Lframe0
+ 5873 0120 0000                .2byte  .LFB16
+ 5874 0122 C000                .2byte  .LFE16-.LFB16
+ 5875 0124 42                  .byte   0x4
+ 5876                          .4byte  .LCFI15-.LFB16
+ 5877 0125 0E                  .byte   0xe
+ 5878 0126 04                  .uleb128 0x4
+ 5879 0127 42                  .byte   0x4
+ 5880                          .4byte  .LCFI16-.LCFI15
+ 5881 0128 0E                  .byte   0xe
+ 5882 0129 06                  .uleb128 0x6
+ 5883 012a 42                  .byte   0x4
+ 5884                          .4byte  .LCFI17-.LCFI16
+ 5885 012b 0E                  .byte   0xe
+ 5886 012c 08                  .uleb128 0x8
+ 5887 012d 11                  .byte   0x11
+ 5888 012e 09                  .uleb128 0x9
+ 5889 012f 04                  .sleb128 4
+ 5890 0130 11                  .byte   0x11
+ 5891 0131 0A                  .uleb128 0xa
+ 5892 0132 03                  .sleb128 3
+ 5893 0133 11                  .byte   0x11
+ 5894 0134 0B                  .uleb128 0xb
+ 5895 0135 02                  .sleb128 2
+ 5896                          .p2align 1,0
+ 5897                  .LEFDE30:
+ 5898                  .LSFDE32:
+ 5899 0136 0E00 0000           .4byte  .LEFDE32-.LASFDE32
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 106
+
+
+ 5900                  .LASFDE32:
+ 5901 013a 0000 0000           .4byte  .Lframe0
+ 5902 013e 0000                .2byte  .LFB17
+ 5903 0140 4E00                .2byte  .LFE17-.LFB17
+ 5904 0142 42                  .byte   0x4
+ 5905                          .4byte  .LCFI18-.LFB17
+ 5906 0143 0E                  .byte   0xe
+ 5907 0144 04                  .uleb128 0x4
+ 5908 0145 11                  .byte   0x11
+ 5909 0146 0B                  .uleb128 0xb
+ 5910 0147 02                  .sleb128 2
+ 5911                          .p2align 1,0
+ 5912                  .LEFDE32:
+ 5913                  .LSFDE34:
+ 5914 0148 0E00 0000           .4byte  .LEFDE34-.LASFDE34
+ 5915                  .LASFDE34:
+ 5916 014c 0000 0000           .4byte  .Lframe0
+ 5917 0150 0000                .2byte  .LFB18
+ 5918 0152 3200                .2byte  .LFE18-.LFB18
+ 5919 0154 42                  .byte   0x4
+ 5920                          .4byte  .LCFI19-.LFB18
+ 5921 0155 0E                  .byte   0xe
+ 5922 0156 04                  .uleb128 0x4
+ 5923 0157 11                  .byte   0x11
+ 5924 0158 0B                  .uleb128 0xb
+ 5925 0159 02                  .sleb128 2
+ 5926                          .p2align 1,0
+ 5927                  .LEFDE34:
+ 5928                  .LSFDE36:
+ 5929 015a 0E00 0000           .4byte  .LEFDE36-.LASFDE36
+ 5930                  .LASFDE36:
+ 5931 015e 0000 0000           .4byte  .Lframe0
+ 5932 0162 0000                .2byte  .LFB19
+ 5933 0164 E800                .2byte  .LFE19-.LFB19
+ 5934 0166 42                  .byte   0x4
+ 5935                          .4byte  .LCFI20-.LFB19
+ 5936 0167 0E                  .byte   0xe
+ 5937 0168 04                  .uleb128 0x4
+ 5938 0169 11                  .byte   0x11
+ 5939 016a 0B                  .uleb128 0xb
+ 5940 016b 02                  .sleb128 2
+ 5941                          .p2align 1,0
+ 5942                  .LEFDE36:
+ 5943                  .LSFDE38:
+ 5944 016c 0800 0000           .4byte  .LEFDE38-.LASFDE38
+ 5945                  .LASFDE38:
+ 5946 0170 0000 0000           .4byte  .Lframe0
+ 5947 0174 0000                .2byte  .LFB20
+ 5948 0176 1600                .2byte  .LFE20-.LFB20
+ 5949                          .p2align 1,0
+ 5950                  .LEFDE38:
+ 5951                  .LSFDE40:
+ 5952 0178 0800 0000           .4byte  .LEFDE40-.LASFDE40
+ 5953                  .LASFDE40:
+ 5954 017c 0000 0000           .4byte  .Lframe0
+ 5955 0180 0000                .2byte  .LFB21
+ 5956 0182 1600                .2byte  .LFE21-.LFB21
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 107
+
+
+ 5957                          .p2align 1,0
+ 5958                  .LEFDE40:
+ 5959                  .LSFDE42:
+ 5960 0184 0800 0000           .4byte  .LEFDE42-.LASFDE42
+ 5961                  .LASFDE42:
+ 5962 0188 0000 0000           .4byte  .Lframe0
+ 5963 018c 0000                .2byte  .LFB22
+ 5964 018e 1400                .2byte  .LFE22-.LFB22
+ 5965                          .p2align 1,0
+ 5966                  .LEFDE42:
+ 5967                  .LSFDE44:
+ 5968 0190 0800 0000           .4byte  .LEFDE44-.LASFDE44
+ 5969                  .LASFDE44:
+ 5970 0194 0000 0000           .4byte  .Lframe0
+ 5971 0198 0000                .2byte  .LFB23
+ 5972 019a 1200                .2byte  .LFE23-.LFB23
+ 5973                          .p2align 1,0
+ 5974                  .LEFDE44:
+ 5975                  .LSFDE46:
+ 5976 019c 2600 0000           .4byte  .LEFDE46-.LASFDE46
+ 5977                  .LASFDE46:
+ 5978 01a0 0000 0000           .4byte  .Lframe0
+ 5979 01a4 0000                .2byte  .LFB24
+ 5980 01a6 E600                .2byte  .LFE24-.LFB24
+ 5981 01a8 42                  .byte   0x4
+ 5982                          .4byte  .LCFI21-.LFB24
+ 5983 01a9 0E                  .byte   0xe
+ 5984 01aa 04                  .uleb128 0x4
+ 5985 01ab 42                  .byte   0x4
+ 5986                          .4byte  .LCFI22-.LCFI21
+ 5987 01ac 0E                  .byte   0xe
+ 5988 01ad 06                  .uleb128 0x6
+ 5989 01ae 42                  .byte   0x4
+ 5990                          .4byte  .LCFI23-.LCFI22
+ 5991 01af 0E                  .byte   0xe
+ 5992 01b0 08                  .uleb128 0x8
+ 5993 01b1 42                  .byte   0x4
+ 5994                          .4byte  .LCFI24-.LCFI23
+ 5995 01b2 0E                  .byte   0xe
+ 5996 01b3 0A                  .uleb128 0xa
+ 5997 01b4 42                  .byte   0x4
+ 5998                          .4byte  .LCFI25-.LCFI24
+ 5999 01b5 0E                  .byte   0xe
+ 6000 01b6 0C                  .uleb128 0xc
+ 6001 01b7 11                  .byte   0x11
+ 6002 01b8 07                  .uleb128 0x7
+ 6003 01b9 06                  .sleb128 6
+ 6004 01ba 11                  .byte   0x11
+ 6005 01bb 08                  .uleb128 0x8
+ 6006 01bc 05                  .sleb128 5
+ 6007 01bd 11                  .byte   0x11
+ 6008 01be 09                  .uleb128 0x9
+ 6009 01bf 04                  .sleb128 4
+ 6010 01c0 11                  .byte   0x11
+ 6011 01c1 0A                  .uleb128 0xa
+ 6012 01c2 03                  .sleb128 3
+ 6013 01c3 11                  .byte   0x11
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 108
+
+
+ 6014 01c4 0B                  .uleb128 0xb
+ 6015 01c5 02                  .sleb128 2
+ 6016                          .p2align 1,0
+ 6017                  .LEFDE46:
+ 6018                  .LSFDE48:
+ 6019 01c6 1400 0000           .4byte  .LEFDE48-.LASFDE48
+ 6020                  .LASFDE48:
+ 6021 01ca 0000 0000           .4byte  .Lframe0
+ 6022 01ce 0000                .2byte  .LFB25
+ 6023 01d0 D200                .2byte  .LFE25-.LFB25
+ 6024 01d2 42                  .byte   0x4
+ 6025                          .4byte  .LCFI26-.LFB25
+ 6026 01d3 0E                  .byte   0xe
+ 6027 01d4 04                  .uleb128 0x4
+ 6028 01d5 42                  .byte   0x4
+ 6029                          .4byte  .LCFI27-.LCFI26
+ 6030 01d6 0E                  .byte   0xe
+ 6031 01d7 06                  .uleb128 0x6
+ 6032 01d8 11                  .byte   0x11
+ 6033 01d9 0A                  .uleb128 0xa
+ 6034 01da 03                  .sleb128 3
+ 6035 01db 11                  .byte   0x11
+ 6036 01dc 0B                  .uleb128 0xb
+ 6037 01dd 02                  .sleb128 2
+ 6038                          .p2align 1,0
+ 6039                  .LEFDE48:
+ 6040                  .LSFDE50:
+ 6041 01de 0E00 0000           .4byte  .LEFDE50-.LASFDE50
+ 6042                  .LASFDE50:
+ 6043 01e2 0000 0000           .4byte  .Lframe0
+ 6044 01e6 0000                .2byte  .LFB26
+ 6045 01e8 D200                .2byte  .LFE26-.LFB26
+ 6046 01ea 42                  .byte   0x4
+ 6047                          .4byte  .LCFI28-.LFB26
+ 6048 01eb 0E                  .byte   0xe
+ 6049 01ec 04                  .uleb128 0x4
+ 6050 01ed 11                  .byte   0x11
+ 6051 01ee 0B                  .uleb128 0xb
+ 6052 01ef 02                  .sleb128 2
+ 6053                          .p2align 1,0
+ 6054                  .LEFDE50:
+ 6055                  .LSFDE52:
+ 6056 01f0 0800 0000           .4byte  .LEFDE52-.LASFDE52
+ 6057                  .LASFDE52:
+ 6058 01f4 0000 0000           .4byte  .Lframe0
+ 6059 01f8 0000                .2byte  .LFB27
+ 6060 01fa 5400                .2byte  .LFE27-.LFB27
+ 6061                          .p2align 1,0
+ 6062                  .LEFDE52:
+ 6063                  .LSFDE54:
+ 6064 01fc 0800 0000           .4byte  .LEFDE54-.LASFDE54
+ 6065                  .LASFDE54:
+ 6066 0200 0000 0000           .4byte  .Lframe0
+ 6067 0204 0000                .2byte  .LFB28
+ 6068 0206 3A00                .2byte  .LFE28-.LFB28
+ 6069                          .p2align 1,0
+ 6070                  .LEFDE54:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 109
+
+
+ 6071                  .LSFDE56:
+ 6072 0208 0800 0000           .4byte  .LEFDE56-.LASFDE56
+ 6073                  .LASFDE56:
+ 6074 020c 0000 0000           .4byte  .Lframe0
+ 6075 0210 0000                .2byte  .LFB29
+ 6076 0212 1A00                .2byte  .LFE29-.LFB29
+ 6077                          .p2align 1,0
+ 6078                  .LEFDE56:
+ 6079                  .LSFDE58:
+ 6080 0214 0E00 0000           .4byte  .LEFDE58-.LASFDE58
+ 6081                  .LASFDE58:
+ 6082 0218 0000 0000           .4byte  .Lframe0
+ 6083 021c 0000                .2byte  .LFB30
+ 6084 021e 5C00                .2byte  .LFE30-.LFB30
+ 6085 0220 42                  .byte   0x4
+ 6086                          .4byte  .LCFI29-.LFB30
+ 6087 0221 0E                  .byte   0xe
+ 6088 0222 04                  .uleb128 0x4
+ 6089 0223 11                  .byte   0x11
+ 6090 0224 0B                  .uleb128 0xb
+ 6091 0225 02                  .sleb128 2
+ 6092                          .p2align 1,0
+ 6093                  .LEFDE58:
+ 6094                  .LSFDE60:
+ 6095 0226 3400 0000           .4byte  .LEFDE60-.LASFDE60
+ 6096                  .LASFDE60:
+ 6097 022a 0000 0000           .4byte  .Lframe0
+ 6098 022e 0000                .2byte  .LFB31
+ 6099 0230 2401                .2byte  .LFE31-.LFB31
+ 6100 0232 42                  .byte   0x4
+ 6101                          .4byte  .LCFI30-.LFB31
+ 6102 0233 0E                  .byte   0xe
+ 6103 0234 04                  .uleb128 0x4
+ 6104 0235 42                  .byte   0x4
+ 6105                          .4byte  .LCFI31-.LCFI30
+ 6106 0236 0E                  .byte   0xe
+ 6107 0237 06                  .uleb128 0x6
+ 6108 0238 42                  .byte   0x4
+ 6109                          .4byte  .LCFI32-.LCFI31
+ 6110 0239 0E                  .byte   0xe
+ 6111 023a 08                  .uleb128 0x8
+ 6112 023b 42                  .byte   0x4
+ 6113                          .4byte  .LCFI33-.LCFI32
+ 6114 023c 0E                  .byte   0xe
+ 6115 023d 0A                  .uleb128 0xa
+ 6116 023e 42                  .byte   0x4
+ 6117                          .4byte  .LCFI34-.LCFI33
+ 6118 023f 0E                  .byte   0xe
+ 6119 0240 0C                  .uleb128 0xc
+ 6120 0241 42                  .byte   0x4
+ 6121                          .4byte  .LCFI35-.LCFI34
+ 6122 0242 0E                  .byte   0xe
+ 6123 0243 0E                  .uleb128 0xe
+ 6124 0244 44                  .byte   0x4
+ 6125                          .4byte  .LCFI36-.LCFI35
+ 6126 0245 0E                  .byte   0xe
+ 6127 0246 1C                  .uleb128 0x1c
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 110
+
+
+ 6128 0247 11                  .byte   0x11
+ 6129 0248 04                  .uleb128 0x4
+ 6130 0249 07                  .sleb128 7
+ 6131 024a 11                  .byte   0x11
+ 6132 024b 07                  .uleb128 0x7
+ 6133 024c 06                  .sleb128 6
+ 6134 024d 11                  .byte   0x11
+ 6135 024e 08                  .uleb128 0x8
+ 6136 024f 05                  .sleb128 5
+ 6137 0250 11                  .byte   0x11
+ 6138 0251 09                  .uleb128 0x9
+ 6139 0252 04                  .sleb128 4
+ 6140 0253 11                  .byte   0x11
+ 6141 0254 0A                  .uleb128 0xa
+ 6142 0255 03                  .sleb128 3
+ 6143 0256 11                  .byte   0x11
+ 6144 0257 0B                  .uleb128 0xb
+ 6145 0258 02                  .sleb128 2
+ 6146 0259 02                  .byte   0x4
+ 6147 025a CC                  .4byte  .LCFI37-.LCFI36
+ 6148 025b 0E                  .byte   0xe
+ 6149 025c 0E                  .uleb128 0xe
+ 6150 025d 00                  .p2align 1,0
+ 6151                  .LEFDE60:
+ 6152                  .LSFDE62:
+ 6153 025e 0800 0000           .4byte  .LEFDE62-.LASFDE62
+ 6154                  .LASFDE62:
+ 6155 0262 0000 0000           .4byte  .Lframe0
+ 6156 0266 0000                .2byte  .LFB32
+ 6157 0268 4A00                .2byte  .LFE32-.LFB32
+ 6158                          .p2align 1,0
+ 6159                  .LEFDE62:
+ 6160                  .LSFDE64:
+ 6161 026a 0E00 0000           .4byte  .LEFDE64-.LASFDE64
+ 6162                  .LASFDE64:
+ 6163 026e 0000 0000           .4byte  .Lframe0
+ 6164 0272 0000                .2byte  .LFB33
+ 6165 0274 A400                .2byte  .LFE33-.LFB33
+ 6166 0276 42                  .byte   0x4
+ 6167                          .4byte  .LCFI38-.LFB33
+ 6168 0277 0E                  .byte   0xe
+ 6169 0278 04                  .uleb128 0x4
+ 6170 0279 11                  .byte   0x11
+ 6171 027a 0B                  .uleb128 0xb
+ 6172 027b 02                  .sleb128 2
+ 6173                          .p2align 1,0
+ 6174                  .LEFDE64:
+ 6175                  .LSFDE66:
+ 6176 027c 0E00 0000           .4byte  .LEFDE66-.LASFDE66
+ 6177                  .LASFDE66:
+ 6178 0280 0000 0000           .4byte  .Lframe0
+ 6179 0284 0000                .2byte  .LFB34
+ 6180 0286 1600                .2byte  .LFE34-.LFB34
+ 6181 0288 42                  .byte   0x4
+ 6182                          .4byte  .LCFI39-.LFB34
+ 6183 0289 0E                  .byte   0xe
+ 6184 028a 04                  .uleb128 0x4
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 111
+
+
+ 6185 028b 11                  .byte   0x11
+ 6186 028c 0B                  .uleb128 0xb
+ 6187 028d 02                  .sleb128 2
+ 6188                          .p2align 1,0
+ 6189                  .LEFDE66:
+ 6190                  .LSFDE68:
+ 6191 028e 2000 0000           .4byte  .LEFDE68-.LASFDE68
+ 6192                  .LASFDE68:
+ 6193 0292 0000 0000           .4byte  .Lframe0
+ 6194 0296 0000                .2byte  .LFB36
+ 6195 0298 080B                .2byte  .LFE36-.LFB36
+ 6196 029a 42                  .byte   0x4
+ 6197                          .4byte  .LCFI40-.LFB36
+ 6198 029b 0E                  .byte   0xe
+ 6199 029c 04                  .uleb128 0x4
+ 6200 029d 42                  .byte   0x4
+ 6201                          .4byte  .LCFI41-.LCFI40
+ 6202 029e 0E                  .byte   0xe
+ 6203 029f 06                  .uleb128 0x6
+ 6204 02a0 42                  .byte   0x4
+ 6205                          .4byte  .LCFI42-.LCFI41
+ 6206 02a1 0E                  .byte   0xe
+ 6207 02a2 08                  .uleb128 0x8
+ 6208 02a3 42                  .byte   0x4
+ 6209                          .4byte  .LCFI43-.LCFI42
+ 6210 02a4 0E                  .byte   0xe
+ 6211 02a5 0A                  .uleb128 0xa
+ 6212 02a6 11                  .byte   0x11
+ 6213 02a7 08                  .uleb128 0x8
+ 6214 02a8 05                  .sleb128 5
+ 6215 02a9 11                  .byte   0x11
+ 6216 02aa 09                  .uleb128 0x9
+ 6217 02ab 04                  .sleb128 4
+ 6218 02ac 11                  .byte   0x11
+ 6219 02ad 0A                  .uleb128 0xa
+ 6220 02ae 03                  .sleb128 3
+ 6221 02af 11                  .byte   0x11
+ 6222 02b0 0B                  .uleb128 0xb
+ 6223 02b1 02                  .sleb128 2
+ 6224                          .p2align 1,0
+ 6225                  .LEFDE68:
+ 6226                  .LSFDE70:
+ 6227 02b2 0800 0000           .4byte  .LEFDE70-.LASFDE70
+ 6228                  .LASFDE70:
+ 6229 02b6 0000 0000           .4byte  .Lframe0
+ 6230 02ba 0000                .2byte  .LFB35
+ 6231 02bc 6400                .2byte  .LFE35-.LFB35
+ 6232                          .p2align 1,0
+ 6233                  .LEFDE70:
+ 6234                  .LSFDE72:
+ 6235 02be 1400 0000           .4byte  .LEFDE72-.LASFDE72
+ 6236                  .LASFDE72:
+ 6237 02c2 0000 0000           .4byte  .Lframe0
+ 6238 02c6 0000                .2byte  .LFB37
+ 6239 02c8 6200                .2byte  .LFE37-.LFB37
+ 6240 02ca 42                  .byte   0x4
+ 6241                          .4byte  .LCFI44-.LFB37
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 112
+
+
+ 6242 02cb 0E                  .byte   0xe
+ 6243 02cc 04                  .uleb128 0x4
+ 6244 02cd 42                  .byte   0x4
+ 6245                          .4byte  .LCFI45-.LCFI44
+ 6246 02ce 0E                  .byte   0xe
+ 6247 02cf 06                  .uleb128 0x6
+ 6248 02d0 11                  .byte   0x11
+ 6249 02d1 0A                  .uleb128 0xa
+ 6250 02d2 03                  .sleb128 3
+ 6251 02d3 11                  .byte   0x11
+ 6252 02d4 0B                  .uleb128 0xb
+ 6253 02d5 02                  .sleb128 2
+ 6254                          .p2align 1,0
+ 6255                  .LEFDE72:
+ 6256                  .LSFDE74:
+ 6257 02d6 0800 0000           .4byte  .LEFDE74-.LASFDE74
+ 6258                  .LASFDE74:
+ 6259 02da 0000 0000           .4byte  .Lframe0
+ 6260 02de 0000                .2byte  .LFB38
+ 6261 02e0 AE00                .2byte  .LFE38-.LFB38
+ 6262                          .p2align 1,0
+ 6263                  .LEFDE74:
+ 6264                  .LSFDE76:
+ 6265 02e2 0800 0000           .4byte  .LEFDE76-.LASFDE76
+ 6266                  .LASFDE76:
+ 6267 02e6 0000 0000           .4byte  .Lframe0
+ 6268 02ea 0000                .2byte  .LFB39
+ 6269 02ec 0400                .2byte  .LFE39-.LFB39
+ 6270                          .p2align 1,0
+ 6271                  .LEFDE76:
+ 6272                          .text
+ 6273                  .Letext0:
+ 6274                          .section        .debug_loc,"",@progbits
+ 6275                  .Ldebug_loc0:
+ 6276                  .LLST2:
+ 6277 0000 0600                .2byte  .LFB2-.Ltext0
+ 6278 0002 0800                .2byte  .LCFI0-.Ltext0
+ 6279 0004 0200                .2byte  0x2
+ 6280 0006 71                  .byte   0x71
+ 6281 0007 02                  .sleb128 2
+ 6282 0008 0800                .2byte  .LCFI0-.Ltext0
+ 6283 000a 0A00                .2byte  .LCFI1-.Ltext0
+ 6284 000c 0200                .2byte  0x2
+ 6285 000e 71                  .byte   0x71
+ 6286 000f 04                  .sleb128 4
+ 6287 0010 0A00                .2byte  .LCFI1-.Ltext0
+ 6288 0012 A000                .2byte  .LFE2-.Ltext0
+ 6289 0014 0200                .2byte  0x2
+ 6290 0016 71                  .byte   0x71
+ 6291 0017 06                  .sleb128 6
+ 6292 0018 0000                .2byte  0x0
+ 6293 001a 0000                .2byte  0x0
+ 6294                  .LLST3:
+ 6295 001c A000                .2byte  .LFB3-.Ltext0
+ 6296 001e A200                .2byte  .LCFI2-.Ltext0
+ 6297 0020 0200                .2byte  0x2
+ 6298 0022 71                  .byte   0x71
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 113
+
+
+ 6299 0023 02                  .sleb128 2
+ 6300 0024 A200                .2byte  .LCFI2-.Ltext0
+ 6301 0026 A400                .2byte  .LCFI3-.Ltext0
+ 6302 0028 0200                .2byte  0x2
+ 6303 002a 71                  .byte   0x71
+ 6304 002b 04                  .sleb128 4
+ 6305 002c A400                .2byte  .LCFI3-.Ltext0
+ 6306 002e 7001                .2byte  .LCFI4-.Ltext0
+ 6307 0030 0200                .2byte  0x2
+ 6308 0032 71                  .byte   0x71
+ 6309 0033 06                  .sleb128 6
+ 6310 0034 7001                .2byte  .LCFI4-.Ltext0
+ 6311 0036 7201                .2byte  .LCFI5-.Ltext0
+ 6312 0038 0200                .2byte  0x2
+ 6313 003a 71                  .byte   0x71
+ 6314 003b 04                  .sleb128 4
+ 6315 003c 7201                .2byte  .LCFI5-.Ltext0
+ 6316 003e BA01                .2byte  .LFE3-.Ltext0
+ 6317 0040 0200                .2byte  0x2
+ 6318 0042 71                  .byte   0x71
+ 6319 0043 02                  .sleb128 2
+ 6320 0044 0000                .2byte  0x0
+ 6321 0046 0000                .2byte  0x0
+ 6322                  .LLST5:
+ 6323 0048 BA01                .2byte  .LVL0-.Ltext0
+ 6324 004a E801                .2byte  .LVL1-.Ltext0
+ 6325 004c 0100                .2byte  0x1
+ 6326 004e 5F                  .byte   0x5f
+ 6327 004f 0000                .2byte  0x0
+ 6328 0051 0000                .2byte  0x0
+ 6329                  .LLST10:
+ 6330 0053 8202                .2byte  .LVL4-.Ltext0
+ 6331 0055 A002                .2byte  .LVL10-.Ltext0
+ 6332 0057 0100                .2byte  0x1
+ 6333 0059 5F                  .byte   0x5f
+ 6334 005a 0000                .2byte  0x0
+ 6335 005c 0000                .2byte  0x0
+ 6336                  .LLST11:
+ 6337 005e 8202                .2byte  .LVL4-.Ltext0
+ 6338 0060 9E02                .2byte  .LVL9-.Ltext0
+ 6339 0062 0100                .2byte  0x1
+ 6340 0064 5E                  .byte   0x5e
+ 6341 0065 0000                .2byte  0x0
+ 6342 0067 0000                .2byte  0x0
+ 6343                  .LLST12:
+ 6344 0069 A602                .2byte  .LFB10-.Ltext0
+ 6345 006b A802                .2byte  .LCFI6-.Ltext0
+ 6346 006d 0200                .2byte  0x2
+ 6347 006f 71                  .byte   0x71
+ 6348 0070 02                  .sleb128 2
+ 6349 0071 A802                .2byte  .LCFI6-.Ltext0
+ 6350 0073 AA02                .2byte  .LCFI7-.Ltext0
+ 6351 0075 0200                .2byte  0x2
+ 6352 0077 71                  .byte   0x71
+ 6353 0078 04                  .sleb128 4
+ 6354 0079 AA02                .2byte  .LCFI7-.Ltext0
+ 6355 007b DA02                .2byte  .LFE10-.Ltext0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 114
+
+
+ 6356 007d 0200                .2byte  0x2
+ 6357 007f 71                  .byte   0x71
+ 6358 0080 06                  .sleb128 6
+ 6359 0081 0000                .2byte  0x0
+ 6360 0083 0000                .2byte  0x0
+ 6361                  .LLST13:
+ 6362 0085 DA02                .2byte  .LFB11-.Ltext0
+ 6363 0087 DC02                .2byte  .LCFI8-.Ltext0
+ 6364 0089 0200                .2byte  0x2
+ 6365 008b 71                  .byte   0x71
+ 6366 008c 02                  .sleb128 2
+ 6367 008d DC02                .2byte  .LCFI8-.Ltext0
+ 6368 008f DE02                .2byte  .LCFI9-.Ltext0
+ 6369 0091 0200                .2byte  0x2
+ 6370 0093 71                  .byte   0x71
+ 6371 0094 04                  .sleb128 4
+ 6372 0095 DE02                .2byte  .LCFI9-.Ltext0
+ 6373 0097 FA02                .2byte  .LFE11-.Ltext0
+ 6374 0099 0200                .2byte  0x2
+ 6375 009b 71                  .byte   0x71
+ 6376 009c 06                  .sleb128 6
+ 6377 009d 0000                .2byte  0x0
+ 6378 009f 0000                .2byte  0x0
+ 6379                  .LLST14:
+ 6380 00a1 E402                .2byte  .LVL11-.Ltext0
+ 6381 00a3 F802                .2byte  .LVL12-.Ltext0
+ 6382 00a5 0100                .2byte  0x1
+ 6383 00a7 5B                  .byte   0x5b
+ 6384 00a8 0000                .2byte  0x0
+ 6385 00aa 0000                .2byte  0x0
+ 6386                  .LLST15:
+ 6387 00ac FA02                .2byte  .LFB12-.Ltext0
+ 6388 00ae FE02                .2byte  .LCFI10-.Ltext0
+ 6389 00b0 0200                .2byte  0x2
+ 6390 00b2 71                  .byte   0x71
+ 6391 00b3 02                  .sleb128 2
+ 6392 00b4 FE02                .2byte  .LCFI10-.Ltext0
+ 6393 00b6 3203                .2byte  .LCFI11-.Ltext0
+ 6394 00b8 0200                .2byte  0x2
+ 6395 00ba 71                  .byte   0x71
+ 6396 00bb 08                  .sleb128 8
+ 6397 00bc 3203                .2byte  .LCFI11-.Ltext0
+ 6398 00be 3403                .2byte  .LFE12-.Ltext0
+ 6399 00c0 0200                .2byte  0x2
+ 6400 00c2 71                  .byte   0x71
+ 6401 00c3 02                  .sleb128 2
+ 6402 00c4 0000                .2byte  0x0
+ 6403 00c6 0000                .2byte  0x0
+ 6404                  .LLST18:
+ 6405 00c8 5E03                .2byte  .LVL13-.Ltext0
+ 6406 00ca 6803                .2byte  .LVL14-.Ltext0
+ 6407 00cc 0100                .2byte  0x1
+ 6408 00ce 5F                  .byte   0x5f
+ 6409 00cf 0000                .2byte  0x0
+ 6410 00d1 0000                .2byte  0x0
+ 6411                  .LLST19:
+ 6412 00d3 6A03                .2byte  .LFB15-.Ltext0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 115
+
+
+ 6413 00d5 6C03                .2byte  .LCFI12-.Ltext0
+ 6414 00d7 0200                .2byte  0x2
+ 6415 00d9 71                  .byte   0x71
+ 6416 00da 02                  .sleb128 2
+ 6417 00db 6C03                .2byte  .LCFI12-.Ltext0
+ 6418 00dd 6E03                .2byte  .LCFI13-.Ltext0
+ 6419 00df 0200                .2byte  0x2
+ 6420 00e1 71                  .byte   0x71
+ 6421 00e2 04                  .sleb128 4
+ 6422 00e3 6E03                .2byte  .LCFI13-.Ltext0
+ 6423 00e5 7003                .2byte  .LCFI14-.Ltext0
+ 6424 00e7 0200                .2byte  0x2
+ 6425 00e9 71                  .byte   0x71
+ 6426 00ea 06                  .sleb128 6
+ 6427 00eb 7003                .2byte  .LCFI14-.Ltext0
+ 6428 00ed 9C05                .2byte  .LFE15-.Ltext0
+ 6429 00ef 0200                .2byte  0x2
+ 6430 00f1 71                  .byte   0x71
+ 6431 00f2 08                  .sleb128 8
+ 6432 00f3 0000                .2byte  0x0
+ 6433 00f5 0000                .2byte  0x0
+ 6434                  .LLST20:
+ 6435 00f7 2404                .2byte  .LVL15-.Ltext0
+ 6436 00f9 E404                .2byte  .LVL16-.Ltext0
+ 6437 00fb 0100                .2byte  0x1
+ 6438 00fd 5B                  .byte   0x5b
+ 6439 00fe E604                .2byte  .LVL17-.Ltext0
+ 6440 0100 9C05                .2byte  .LFE15-.Ltext0
+ 6441 0102 0100                .2byte  0x1
+ 6442 0104 5B                  .byte   0x5b
+ 6443 0105 0000                .2byte  0x0
+ 6444 0107 0000                .2byte  0x0
+ 6445                  .LLST21:
+ 6446 0109 2404                .2byte  .LVL15-.Ltext0
+ 6447 010b EE04                .2byte  .LVL18-.Ltext0
+ 6448 010d 0100                .2byte  0x1
+ 6449 010f 5C                  .byte   0x5c
+ 6450 0110 9205                .2byte  .LVL19-.Ltext0
+ 6451 0112 9C05                .2byte  .LFE15-.Ltext0
+ 6452 0114 0100                .2byte  0x1
+ 6453 0116 5C                  .byte   0x5c
+ 6454 0117 0000                .2byte  0x0
+ 6455 0119 0000                .2byte  0x0
+ 6456                  .LLST22:
+ 6457 011b 9C05                .2byte  .LFB16-.Ltext0
+ 6458 011d 9E05                .2byte  .LCFI15-.Ltext0
+ 6459 011f 0200                .2byte  0x2
+ 6460 0121 71                  .byte   0x71
+ 6461 0122 02                  .sleb128 2
+ 6462 0123 9E05                .2byte  .LCFI15-.Ltext0
+ 6463 0125 A005                .2byte  .LCFI16-.Ltext0
+ 6464 0127 0200                .2byte  0x2
+ 6465 0129 71                  .byte   0x71
+ 6466 012a 04                  .sleb128 4
+ 6467 012b A005                .2byte  .LCFI16-.Ltext0
+ 6468 012d A205                .2byte  .LCFI17-.Ltext0
+ 6469 012f 0200                .2byte  0x2
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 116
+
+
+ 6470 0131 71                  .byte   0x71
+ 6471 0132 06                  .sleb128 6
+ 6472 0133 A205                .2byte  .LCFI17-.Ltext0
+ 6473 0135 5C06                .2byte  .LFE16-.Ltext0
+ 6474 0137 0200                .2byte  0x2
+ 6475 0139 71                  .byte   0x71
+ 6476 013a 08                  .sleb128 8
+ 6477 013b 0000                .2byte  0x0
+ 6478 013d 0000                .2byte  0x0
+ 6479                  .LLST23:
+ 6480 013f AE05                .2byte  .LVL20-.Ltext0
+ 6481 0141 BC05                .2byte  .LVL22-.Ltext0
+ 6482 0143 0100                .2byte  0x1
+ 6483 0145 5E                  .byte   0x5e
+ 6484 0146 CA05                .2byte  .LVL23-.Ltext0
+ 6485 0148 CE05                .2byte  .LVL24-.Ltext0
+ 6486 014a 0100                .2byte  0x1
+ 6487 014c 5E                  .byte   0x5e
+ 6488 014d F005                .2byte  .LVL25-.Ltext0
+ 6489 014f 1C06                .2byte  .LVL26-.Ltext0
+ 6490 0151 0100                .2byte  0x1
+ 6491 0153 5E                  .byte   0x5e
+ 6492 0154 3006                .2byte  .LVL30-.Ltext0
+ 6493 0156 3206                .2byte  .LVL31-.Ltext0
+ 6494 0158 0100                .2byte  0x1
+ 6495 015a 5E                  .byte   0x5e
+ 6496 015b 4006                .2byte  .LVL32-.Ltext0
+ 6497 015d 5C06                .2byte  .LFE16-.Ltext0
+ 6498 015f 0100                .2byte  0x1
+ 6499 0161 5E                  .byte   0x5e
+ 6500 0162 0000                .2byte  0x0
+ 6501 0164 0000                .2byte  0x0
+ 6502                  .LLST24:
+ 6503 0166 AE05                .2byte  .LVL20-.Ltext0
+ 6504 0168 2406                .2byte  .LVL27-.Ltext0
+ 6505 016a 0100                .2byte  0x1
+ 6506 016c 5F                  .byte   0x5f
+ 6507 016d 2606                .2byte  .LVL28-.Ltext0
+ 6508 016f 5C06                .2byte  .LFE16-.Ltext0
+ 6509 0171 0100                .2byte  0x1
+ 6510 0173 5F                  .byte   0x5f
+ 6511 0174 0000                .2byte  0x0
+ 6512 0176 0000                .2byte  0x0
+ 6513                  .LLST25:
+ 6514 0178 5C06                .2byte  .LFB17-.Ltext0
+ 6515 017a 5E06                .2byte  .LCFI18-.Ltext0
+ 6516 017c 0200                .2byte  0x2
+ 6517 017e 71                  .byte   0x71
+ 6518 017f 02                  .sleb128 2
+ 6519 0180 5E06                .2byte  .LCFI18-.Ltext0
+ 6520 0182 AA06                .2byte  .LFE17-.Ltext0
+ 6521 0184 0200                .2byte  0x2
+ 6522 0186 71                  .byte   0x71
+ 6523 0187 04                  .sleb128 4
+ 6524 0188 0000                .2byte  0x0
+ 6525 018a 0000                .2byte  0x0
+ 6526                  .LLST26:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 117
+
+
+ 6527 018c AA06                .2byte  .LFB18-.Ltext0
+ 6528 018e AC06                .2byte  .LCFI19-.Ltext0
+ 6529 0190 0200                .2byte  0x2
+ 6530 0192 71                  .byte   0x71
+ 6531 0193 02                  .sleb128 2
+ 6532 0194 AC06                .2byte  .LCFI19-.Ltext0
+ 6533 0196 DC06                .2byte  .LFE18-.Ltext0
+ 6534 0198 0200                .2byte  0x2
+ 6535 019a 71                  .byte   0x71
+ 6536 019b 04                  .sleb128 4
+ 6537 019c 0000                .2byte  0x0
+ 6538 019e 0000                .2byte  0x0
+ 6539                  .LLST27:
+ 6540 01a0 AA06                .2byte  .LVL35-.Ltext0
+ 6541 01a2 D406                .2byte  .LVL39-.Ltext0
+ 6542 01a4 0100                .2byte  0x1
+ 6543 01a6 5F                  .byte   0x5f
+ 6544 01a7 D806                .2byte  .LVL41-.Ltext0
+ 6545 01a9 DC06                .2byte  .LFE18-.Ltext0
+ 6546 01ab 0100                .2byte  0x1
+ 6547 01ad 5F                  .byte   0x5f
+ 6548 01ae 0000                .2byte  0x0
+ 6549 01b0 0000                .2byte  0x0
+ 6550                  .LLST28:
+ 6551 01b2 BA06                .2byte  .LVL36-.Ltext0
+ 6552 01b4 D606                .2byte  .LVL40-.Ltext0
+ 6553 01b6 0100                .2byte  0x1
+ 6554 01b8 5B                  .byte   0x5b
+ 6555 01b9 DA06                .2byte  .LVL42-.Ltext0
+ 6556 01bb DC06                .2byte  .LFE18-.Ltext0
+ 6557 01bd 0100                .2byte  0x1
+ 6558 01bf 5B                  .byte   0x5b
+ 6559 01c0 0000                .2byte  0x0
+ 6560 01c2 0000                .2byte  0x0
+ 6561                  .LLST29:
+ 6562 01c4 DC06                .2byte  .LFB19-.Ltext0
+ 6563 01c6 DE06                .2byte  .LCFI20-.Ltext0
+ 6564 01c8 0200                .2byte  0x2
+ 6565 01ca 71                  .byte   0x71
+ 6566 01cb 02                  .sleb128 2
+ 6567 01cc DE06                .2byte  .LCFI20-.Ltext0
+ 6568 01ce C407                .2byte  .LFE19-.Ltext0
+ 6569 01d0 0200                .2byte  0x2
+ 6570 01d2 71                  .byte   0x71
+ 6571 01d3 04                  .sleb128 4
+ 6572 01d4 0000                .2byte  0x0
+ 6573 01d6 0000                .2byte  0x0
+ 6574                  .LLST30:
+ 6575 01d8 E606                .2byte  .LVL43-.Ltext0
+ 6576 01da 3007                .2byte  .LVL44-.Ltext0
+ 6577 01dc 0100                .2byte  0x1
+ 6578 01de 5D                  .byte   0x5d
+ 6579 01df 0000                .2byte  0x0
+ 6580 01e1 0000                .2byte  0x0
+ 6581                  .LLST31:
+ 6582 01e3 3007                .2byte  .LVL44-.Ltext0
+ 6583 01e5 7E07                .2byte  .LVL45-.Ltext0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 118
+
+
+ 6584 01e7 0100                .2byte  0x1
+ 6585 01e9 5D                  .byte   0x5d
+ 6586 01ea C007                .2byte  .LVL46-.Ltext0
+ 6587 01ec C407                .2byte  .LFE19-.Ltext0
+ 6588 01ee 0100                .2byte  0x1
+ 6589 01f0 5D                  .byte   0x5d
+ 6590 01f1 0000                .2byte  0x0
+ 6591 01f3 0000                .2byte  0x0
+ 6592                  .LLST36:
+ 6593 01f5 1608                .2byte  .LFB24-.Ltext0
+ 6594 01f7 1808                .2byte  .LCFI21-.Ltext0
+ 6595 01f9 0200                .2byte  0x2
+ 6596 01fb 71                  .byte   0x71
+ 6597 01fc 02                  .sleb128 2
+ 6598 01fd 1808                .2byte  .LCFI21-.Ltext0
+ 6599 01ff 1A08                .2byte  .LCFI22-.Ltext0
+ 6600 0201 0200                .2byte  0x2
+ 6601 0203 71                  .byte   0x71
+ 6602 0204 04                  .sleb128 4
+ 6603 0205 1A08                .2byte  .LCFI22-.Ltext0
+ 6604 0207 1C08                .2byte  .LCFI23-.Ltext0
+ 6605 0209 0200                .2byte  0x2
+ 6606 020b 71                  .byte   0x71
+ 6607 020c 06                  .sleb128 6
+ 6608 020d 1C08                .2byte  .LCFI23-.Ltext0
+ 6609 020f 1E08                .2byte  .LCFI24-.Ltext0
+ 6610 0211 0200                .2byte  0x2
+ 6611 0213 71                  .byte   0x71
+ 6612 0214 08                  .sleb128 8
+ 6613 0215 1E08                .2byte  .LCFI24-.Ltext0
+ 6614 0217 2008                .2byte  .LCFI25-.Ltext0
+ 6615 0219 0200                .2byte  0x2
+ 6616 021b 71                  .byte   0x71
+ 6617 021c 0A                  .sleb128 10
+ 6618 021d 2008                .2byte  .LCFI25-.Ltext0
+ 6619 021f FC08                .2byte  .LFE24-.Ltext0
+ 6620 0221 0200                .2byte  0x2
+ 6621 0223 71                  .byte   0x71
+ 6622 0224 0C                  .sleb128 12
+ 6623 0225 0000                .2byte  0x0
+ 6624 0227 0000                .2byte  0x0
+ 6625                  .LLST37:
+ 6626 0229 1608                .2byte  .LVL50-.Ltext0
+ 6627 022b 7608                .2byte  .LVL60-.Ltext0
+ 6628 022d 0100                .2byte  0x1
+ 6629 022f 5F                  .byte   0x5f
+ 6630 0230 8208                .2byte  .LVL64-.Ltext0
+ 6631 0232 FC08                .2byte  .LFE24-.Ltext0
+ 6632 0234 0100                .2byte  0x1
+ 6633 0236 5F                  .byte   0x5f
+ 6634 0237 0000                .2byte  0x0
+ 6635 0239 0000                .2byte  0x0
+ 6636                  .LLST38:
+ 6637 023b 2E08                .2byte  .LVL54-.Ltext0
+ 6638 023d 5208                .2byte  .LVL55-.Ltext0
+ 6639 023f 0100                .2byte  0x1
+ 6640 0241 5C                  .byte   0x5c
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 119
+
+
+ 6641 0242 5208                .2byte  .LVL55-.Ltext0
+ 6642 0244 5808                .2byte  .LVL56-.Ltext0
+ 6643 0246 0100                .2byte  0x1
+ 6644 0248 5D                  .byte   0x5d
+ 6645 0249 5808                .2byte  .LVL56-.Ltext0
+ 6646 024b 5A08                .2byte  .LVL57-.Ltext0
+ 6647 024d 0100                .2byte  0x1
+ 6648 024f 5C                  .byte   0x5c
+ 6649 0250 5A08                .2byte  .LVL57-.Ltext0
+ 6650 0252 6408                .2byte  .LVL59-.Ltext0
+ 6651 0254 0100                .2byte  0x1
+ 6652 0256 5D                  .byte   0x5d
+ 6653 0257 6408                .2byte  .LVL59-.Ltext0
+ 6654 0259 9608                .2byte  .LVL65-.Ltext0
+ 6655 025b 0100                .2byte  0x1
+ 6656 025d 5C                  .byte   0x5c
+ 6657 025e 9608                .2byte  .LVL65-.Ltext0
+ 6658 0260 9C08                .2byte  .LVL66-.Ltext0
+ 6659 0262 0100                .2byte  0x1
+ 6660 0264 5D                  .byte   0x5d
+ 6661 0265 9C08                .2byte  .LVL66-.Ltext0
+ 6662 0267 9E08                .2byte  .LVL67-.Ltext0
+ 6663 0269 0100                .2byte  0x1
+ 6664 026b 5C                  .byte   0x5c
+ 6665 026c 9E08                .2byte  .LVL67-.Ltext0
+ 6666 026e A808                .2byte  .LVL69-.Ltext0
+ 6667 0270 0100                .2byte  0x1
+ 6668 0272 5D                  .byte   0x5d
+ 6669 0273 A808                .2byte  .LVL69-.Ltext0
+ 6670 0275 BA08                .2byte  .LVL71-.Ltext0
+ 6671 0277 0100                .2byte  0x1
+ 6672 0279 5C                  .byte   0x5c
+ 6673 027a BA08                .2byte  .LVL71-.Ltext0
+ 6674 027c C008                .2byte  .LVL72-.Ltext0
+ 6675 027e 0100                .2byte  0x1
+ 6676 0280 5D                  .byte   0x5d
+ 6677 0281 C008                .2byte  .LVL72-.Ltext0
+ 6678 0283 C208                .2byte  .LVL73-.Ltext0
+ 6679 0285 0100                .2byte  0x1
+ 6680 0287 5C                  .byte   0x5c
+ 6681 0288 C208                .2byte  .LVL73-.Ltext0
+ 6682 028a CC08                .2byte  .LVL75-.Ltext0
+ 6683 028c 0100                .2byte  0x1
+ 6684 028e 5D                  .byte   0x5d
+ 6685 028f CC08                .2byte  .LVL75-.Ltext0
+ 6686 0291 DE08                .2byte  .LVL77-.Ltext0
+ 6687 0293 0100                .2byte  0x1
+ 6688 0295 5C                  .byte   0x5c
+ 6689 0296 DE08                .2byte  .LVL77-.Ltext0
+ 6690 0298 E408                .2byte  .LVL78-.Ltext0
+ 6691 029a 0100                .2byte  0x1
+ 6692 029c 5D                  .byte   0x5d
+ 6693 029d E408                .2byte  .LVL78-.Ltext0
+ 6694 029f E608                .2byte  .LVL79-.Ltext0
+ 6695 02a1 0100                .2byte  0x1
+ 6696 02a3 5C                  .byte   0x5c
+ 6697 02a4 E608                .2byte  .LVL79-.Ltext0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 120
+
+
+ 6698 02a6 F008                .2byte  .LVL81-.Ltext0
+ 6699 02a8 0100                .2byte  0x1
+ 6700 02aa 5D                  .byte   0x5d
+ 6701 02ab F008                .2byte  .LVL81-.Ltext0
+ 6702 02ad F808                .2byte  .LVL84-.Ltext0
+ 6703 02af 0100                .2byte  0x1
+ 6704 02b1 5C                  .byte   0x5c
+ 6705 02b2 0000                .2byte  0x0
+ 6706 02b4 0000                .2byte  0x0
+ 6707                  .LLST39:
+ 6708 02b6 2C08                .2byte  .LVL53-.Ltext0
+ 6709 02b8 5808                .2byte  .LVL56-.Ltext0
+ 6710 02ba 0100                .2byte  0x1
+ 6711 02bc 59                  .byte   0x59
+ 6712 02bd 5A08                .2byte  .LVL57-.Ltext0
+ 6713 02bf 5E08                .2byte  .LVL58-.Ltext0
+ 6714 02c1 0100                .2byte  0x1
+ 6715 02c3 59                  .byte   0x59
+ 6716 02c4 6408                .2byte  .LVL59-.Ltext0
+ 6717 02c6 7C08                .2byte  .LVL63-.Ltext0
+ 6718 02c8 0100                .2byte  0x1
+ 6719 02ca 59                  .byte   0x59
+ 6720 02cb 8208                .2byte  .LVL64-.Ltext0
+ 6721 02cd 9C08                .2byte  .LVL66-.Ltext0
+ 6722 02cf 0100                .2byte  0x1
+ 6723 02d1 59                  .byte   0x59
+ 6724 02d2 9E08                .2byte  .LVL67-.Ltext0
+ 6725 02d4 A208                .2byte  .LVL68-.Ltext0
+ 6726 02d6 0100                .2byte  0x1
+ 6727 02d8 59                  .byte   0x59
+ 6728 02d9 A808                .2byte  .LVL69-.Ltext0
+ 6729 02db E408                .2byte  .LVL78-.Ltext0
+ 6730 02dd 0100                .2byte  0x1
+ 6731 02df 59                  .byte   0x59
+ 6732 02e0 E608                .2byte  .LVL79-.Ltext0
+ 6733 02e2 EA08                .2byte  .LVL80-.Ltext0
+ 6734 02e4 0100                .2byte  0x1
+ 6735 02e6 59                  .byte   0x59
+ 6736 02e7 F208                .2byte  .LVL82-.Ltext0
+ 6737 02e9 F808                .2byte  .LVL84-.Ltext0
+ 6738 02eb 0100                .2byte  0x1
+ 6739 02ed 59                  .byte   0x59
+ 6740 02ee 0000                .2byte  0x0
+ 6741 02f0 0000                .2byte  0x0
+ 6742                  .LLST40:
+ 6743 02f2 2A08                .2byte  .LVL52-.Ltext0
+ 6744 02f4 7808                .2byte  .LVL61-.Ltext0
+ 6745 02f6 0100                .2byte  0x1
+ 6746 02f8 57                  .byte   0x57
+ 6747 02f9 8208                .2byte  .LVL64-.Ltext0
+ 6748 02fb C008                .2byte  .LVL72-.Ltext0
+ 6749 02fd 0100                .2byte  0x1
+ 6750 02ff 57                  .byte   0x57
+ 6751 0300 C208                .2byte  .LVL73-.Ltext0
+ 6752 0302 C608                .2byte  .LVL74-.Ltext0
+ 6753 0304 0100                .2byte  0x1
+ 6754 0306 57                  .byte   0x57
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 121
+
+
+ 6755 0307 CC08                .2byte  .LVL75-.Ltext0
+ 6756 0309 F808                .2byte  .LVL84-.Ltext0
+ 6757 030b 0100                .2byte  0x1
+ 6758 030d 57                  .byte   0x57
+ 6759 030e FA08                .2byte  .LVL85-.Ltext0
+ 6760 0310 FC08                .2byte  .LFE24-.Ltext0
+ 6761 0312 0100                .2byte  0x1
+ 6762 0314 57                  .byte   0x57
+ 6763 0315 0000                .2byte  0x0
+ 6764 0317 0000                .2byte  0x0
+ 6765                  .LLST41:
+ 6766 0319 2808                .2byte  .LVL51-.Ltext0
+ 6767 031b 7A08                .2byte  .LVL62-.Ltext0
+ 6768 031d 0100                .2byte  0x1
+ 6769 031f 58                  .byte   0x58
+ 6770 0320 8208                .2byte  .LVL64-.Ltext0
+ 6771 0322 F808                .2byte  .LVL84-.Ltext0
+ 6772 0324 0100                .2byte  0x1
+ 6773 0326 58                  .byte   0x58
+ 6774 0327 0000                .2byte  0x0
+ 6775 0329 0000                .2byte  0x0
+ 6776                  .LLST42:
+ 6777 032b FC08                .2byte  .LFB25-.Ltext0
+ 6778 032d FE08                .2byte  .LCFI26-.Ltext0
+ 6779 032f 0200                .2byte  0x2
+ 6780 0331 71                  .byte   0x71
+ 6781 0332 02                  .sleb128 2
+ 6782 0333 FE08                .2byte  .LCFI26-.Ltext0
+ 6783 0335 0009                .2byte  .LCFI27-.Ltext0
+ 6784 0337 0200                .2byte  0x2
+ 6785 0339 71                  .byte   0x71
+ 6786 033a 04                  .sleb128 4
+ 6787 033b 0009                .2byte  .LCFI27-.Ltext0
+ 6788 033d CE09                .2byte  .LFE25-.Ltext0
+ 6789 033f 0200                .2byte  0x2
+ 6790 0341 71                  .byte   0x71
+ 6791 0342 06                  .sleb128 6
+ 6792 0343 0000                .2byte  0x0
+ 6793 0345 0000                .2byte  0x0
+ 6794                  .LLST43:
+ 6795 0347 0E09                .2byte  .LVL86-.Ltext0
+ 6796 0349 1209                .2byte  .LVL87-.Ltext0
+ 6797 034b 0100                .2byte  0x1
+ 6798 034d 5B                  .byte   0x5b
+ 6799 034e 1209                .2byte  .LVL87-.Ltext0
+ 6800 0350 2E09                .2byte  .LVL88-.Ltext0
+ 6801 0352 0100                .2byte  0x1
+ 6802 0354 5F                  .byte   0x5f
+ 6803 0355 2E09                .2byte  .LVL88-.Ltext0
+ 6804 0357 3C09                .2byte  .LVL89-.Ltext0
+ 6805 0359 0100                .2byte  0x1
+ 6806 035b 5B                  .byte   0x5b
+ 6807 035c 3C09                .2byte  .LVL89-.Ltext0
+ 6808 035e 4209                .2byte  .LVL90-.Ltext0
+ 6809 0360 0100                .2byte  0x1
+ 6810 0362 5A                  .byte   0x5a
+ 6811 0363 4209                .2byte  .LVL90-.Ltext0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 122
+
+
+ 6812 0365 4409                .2byte  .LVL91-.Ltext0
+ 6813 0367 0100                .2byte  0x1
+ 6814 0369 5B                  .byte   0x5b
+ 6815 036a 4409                .2byte  .LVL91-.Ltext0
+ 6816 036c 4E09                .2byte  .LVL93-.Ltext0
+ 6817 036e 0100                .2byte  0x1
+ 6818 0370 5F                  .byte   0x5f
+ 6819 0371 4E09                .2byte  .LVL93-.Ltext0
+ 6820 0373 5409                .2byte  .LVL94-.Ltext0
+ 6821 0375 0100                .2byte  0x1
+ 6822 0377 5B                  .byte   0x5b
+ 6823 0378 5409                .2byte  .LVL94-.Ltext0
+ 6824 037a 5809                .2byte  .LVL95-.Ltext0
+ 6825 037c 0100                .2byte  0x1
+ 6826 037e 5A                  .byte   0x5a
+ 6827 037f 5809                .2byte  .LVL95-.Ltext0
+ 6828 0381 6E09                .2byte  .LVL96-.Ltext0
+ 6829 0383 0100                .2byte  0x1
+ 6830 0385 5B                  .byte   0x5b
+ 6831 0386 6E09                .2byte  .LVL96-.Ltext0
+ 6832 0388 8009                .2byte  .LVL97-.Ltext0
+ 6833 038a 0100                .2byte  0x1
+ 6834 038c 5A                  .byte   0x5a
+ 6835 038d 8009                .2byte  .LVL97-.Ltext0
+ 6836 038f 9009                .2byte  .LVL98-.Ltext0
+ 6837 0391 0100                .2byte  0x1
+ 6838 0393 5B                  .byte   0x5b
+ 6839 0394 9009                .2byte  .LVL98-.Ltext0
+ 6840 0396 AC09                .2byte  .LVL99-.Ltext0
+ 6841 0398 0100                .2byte  0x1
+ 6842 039a 5A                  .byte   0x5a
+ 6843 039b AC09                .2byte  .LVL99-.Ltext0
+ 6844 039d B809                .2byte  .LVL100-.Ltext0
+ 6845 039f 0100                .2byte  0x1
+ 6846 03a1 5F                  .byte   0x5f
+ 6847 03a2 B809                .2byte  .LVL100-.Ltext0
+ 6848 03a4 CE09                .2byte  .LFE25-.Ltext0
+ 6849 03a6 0100                .2byte  0x1
+ 6850 03a8 5A                  .byte   0x5a
+ 6851 03a9 0000                .2byte  0x0
+ 6852 03ab 0000                .2byte  0x0
+ 6853                  .LLST44:
+ 6854 03ad CE09                .2byte  .LFB26-.Ltext0
+ 6855 03af D009                .2byte  .LCFI28-.Ltext0
+ 6856 03b1 0200                .2byte  0x2
+ 6857 03b3 71                  .byte   0x71
+ 6858 03b4 02                  .sleb128 2
+ 6859 03b5 D009                .2byte  .LCFI28-.Ltext0
+ 6860 03b7 A00A                .2byte  .LFE26-.Ltext0
+ 6861 03b9 0200                .2byte  0x2
+ 6862 03bb 71                  .byte   0x71
+ 6863 03bc 04                  .sleb128 4
+ 6864 03bd 0000                .2byte  0x0
+ 6865 03bf 0000                .2byte  0x0
+ 6866                  .LLST45:
+ 6867 03c1 DE09                .2byte  .LVL101-.Ltext0
+ 6868 03c3 F809                .2byte  .LVL104-.Ltext0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 123
+
+
+ 6869 03c5 0100                .2byte  0x1
+ 6870 03c7 5D                  .byte   0x5d
+ 6871 03c8 1C0A                .2byte  .LVL105-.Ltext0
+ 6872 03ca 240A                .2byte  .LVL106-.Ltext0
+ 6873 03cc 0100                .2byte  0x1
+ 6874 03ce 5D                  .byte   0x5d
+ 6875 03cf 500A                .2byte  .LVL110-.Ltext0
+ 6876 03d1 640A                .2byte  .LVL111-.Ltext0
+ 6877 03d3 0100                .2byte  0x1
+ 6878 03d5 5D                  .byte   0x5d
+ 6879 03d6 9A0A                .2byte  .LVL118-.Ltext0
+ 6880 03d8 A00A                .2byte  .LFE26-.Ltext0
+ 6881 03da 0100                .2byte  0x1
+ 6882 03dc 5D                  .byte   0x5d
+ 6883 03dd 0000                .2byte  0x0
+ 6884 03df 0000                .2byte  0x0
+ 6885                  .LLST46:
+ 6886 03e1 F209                .2byte  .LVL103-.Ltext0
+ 6887 03e3 1C0A                .2byte  .LVL105-.Ltext0
+ 6888 03e5 0100                .2byte  0x1
+ 6889 03e7 5C                  .byte   0x5c
+ 6890 03e8 4A0A                .2byte  .LVL108-.Ltext0
+ 6891 03ea 4C0A                .2byte  .LVL109-.Ltext0
+ 6892 03ec 0100                .2byte  0x1
+ 6893 03ee 5C                  .byte   0x5c
+ 6894 03ef 900A                .2byte  .LVL115-.Ltext0
+ 6895 03f1 920A                .2byte  .LVL116-.Ltext0
+ 6896 03f3 0100                .2byte  0x1
+ 6897 03f5 5C                  .byte   0x5c
+ 6898 03f6 980A                .2byte  .LVL117-.Ltext0
+ 6899 03f8 9A0A                .2byte  .LVL118-.Ltext0
+ 6900 03fa 0100                .2byte  0x1
+ 6901 03fc 5C                  .byte   0x5c
+ 6902 03fd 9E0A                .2byte  .LVL120-.Ltext0
+ 6903 03ff A00A                .2byte  .LFE26-.Ltext0
+ 6904 0401 0100                .2byte  0x1
+ 6905 0403 5C                  .byte   0x5c
+ 6906 0404 0000                .2byte  0x0
+ 6907 0406 0000                .2byte  0x0
+ 6908                  .LLST47:
+ 6909 0408 F009                .2byte  .LVL102-.Ltext0
+ 6910 040a 1C0A                .2byte  .LVL105-.Ltext0
+ 6911 040c 0100                .2byte  0x1
+ 6912 040e 5F                  .byte   0x5f
+ 6913 040f 2A0A                .2byte  .LVL107-.Ltext0
+ 6914 0411 4C0A                .2byte  .LVL109-.Ltext0
+ 6915 0413 0100                .2byte  0x1
+ 6916 0415 5F                  .byte   0x5f
+ 6917 0416 660A                .2byte  .LVL112-.Ltext0
+ 6918 0418 760A                .2byte  .LVL113-.Ltext0
+ 6919 041a 0100                .2byte  0x1
+ 6920 041c 5F                  .byte   0x5f
+ 6921 041d 7A0A                .2byte  .LVL114-.Ltext0
+ 6922 041f 9A0A                .2byte  .LVL118-.Ltext0
+ 6923 0421 0100                .2byte  0x1
+ 6924 0423 5F                  .byte   0x5f
+ 6925 0424 9C0A                .2byte  .LVL119-.Ltext0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 124
+
+
+ 6926 0426 A00A                .2byte  .LFE26-.Ltext0
+ 6927 0428 0100                .2byte  0x1
+ 6928 042a 5F                  .byte   0x5f
+ 6929 042b 0000                .2byte  0x0
+ 6930 042d 0000                .2byte  0x0
+ 6931                  .LLST49:
+ 6932 042f A00A                .2byte  .LVL121-.Ltext0
+ 6933 0431 C60A                .2byte  .LVL124-.Ltext0
+ 6934 0433 0100                .2byte  0x1
+ 6935 0435 5F                  .byte   0x5f
+ 6936 0436 DC0A                .2byte  .LVL125-.Ltext0
+ 6937 0438 F40A                .2byte  .LFE27-.Ltext0
+ 6938 043a 0100                .2byte  0x1
+ 6939 043c 5F                  .byte   0x5f
+ 6940 043d 0000                .2byte  0x0
+ 6941 043f 0000                .2byte  0x0
+ 6942                  .LLST50:
+ 6943 0441 B40A                .2byte  .LVL122-.Ltext0
+ 6944 0443 DC0A                .2byte  .LVL125-.Ltext0
+ 6945 0445 0100                .2byte  0x1
+ 6946 0447 5D                  .byte   0x5d
+ 6947 0448 E40A                .2byte  .LVL126-.Ltext0
+ 6948 044a F40A                .2byte  .LFE27-.Ltext0
+ 6949 044c 0100                .2byte  0x1
+ 6950 044e 5D                  .byte   0x5d
+ 6951 044f 0000                .2byte  0x0
+ 6952 0451 0000                .2byte  0x0
+ 6953                  .LLST51:
+ 6954 0453 C20A                .2byte  .LVL123-.Ltext0
+ 6955 0455 DC0A                .2byte  .LVL125-.Ltext0
+ 6956 0457 0100                .2byte  0x1
+ 6957 0459 5E                  .byte   0x5e
+ 6958 045a 0000                .2byte  0x0
+ 6959 045c 0000                .2byte  0x0
+ 6960                  .LLST53:
+ 6961 045e 080B                .2byte  .LVL127-.Ltext0
+ 6962 0460 240B                .2byte  .LVL128-.Ltext0
+ 6963 0462 0100                .2byte  0x1
+ 6964 0464 5E                  .byte   0x5e
+ 6965 0465 2C0B                .2byte  .LVL129-.Ltext0
+ 6966 0467 2E0B                .2byte  .LFE28-.Ltext0
+ 6967 0469 0100                .2byte  0x1
+ 6968 046b 5E                  .byte   0x5e
+ 6969 046c 0000                .2byte  0x0
+ 6970 046e 0000                .2byte  0x0
+ 6971                  .LLST55:
+ 6972 0470 480B                .2byte  .LFB30-.Ltext0
+ 6973 0472 4A0B                .2byte  .LCFI29-.Ltext0
+ 6974 0474 0200                .2byte  0x2
+ 6975 0476 71                  .byte   0x71
+ 6976 0477 02                  .sleb128 2
+ 6977 0478 4A0B                .2byte  .LCFI29-.Ltext0
+ 6978 047a A40B                .2byte  .LFE30-.Ltext0
+ 6979 047c 0200                .2byte  0x2
+ 6980 047e 71                  .byte   0x71
+ 6981 047f 04                  .sleb128 4
+ 6982 0480 0000                .2byte  0x0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 125
+
+
+ 6983 0482 0000                .2byte  0x0
+ 6984                  .LLST56:
+ 6985 0484 5C0B                .2byte  .LVL131-.Ltext0
+ 6986 0486 8E0B                .2byte  .LVL132-.Ltext0
+ 6987 0488 0100                .2byte  0x1
+ 6988 048a 5F                  .byte   0x5f
+ 6989 048b 0000                .2byte  0x0
+ 6990 048d 0000                .2byte  0x0
+ 6991                  .LLST57:
+ 6992 048f A40B                .2byte  .LFB31-.Ltext0
+ 6993 0491 A60B                .2byte  .LCFI30-.Ltext0
+ 6994 0493 0200                .2byte  0x2
+ 6995 0495 71                  .byte   0x71
+ 6996 0496 02                  .sleb128 2
+ 6997 0497 A60B                .2byte  .LCFI30-.Ltext0
+ 6998 0499 A80B                .2byte  .LCFI31-.Ltext0
+ 6999 049b 0200                .2byte  0x2
+ 7000 049d 71                  .byte   0x71
+ 7001 049e 04                  .sleb128 4
+ 7002 049f A80B                .2byte  .LCFI31-.Ltext0
+ 7003 04a1 AA0B                .2byte  .LCFI32-.Ltext0
+ 7004 04a3 0200                .2byte  0x2
+ 7005 04a5 71                  .byte   0x71
+ 7006 04a6 06                  .sleb128 6
+ 7007 04a7 AA0B                .2byte  .LCFI32-.Ltext0
+ 7008 04a9 AC0B                .2byte  .LCFI33-.Ltext0
+ 7009 04ab 0200                .2byte  0x2
+ 7010 04ad 71                  .byte   0x71
+ 7011 04ae 08                  .sleb128 8
+ 7012 04af AC0B                .2byte  .LCFI33-.Ltext0
+ 7013 04b1 AE0B                .2byte  .LCFI34-.Ltext0
+ 7014 04b3 0200                .2byte  0x2
+ 7015 04b5 71                  .byte   0x71
+ 7016 04b6 0A                  .sleb128 10
+ 7017 04b7 AE0B                .2byte  .LCFI34-.Ltext0
+ 7018 04b9 B00B                .2byte  .LCFI35-.Ltext0
+ 7019 04bb 0200                .2byte  0x2
+ 7020 04bd 71                  .byte   0x71
+ 7021 04be 0C                  .sleb128 12
+ 7022 04bf B00B                .2byte  .LCFI35-.Ltext0
+ 7023 04c1 B40B                .2byte  .LCFI36-.Ltext0
+ 7024 04c3 0200                .2byte  0x2
+ 7025 04c5 71                  .byte   0x71
+ 7026 04c6 0E                  .sleb128 14
+ 7027 04c7 B40B                .2byte  .LCFI36-.Ltext0
+ 7028 04c9 800C                .2byte  .LCFI37-.Ltext0
+ 7029 04cb 0200                .2byte  0x2
+ 7030 04cd 71                  .byte   0x71
+ 7031 04ce 1C                  .sleb128 28
+ 7032 04cf 800C                .2byte  .LCFI37-.Ltext0
+ 7033 04d1 C80C                .2byte  .LFE31-.Ltext0
+ 7034 04d3 0200                .2byte  0x2
+ 7035 04d5 71                  .byte   0x71
+ 7036 04d6 0E                  .sleb128 14
+ 7037 04d7 0000                .2byte  0x0
+ 7038 04d9 0000                .2byte  0x0
+ 7039                  .LLST58:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 126
+
+
+ 7040 04db A40B                .2byte  .LVL133-.Ltext0
+ 7041 04dd 0A0C                .2byte  .LVL143-.Ltext0
+ 7042 04df 0100                .2byte  0x1
+ 7043 04e1 5F                  .byte   0x5f
+ 7044 04e2 8E0C                .2byte  .LVL144-.Ltext0
+ 7045 04e4 9A0C                .2byte  .LVL146-.Ltext0
+ 7046 04e6 0100                .2byte  0x1
+ 7047 04e8 5F                  .byte   0x5f
+ 7048 04e9 0000                .2byte  0x0
+ 7049 04eb 0000                .2byte  0x0
+ 7050                  .LLST59:
+ 7051 04ed B60B                .2byte  .LVL134-.Ltext0
+ 7052 04ef C40B                .2byte  .LVL136-.Ltext0
+ 7053 04f1 0100                .2byte  0x1
+ 7054 04f3 58                  .byte   0x58
+ 7055 04f4 C40B                .2byte  .LVL136-.Ltext0
+ 7056 04f6 C60B                .2byte  .LVL137-.Ltext0
+ 7057 04f8 0100                .2byte  0x1
+ 7058 04fa 5C                  .byte   0x5c
+ 7059 04fb C60B                .2byte  .LVL137-.Ltext0
+ 7060 04fd F00B                .2byte  .LVL139-.Ltext0
+ 7061 04ff 0100                .2byte  0x1
+ 7062 0501 58                  .byte   0x58
+ 7063 0502 F00B                .2byte  .LVL139-.Ltext0
+ 7064 0504 F60B                .2byte  .LVL140-.Ltext0
+ 7065 0506 0100                .2byte  0x1
+ 7066 0508 5C                  .byte   0x5c
+ 7067 0509 F60B                .2byte  .LVL140-.Ltext0
+ 7068 050b 020C                .2byte  .LVL142-.Ltext0
+ 7069 050d 0100                .2byte  0x1
+ 7070 050f 58                  .byte   0x58
+ 7071 0510 8E0C                .2byte  .LVL144-.Ltext0
+ 7072 0512 C80C                .2byte  .LFE31-.Ltext0
+ 7073 0514 0100                .2byte  0x1
+ 7074 0516 5C                  .byte   0x5c
+ 7075 0517 0000                .2byte  0x0
+ 7076 0519 0000                .2byte  0x0
+ 7077                  .LLST60:
+ 7078 051b C20B                .2byte  .LVL135-.Ltext0
+ 7079 051d C40B                .2byte  .LVL136-.Ltext0
+ 7080 051f 0100                .2byte  0x1
+ 7081 0521 57                  .byte   0x57
+ 7082 0522 C40B                .2byte  .LVL136-.Ltext0
+ 7083 0524 C60B                .2byte  .LVL137-.Ltext0
+ 7084 0526 0100                .2byte  0x1
+ 7085 0528 5E                  .byte   0x5e
+ 7086 0529 C60B                .2byte  .LVL137-.Ltext0
+ 7087 052b EA0B                .2byte  .LVL138-.Ltext0
+ 7088 052d 0100                .2byte  0x1
+ 7089 052f 57                  .byte   0x57
+ 7090 0530 EA0B                .2byte  .LVL138-.Ltext0
+ 7091 0532 F80B                .2byte  .LVL141-.Ltext0
+ 7092 0534 0100                .2byte  0x1
+ 7093 0536 5E                  .byte   0x5e
+ 7094 0537 F80B                .2byte  .LVL141-.Ltext0
+ 7095 0539 8E0C                .2byte  .LVL144-.Ltext0
+ 7096 053b 0100                .2byte  0x1
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 127
+
+
+ 7097 053d 5C                  .byte   0x5c
+ 7098 053e 8E0C                .2byte  .LVL144-.Ltext0
+ 7099 0540 960C                .2byte  .LVL145-.Ltext0
+ 7100 0542 0100                .2byte  0x1
+ 7101 0544 5E                  .byte   0x5e
+ 7102 0545 0000                .2byte  0x0
+ 7103 0547 0000                .2byte  0x0
+ 7104                  .LLST62:
+ 7105 0549 C80C                .2byte  .LVL147-.Ltext0
+ 7106 054b 040D                .2byte  .LVL149-.Ltext0
+ 7107 054d 0100                .2byte  0x1
+ 7108 054f 5F                  .byte   0x5f
+ 7109 0550 0000                .2byte  0x0
+ 7110 0552 0000                .2byte  0x0
+ 7111                  .LLST63:
+ 7112 0554 120D                .2byte  .LFB33-.Ltext0
+ 7113 0556 140D                .2byte  .LCFI38-.Ltext0
+ 7114 0558 0200                .2byte  0x2
+ 7115 055a 71                  .byte   0x71
+ 7116 055b 02                  .sleb128 2
+ 7117 055c 140D                .2byte  .LCFI38-.Ltext0
+ 7118 055e B60D                .2byte  .LFE33-.Ltext0
+ 7119 0560 0200                .2byte  0x2
+ 7120 0562 71                  .byte   0x71
+ 7121 0563 04                  .sleb128 4
+ 7122 0564 0000                .2byte  0x0
+ 7123 0566 0000                .2byte  0x0
+ 7124                  .LLST64:
+ 7125 0568 120D                .2byte  .LVL150-.Ltext0
+ 7126 056a 840D                .2byte  .LVL155-.Ltext0
+ 7127 056c 0100                .2byte  0x1
+ 7128 056e 5F                  .byte   0x5f
+ 7129 056f 0000                .2byte  0x0
+ 7130 0571 0000                .2byte  0x0
+ 7131                  .LLST65:
+ 7132 0573 180D                .2byte  .LVL152-.Ltext0
+ 7133 0575 5C0D                .2byte  .LVL153-.Ltext0
+ 7134 0577 0100                .2byte  0x1
+ 7135 0579 5E                  .byte   0x5e
+ 7136 057a 0000                .2byte  0x0
+ 7137 057c 0000                .2byte  0x0
+ 7138                  .LLST66:
+ 7139 057e B60D                .2byte  .LFB34-.Ltext0
+ 7140 0580 B80D                .2byte  .LCFI39-.Ltext0
+ 7141 0582 0200                .2byte  0x2
+ 7142 0584 71                  .byte   0x71
+ 7143 0585 02                  .sleb128 2
+ 7144 0586 B80D                .2byte  .LCFI39-.Ltext0
+ 7145 0588 CC0D                .2byte  .LFE34-.Ltext0
+ 7146 058a 0200                .2byte  0x2
+ 7147 058c 71                  .byte   0x71
+ 7148 058d 04                  .sleb128 4
+ 7149 058e 0000                .2byte  0x0
+ 7150 0590 0000                .2byte  0x0
+ 7151                  .LLST67:
+ 7152 0592 B60D                .2byte  .LVL156-.Ltext0
+ 7153 0594 BE0D                .2byte  .LVL157-.Ltext0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 128
+
+
+ 7154 0596 0100                .2byte  0x1
+ 7155 0598 5F                  .byte   0x5f
+ 7156 0599 C40D                .2byte  .LVL158-.Ltext0
+ 7157 059b CA0D                .2byte  .LVL159-.Ltext0
+ 7158 059d 0100                .2byte  0x1
+ 7159 059f 5B                  .byte   0x5b
+ 7160 05a0 0000                .2byte  0x0
+ 7161 05a2 0000                .2byte  0x0
+ 7162                  .LLST68:
+ 7163 05a4 DE0D                .2byte  .LFB36-.Ltext0
+ 7164 05a6 E00D                .2byte  .LCFI40-.Ltext0
+ 7165 05a8 0200                .2byte  0x2
+ 7166 05aa 71                  .byte   0x71
+ 7167 05ab 02                  .sleb128 2
+ 7168 05ac E00D                .2byte  .LCFI40-.Ltext0
+ 7169 05ae E20D                .2byte  .LCFI41-.Ltext0
+ 7170 05b0 0200                .2byte  0x2
+ 7171 05b2 71                  .byte   0x71
+ 7172 05b3 04                  .sleb128 4
+ 7173 05b4 E20D                .2byte  .LCFI41-.Ltext0
+ 7174 05b6 E40D                .2byte  .LCFI42-.Ltext0
+ 7175 05b8 0200                .2byte  0x2
+ 7176 05ba 71                  .byte   0x71
+ 7177 05bb 06                  .sleb128 6
+ 7178 05bc E40D                .2byte  .LCFI42-.Ltext0
+ 7179 05be E60D                .2byte  .LCFI43-.Ltext0
+ 7180 05c0 0200                .2byte  0x2
+ 7181 05c2 71                  .byte   0x71
+ 7182 05c3 08                  .sleb128 8
+ 7183 05c4 E60D                .2byte  .LCFI43-.Ltext0
+ 7184 05c6 E618                .2byte  .LFE36-.Ltext0
+ 7185 05c8 0200                .2byte  0x2
+ 7186 05ca 71                  .byte   0x71
+ 7187 05cb 0A                  .sleb128 10
+ 7188 05cc 0000                .2byte  0x0
+ 7189 05ce 0000                .2byte  0x0
+ 7190                  .LLST69:
+ 7191 05d0 DE0D                .2byte  .LVL160-.Ltext0
+ 7192 05d2 040E                .2byte  .LVL162-.Ltext0
+ 7193 05d4 0100                .2byte  0x1
+ 7194 05d6 5F                  .byte   0x5f
+ 7195 05d7 3A0E                .2byte  .LVL163-.Ltext0
+ 7196 05d9 CA0E                .2byte  .LVL167-.Ltext0
+ 7197 05db 0100                .2byte  0x1
+ 7198 05dd 5F                  .byte   0x5f
+ 7199 05de CC0E                .2byte  .LVL168-.Ltext0
+ 7200 05e0 D40E                .2byte  .LVL169-.Ltext0
+ 7201 05e2 0100                .2byte  0x1
+ 7202 05e4 5F                  .byte   0x5f
+ 7203 05e5 060F                .2byte  .LVL171-.Ltext0
+ 7204 05e7 0A0F                .2byte  .LVL172-.Ltext0
+ 7205 05e9 0100                .2byte  0x1
+ 7206 05eb 5F                  .byte   0x5f
+ 7207 05ec 140F                .2byte  .LVL173-.Ltext0
+ 7208 05ee 180F                .2byte  .LVL174-.Ltext0
+ 7209 05f0 0100                .2byte  0x1
+ 7210 05f2 5F                  .byte   0x5f
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 129
+
+
+ 7211 05f3 480F                .2byte  .LVL177-.Ltext0
+ 7212 05f5 4C0F                .2byte  .LVL178-.Ltext0
+ 7213 05f7 0100                .2byte  0x1
+ 7214 05f9 5F                  .byte   0x5f
+ 7215 05fa 4E0F                .2byte  .LVL179-.Ltext0
+ 7216 05fc 520F                .2byte  .LVL180-.Ltext0
+ 7217 05fe 0100                .2byte  0x1
+ 7218 0600 5F                  .byte   0x5f
+ 7219 0601 540F                .2byte  .LVL181-.Ltext0
+ 7220 0603 560F                .2byte  .LVL182-.Ltext0
+ 7221 0605 0100                .2byte  0x1
+ 7222 0607 5F                  .byte   0x5f
+ 7223 0608 5C0F                .2byte  .LVL183-.Ltext0
+ 7224 060a 600F                .2byte  .LVL184-.Ltext0
+ 7225 060c 0100                .2byte  0x1
+ 7226 060e 5F                  .byte   0x5f
+ 7227 060f 720F                .2byte  .LVL186-.Ltext0
+ 7228 0611 760F                .2byte  .LVL187-.Ltext0
+ 7229 0613 0100                .2byte  0x1
+ 7230 0615 5F                  .byte   0x5f
+ 7231 0616 780F                .2byte  .LVL188-.Ltext0
+ 7232 0618 7C0F                .2byte  .LVL189-.Ltext0
+ 7233 061a 0100                .2byte  0x1
+ 7234 061c 5F                  .byte   0x5f
+ 7235 061d 920F                .2byte  .LVL191-.Ltext0
+ 7236 061f 960F                .2byte  .LVL192-.Ltext0
+ 7237 0621 0100                .2byte  0x1
+ 7238 0623 5F                  .byte   0x5f
+ 7239 0624 A40F                .2byte  .LVL193-.Ltext0
+ 7240 0626 AA0F                .2byte  .LVL194-.Ltext0
+ 7241 0628 0100                .2byte  0x1
+ 7242 062a 5F                  .byte   0x5f
+ 7243 062b DA0F                .2byte  .LVL197-.Ltext0
+ 7244 062d DE0F                .2byte  .LVL198-.Ltext0
+ 7245 062f 0100                .2byte  0x1
+ 7246 0631 5F                  .byte   0x5f
+ 7247 0632 EE0F                .2byte  .LVL199-.Ltext0
+ 7248 0634 FE0F                .2byte  .LVL200-.Ltext0
+ 7249 0636 0100                .2byte  0x1
+ 7250 0638 5F                  .byte   0x5f
+ 7251 0639 1210                .2byte  .LVL201-.Ltext0
+ 7252 063b 1E10                .2byte  .LVL202-.Ltext0
+ 7253 063d 0100                .2byte  0x1
+ 7254 063f 5F                  .byte   0x5f
+ 7255 0640 8410                .2byte  .LVL204-.Ltext0
+ 7256 0642 8810                .2byte  .LVL205-.Ltext0
+ 7257 0644 0100                .2byte  0x1
+ 7258 0646 5F                  .byte   0x5f
+ 7259 0647 A010                .2byte  .LVL206-.Ltext0
+ 7260 0649 A410                .2byte  .LVL207-.Ltext0
+ 7261 064b 0100                .2byte  0x1
+ 7262 064d 5F                  .byte   0x5f
+ 7263 064e B210                .2byte  .LVL208-.Ltext0
+ 7264 0650 B610                .2byte  .LVL209-.Ltext0
+ 7265 0652 0100                .2byte  0x1
+ 7266 0654 5F                  .byte   0x5f
+ 7267 0655 3C11                .2byte  .LVL210-.Ltext0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 130
+
+
+ 7268 0657 5611                .2byte  .LVL212-.Ltext0
+ 7269 0659 0100                .2byte  0x1
+ 7270 065b 5F                  .byte   0x5f
+ 7271 065c 0412                .2byte  .LVL213-.Ltext0
+ 7272 065e 0C12                .2byte  .LVL214-.Ltext0
+ 7273 0660 0100                .2byte  0x1
+ 7274 0662 5F                  .byte   0x5f
+ 7275 0663 2012                .2byte  .LVL216-.Ltext0
+ 7276 0665 2812                .2byte  .LVL218-.Ltext0
+ 7277 0667 0100                .2byte  0x1
+ 7278 0669 5F                  .byte   0x5f
+ 7279 066a 5A12                .2byte  .LVL222-.Ltext0
+ 7280 066c 6212                .2byte  .LVL224-.Ltext0
+ 7281 066e 0100                .2byte  0x1
+ 7282 0670 5F                  .byte   0x5f
+ 7283 0671 8E12                .2byte  .LVL228-.Ltext0
+ 7284 0673 9412                .2byte  .LVL229-.Ltext0
+ 7285 0675 0100                .2byte  0x1
+ 7286 0677 5F                  .byte   0x5f
+ 7287 0678 A612                .2byte  .LVL230-.Ltext0
+ 7288 067a AE12                .2byte  .LVL232-.Ltext0
+ 7289 067c 0100                .2byte  0x1
+ 7290 067e 5F                  .byte   0x5f
+ 7291 067f E812                .2byte  .LVL233-.Ltext0
+ 7292 0681 0413                .2byte  .LVL235-.Ltext0
+ 7293 0683 0100                .2byte  0x1
+ 7294 0685 5F                  .byte   0x5f
+ 7295 0686 3E13                .2byte  .LVL236-.Ltext0
+ 7296 0688 4213                .2byte  .LVL237-.Ltext0
+ 7297 068a 0100                .2byte  0x1
+ 7298 068c 5F                  .byte   0x5f
+ 7299 068d 4E13                .2byte  .LVL238-.Ltext0
+ 7300 068f 5E13                .2byte  .LVL241-.Ltext0
+ 7301 0691 0100                .2byte  0x1
+ 7302 0693 5F                  .byte   0x5f
+ 7303 0694 7213                .2byte  .LVL243-.Ltext0
+ 7304 0696 7A13                .2byte  .LVL245-.Ltext0
+ 7305 0698 0100                .2byte  0x1
+ 7306 069a 5F                  .byte   0x5f
+ 7307 069b B613                .2byte  .LVL252-.Ltext0
+ 7308 069d BA13                .2byte  .LVL253-.Ltext0
+ 7309 069f 0100                .2byte  0x1
+ 7310 06a1 5F                  .byte   0x5f
+ 7311 06a2 DA13                .2byte  .LVL255-.Ltext0
+ 7312 06a4 E013                .2byte  .LVL256-.Ltext0
+ 7313 06a6 0100                .2byte  0x1
+ 7314 06a8 5F                  .byte   0x5f
+ 7315 06a9 2614                .2byte  .LVL260-.Ltext0
+ 7316 06ab 2E14                .2byte  .LVL262-.Ltext0
+ 7317 06ad 0100                .2byte  0x1
+ 7318 06af 5F                  .byte   0x5f
+ 7319 06b0 7814                .2byte  .LVL264-.Ltext0
+ 7320 06b2 8814                .2byte  .LVL265-.Ltext0
+ 7321 06b4 0100                .2byte  0x1
+ 7322 06b6 5F                  .byte   0x5f
+ 7323 06b7 9E14                .2byte  .LVL266-.Ltext0
+ 7324 06b9 A214                .2byte  .LVL267-.Ltext0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 131
+
+
+ 7325 06bb 0100                .2byte  0x1
+ 7326 06bd 5F                  .byte   0x5f
+ 7327 06be D014                .2byte  .LVL270-.Ltext0
+ 7328 06c0 D414                .2byte  .LVL271-.Ltext0
+ 7329 06c2 0100                .2byte  0x1
+ 7330 06c4 5F                  .byte   0x5f
+ 7331 06c5 E414                .2byte  .LVL272-.Ltext0
+ 7332 06c7 EC14                .2byte  .LVL273-.Ltext0
+ 7333 06c9 0100                .2byte  0x1
+ 7334 06cb 5F                  .byte   0x5f
+ 7335 06cc 0015                .2byte  .LVL275-.Ltext0
+ 7336 06ce 0615                .2byte  .LVL276-.Ltext0
+ 7337 06d0 0100                .2byte  0x1
+ 7338 06d2 5F                  .byte   0x5f
+ 7339 06d3 4E15                .2byte  .LVL277-.Ltext0
+ 7340 06d5 5215                .2byte  .LVL278-.Ltext0
+ 7341 06d7 0100                .2byte  0x1
+ 7342 06d9 5F                  .byte   0x5f
+ 7343 06da 6C15                .2byte  .LVL279-.Ltext0
+ 7344 06dc 7415                .2byte  .LVL281-.Ltext0
+ 7345 06de 0100                .2byte  0x1
+ 7346 06e0 5F                  .byte   0x5f
+ 7347 06e1 C815                .2byte  .LVL285-.Ltext0
+ 7348 06e3 D815                .2byte  .LVL286-.Ltext0
+ 7349 06e5 0100                .2byte  0x1
+ 7350 06e7 5F                  .byte   0x5f
+ 7351 06e8 EE15                .2byte  .LVL287-.Ltext0
+ 7352 06ea F615                .2byte  .LVL289-.Ltext0
+ 7353 06ec 0100                .2byte  0x1
+ 7354 06ee 5F                  .byte   0x5f
+ 7355 06ef 2816                .2byte  .LVL293-.Ltext0
+ 7356 06f1 3016                .2byte  .LVL295-.Ltext0
+ 7357 06f3 0100                .2byte  0x1
+ 7358 06f5 5F                  .byte   0x5f
+ 7359 06f6 7C16                .2byte  .LVL299-.Ltext0
+ 7360 06f8 8016                .2byte  .LVL300-.Ltext0
+ 7361 06fa 0100                .2byte  0x1
+ 7362 06fc 5F                  .byte   0x5f
+ 7363 06fd 9816                .2byte  .LVL302-.Ltext0
+ 7364 06ff AC16                .2byte  .LVL303-.Ltext0
+ 7365 0701 0100                .2byte  0x1
+ 7366 0703 5F                  .byte   0x5f
+ 7367 0704 C216                .2byte  .LVL304-.Ltext0
+ 7368 0706 D616                .2byte  .LVL305-.Ltext0
+ 7369 0708 0100                .2byte  0x1
+ 7370 070a 5F                  .byte   0x5f
+ 7371 070b EC16                .2byte  .LVL306-.Ltext0
+ 7372 070d FC16                .2byte  .LVL307-.Ltext0
+ 7373 070f 0100                .2byte  0x1
+ 7374 0711 5F                  .byte   0x5f
+ 7375 0712 1217                .2byte  .LVL308-.Ltext0
+ 7376 0714 1417                .2byte  .LVL309-.Ltext0
+ 7377 0716 0100                .2byte  0x1
+ 7378 0718 5F                  .byte   0x5f
+ 7379 0719 1C17                .2byte  .LVL310-.Ltext0
+ 7380 071b 2017                .2byte  .LVL311-.Ltext0
+ 7381 071d 0100                .2byte  0x1
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 132
+
+
+ 7382 071f 5F                  .byte   0x5f
+ 7383 0720 2417                .2byte  .LVL312-.Ltext0
+ 7384 0722 3E17                .2byte  .LVL314-.Ltext0
+ 7385 0724 0100                .2byte  0x1
+ 7386 0726 5F                  .byte   0x5f
+ 7387 0727 6C17                .2byte  .LVL317-.Ltext0
+ 7388 0729 7017                .2byte  .LVL318-.Ltext0
+ 7389 072b 0100                .2byte  0x1
+ 7390 072d 5F                  .byte   0x5f
+ 7391 072e 7C17                .2byte  .LVL319-.Ltext0
+ 7392 0730 8817                .2byte  .LVL321-.Ltext0
+ 7393 0732 0100                .2byte  0x1
+ 7394 0734 5F                  .byte   0x5f
+ 7395 0735 0218                .2byte  .LVL323-.Ltext0
+ 7396 0737 0E18                .2byte  .LVL324-.Ltext0
+ 7397 0739 0100                .2byte  0x1
+ 7398 073b 5F                  .byte   0x5f
+ 7399 073c 2A18                .2byte  .LVL325-.Ltext0
+ 7400 073e 2E18                .2byte  .LVL326-.Ltext0
+ 7401 0740 0100                .2byte  0x1
+ 7402 0742 5F                  .byte   0x5f
+ 7403 0743 3218                .2byte  .LVL327-.Ltext0
+ 7404 0745 3618                .2byte  .LVL328-.Ltext0
+ 7405 0747 0100                .2byte  0x1
+ 7406 0749 5F                  .byte   0x5f
+ 7407 074a 3A18                .2byte  .LVL329-.Ltext0
+ 7408 074c 3E18                .2byte  .LVL330-.Ltext0
+ 7409 074e 0100                .2byte  0x1
+ 7410 0750 5F                  .byte   0x5f
+ 7411 0751 5618                .2byte  .LVL332-.Ltext0
+ 7412 0753 5E18                .2byte  .LVL334-.Ltext0
+ 7413 0755 0100                .2byte  0x1
+ 7414 0757 5F                  .byte   0x5f
+ 7415 0758 7C18                .2byte  .LVL335-.Ltext0
+ 7416 075a 8418                .2byte  .LVL337-.Ltext0
+ 7417 075c 0100                .2byte  0x1
+ 7418 075e 5F                  .byte   0x5f
+ 7419 075f A218                .2byte  .LVL338-.Ltext0
+ 7420 0761 AA18                .2byte  .LVL340-.Ltext0
+ 7421 0763 0100                .2byte  0x1
+ 7422 0765 5F                  .byte   0x5f
+ 7423 0766 C818                .2byte  .LVL341-.Ltext0
+ 7424 0768 CC18                .2byte  .LVL342-.Ltext0
+ 7425 076a 0100                .2byte  0x1
+ 7426 076c 5F                  .byte   0x5f
+ 7427 076d 0000                .2byte  0x0
+ 7428 076f 0000                .2byte  0x0
+ 7429                  .LLST70:
+ 7430 0771 3A0E                .2byte  .LVL163-.Ltext0
+ 7431 0773 440E                .2byte  .LVL165-.Ltext0
+ 7432 0775 0100                .2byte  0x1
+ 7433 0777 5F                  .byte   0x5f
+ 7434 0778 6C0F                .2byte  .LVL185-.Ltext0
+ 7435 077a 720F                .2byte  .LVL186-.Ltext0
+ 7436 077c 0100                .2byte  0x1
+ 7437 077e 5E                  .byte   0x5e
+ 7438 077f B00F                .2byte  .LVL195-.Ltext0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 133
+
+
+ 7439 0781 DA0F                .2byte  .LVL197-.Ltext0
+ 7440 0783 0100                .2byte  0x1
+ 7441 0785 5C                  .byte   0x5c
+ 7442 0786 4211                .2byte  .LVL211-.Ltext0
+ 7443 0788 0412                .2byte  .LVL213-.Ltext0
+ 7444 078a 0100                .2byte  0x1
+ 7445 078c 5A                  .byte   0x5a
+ 7446 078d 2412                .2byte  .LVL217-.Ltext0
+ 7447 078f 4E12                .2byte  .LVL220-.Ltext0
+ 7448 0791 0100                .2byte  0x1
+ 7449 0793 5C                  .byte   0x5c
+ 7450 0794 4E12                .2byte  .LVL220-.Ltext0
+ 7451 0796 5212                .2byte  .LVL221-.Ltext0
+ 7452 0798 0100                .2byte  0x1
+ 7453 079a 5F                  .byte   0x5f
+ 7454 079b 5E12                .2byte  .LVL223-.Ltext0
+ 7455 079d 7412                .2byte  .LVL226-.Ltext0
+ 7456 079f 0100                .2byte  0x1
+ 7457 07a1 5E                  .byte   0x5e
+ 7458 07a2 4E13                .2byte  .LVL238-.Ltext0
+ 7459 07a4 5613                .2byte  .LVL239-.Ltext0
+ 7460 07a6 0100                .2byte  0x1
+ 7461 07a8 5E                  .byte   0x5e
+ 7462 07a9 7613                .2byte  .LVL244-.Ltext0
+ 7463 07ab A613                .2byte  .LVL248-.Ltext0
+ 7464 07ad 0100                .2byte  0x1
+ 7465 07af 5E                  .byte   0x5e
+ 7466 07b0 AA13                .2byte  .LVL249-.Ltext0
+ 7467 07b2 B213                .2byte  .LVL251-.Ltext0
+ 7468 07b4 0100                .2byte  0x1
+ 7469 07b6 5E                  .byte   0x5e
+ 7470 07b7 2A14                .2byte  .LVL261-.Ltext0
+ 7471 07b9 7814                .2byte  .LVL264-.Ltext0
+ 7472 07bb 0100                .2byte  0x1
+ 7473 07bd 5C                  .byte   0x5c
+ 7474 07be A214                .2byte  .LVL267-.Ltext0
+ 7475 07c0 CC14                .2byte  .LVL269-.Ltext0
+ 7476 07c2 0100                .2byte  0x1
+ 7477 07c4 5F                  .byte   0x5f
+ 7478 07c5 F215                .2byte  .LVL288-.Ltext0
+ 7479 07c7 2216                .2byte  .LVL292-.Ltext0
+ 7480 07c9 0100                .2byte  0x1
+ 7481 07cb 5E                  .byte   0x5e
+ 7482 07cc 3A17                .2byte  .LVL313-.Ltext0
+ 7483 07ce 6417                .2byte  .LVL316-.Ltext0
+ 7484 07d0 0100                .2byte  0x1
+ 7485 07d2 5C                  .byte   0x5c
+ 7486 07d3 6417                .2byte  .LVL316-.Ltext0
+ 7487 07d5 6C17                .2byte  .LVL317-.Ltext0
+ 7488 07d7 0100                .2byte  0x1
+ 7489 07d9 5F                  .byte   0x5f
+ 7490 07da 5A18                .2byte  .LVL333-.Ltext0
+ 7491 07dc 7C18                .2byte  .LVL335-.Ltext0
+ 7492 07de 0100                .2byte  0x1
+ 7493 07e0 5E                  .byte   0x5e
+ 7494 07e1 8018                .2byte  .LVL336-.Ltext0
+ 7495 07e3 A218                .2byte  .LVL338-.Ltext0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 134
+
+
+ 7496 07e5 0100                .2byte  0x1
+ 7497 07e7 5E                  .byte   0x5e
+ 7498 07e8 A618                .2byte  .LVL339-.Ltext0
+ 7499 07ea C818                .2byte  .LVL341-.Ltext0
+ 7500 07ec 0100                .2byte  0x1
+ 7501 07ee 5E                  .byte   0x5e
+ 7502 07ef CC18                .2byte  .LVL342-.Ltext0
+ 7503 07f1 DA18                .2byte  .LVL343-.Ltext0
+ 7504 07f3 0100                .2byte  0x1
+ 7505 07f5 5F                  .byte   0x5f
+ 7506 07f6 0000                .2byte  0x0
+ 7507 07f8 0000                .2byte  0x0
+ 7508                  .LLST71:
+ 7509 07fa 3A0E                .2byte  .LVL163-.Ltext0
+ 7510 07fc 440E                .2byte  .LVL165-.Ltext0
+ 7511 07fe 0100                .2byte  0x1
+ 7512 0800 5E                  .byte   0x5e
+ 7513 0801 460F                .2byte  .LVL176-.Ltext0
+ 7514 0803 480F                .2byte  .LVL177-.Ltext0
+ 7515 0805 0100                .2byte  0x1
+ 7516 0807 5E                  .byte   0x5e
+ 7517 0808 BC0F                .2byte  .LVL196-.Ltext0
+ 7518 080a DA0F                .2byte  .LVL197-.Ltext0
+ 7519 080c 0100                .2byte  0x1
+ 7520 080e 5D                  .byte   0x5d
+ 7521 080f 1C12                .2byte  .LVL215-.Ltext0
+ 7522 0811 2012                .2byte  .LVL216-.Ltext0
+ 7523 0813 0100                .2byte  0x1
+ 7524 0815 5E                  .byte   0x5e
+ 7525 0816 4012                .2byte  .LVL219-.Ltext0
+ 7526 0818 5A12                .2byte  .LVL222-.Ltext0
+ 7527 081a 0100                .2byte  0x1
+ 7528 081c 5E                  .byte   0x5e
+ 7529 081d 7612                .2byte  .LVL227-.Ltext0
+ 7530 081f 8E12                .2byte  .LVL228-.Ltext0
+ 7531 0821 0100                .2byte  0x1
+ 7532 0823 5E                  .byte   0x5e
+ 7533 0824 6E13                .2byte  .LVL242-.Ltext0
+ 7534 0826 7213                .2byte  .LVL243-.Ltext0
+ 7535 0828 0100                .2byte  0x1
+ 7536 082a 5E                  .byte   0x5e
+ 7537 082b 8E13                .2byte  .LVL246-.Ltext0
+ 7538 082d AE13                .2byte  .LVL250-.Ltext0
+ 7539 082f 0100                .2byte  0x1
+ 7540 0831 5F                  .byte   0x5f
+ 7541 0832 C213                .2byte  .LVL254-.Ltext0
+ 7542 0834 DA13                .2byte  .LVL255-.Ltext0
+ 7543 0836 0100                .2byte  0x1
+ 7544 0838 5E                  .byte   0x5e
+ 7545 0839 E813                .2byte  .LVL257-.Ltext0
+ 7546 083b 2614                .2byte  .LVL260-.Ltext0
+ 7547 083d 0100                .2byte  0x1
+ 7548 083f 58                  .byte   0x58
+ 7549 0840 4614                .2byte  .LVL263-.Ltext0
+ 7550 0842 7814                .2byte  .LVL264-.Ltext0
+ 7551 0844 0100                .2byte  0x1
+ 7552 0846 5B                  .byte   0x5b
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 135
+
+
+ 7553 0847 BE14                .2byte  .LVL268-.Ltext0
+ 7554 0849 CC14                .2byte  .LVL269-.Ltext0
+ 7555 084b 0100                .2byte  0x1
+ 7556 084d 5E                  .byte   0x5e
+ 7557 084e FC14                .2byte  .LVL274-.Ltext0
+ 7558 0850 0015                .2byte  .LVL275-.Ltext0
+ 7559 0852 0100                .2byte  0x1
+ 7560 0854 5E                  .byte   0x5e
+ 7561 0855 0A16                .2byte  .LVL290-.Ltext0
+ 7562 0857 2816                .2byte  .LVL293-.Ltext0
+ 7563 0859 0100                .2byte  0x1
+ 7564 085b 5F                  .byte   0x5f
+ 7565 085c 5617                .2byte  .LVL315-.Ltext0
+ 7566 085e 6C17                .2byte  .LVL317-.Ltext0
+ 7567 0860 0100                .2byte  0x1
+ 7568 0862 5E                  .byte   0x5e
+ 7569 0863 0000                .2byte  0x0
+ 7570 0865 0000                .2byte  0x0
+ 7571                  .LLST72:
+ 7572 0867 3A0E                .2byte  .LVL163-.Ltext0
+ 7573 0869 440E                .2byte  .LVL165-.Ltext0
+ 7574 086b 0100                .2byte  0x1
+ 7575 086d 5C                  .byte   0x5c
+ 7576 086e F613                .2byte  .LVL258-.Ltext0
+ 7577 0870 2614                .2byte  .LVL260-.Ltext0
+ 7578 0872 0100                .2byte  0x1
+ 7579 0874 5C                  .byte   0x5c
+ 7580 0875 0000                .2byte  0x0
+ 7581 0877 0000                .2byte  0x0
+ 7582                  .LLST73:
+ 7583 0879 3A0E                .2byte  .LVL163-.Ltext0
+ 7584 087b 3E0E                .2byte  .LVL164-.Ltext0
+ 7585 087d 0100                .2byte  0x1
+ 7586 087f 59                  .byte   0x59
+ 7587 0880 0214                .2byte  .LVL259-.Ltext0
+ 7588 0882 2614                .2byte  .LVL260-.Ltext0
+ 7589 0884 0100                .2byte  0x1
+ 7590 0886 59                  .byte   0x59
+ 7591 0887 0000                .2byte  0x0
+ 7592 0889 0000                .2byte  0x0
+ 7593                  .LLST74:
+ 7594 088b F00D                .2byte  .LVL161-.Ltext0
+ 7595 088d 440E                .2byte  .LVL165-.Ltext0
+ 7596 088f 0100                .2byte  0x1
+ 7597 0891 5D                  .byte   0x5d
+ 7598 0892 0000                .2byte  0x0
+ 7599 0894 0000                .2byte  0x0
+ 7600                  .LLST75:
+ 7601 0896 3A0E                .2byte  .LVL163-.Ltext0
+ 7602 0898 440E                .2byte  .LVL165-.Ltext0
+ 7603 089a 0100                .2byte  0x1
+ 7604 089c 5E                  .byte   0x5e
+ 7605 089d E00E                .2byte  .LVL170-.Ltext0
+ 7606 089f 060F                .2byte  .LVL171-.Ltext0
+ 7607 08a1 0100                .2byte  0x1
+ 7608 08a3 5E                  .byte   0x5e
+ 7609 08a4 0000                .2byte  0x0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 136
+
+
+ 7610 08a6 0000                .2byte  0x0
+ 7611                  .LLST76:
+ 7612 08a8 3A0E                .2byte  .LVL163-.Ltext0
+ 7613 08aa 440E                .2byte  .LVL165-.Ltext0
+ 7614 08ac 0100                .2byte  0x1
+ 7615 08ae 5E                  .byte   0x5e
+ 7616 08af 2C0F                .2byte  .LVL175-.Ltext0
+ 7617 08b1 480F                .2byte  .LVL177-.Ltext0
+ 7618 08b3 0100                .2byte  0x1
+ 7619 08b5 5E                  .byte   0x5e
+ 7620 08b6 E218                .2byte  .LVL344-.Ltext0
+ 7621 08b8 E618                .2byte  .LFE36-.Ltext0
+ 7622 08ba 0100                .2byte  0x1
+ 7623 08bc 5E                  .byte   0x5e
+ 7624 08bd 0000                .2byte  0x0
+ 7625 08bf 0000                .2byte  0x0
+ 7626                  .LLST77:
+ 7627 08c1 7C0F                .2byte  .LVL189-.Ltext0
+ 7628 08c3 900F                .2byte  .LVL190-.Ltext0
+ 7629 08c5 0100                .2byte  0x1
+ 7630 08c7 5F                  .byte   0x5f
+ 7631 08c8 0000                .2byte  0x0
+ 7632 08ca 0000                .2byte  0x0
+ 7633                  .LLST78:
+ 7634 08cc 3A0E                .2byte  .LVL163-.Ltext0
+ 7635 08ce 440E                .2byte  .LVL165-.Ltext0
+ 7636 08d0 0100                .2byte  0x1
+ 7637 08d2 5C                  .byte   0x5c
+ 7638 08d3 7410                .2byte  .LVL203-.Ltext0
+ 7639 08d5 8410                .2byte  .LVL204-.Ltext0
+ 7640 08d7 0100                .2byte  0x1
+ 7641 08d9 5C                  .byte   0x5c
+ 7642 08da AA12                .2byte  .LVL231-.Ltext0
+ 7643 08dc E812                .2byte  .LVL233-.Ltext0
+ 7644 08de 0100                .2byte  0x1
+ 7645 08e0 5C                  .byte   0x5c
+ 7646 08e1 0000                .2byte  0x0
+ 7647 08e3 0000                .2byte  0x0
+ 7648                  .LLST79:
+ 7649 08e5 3A0E                .2byte  .LVL163-.Ltext0
+ 7650 08e7 440E                .2byte  .LVL165-.Ltext0
+ 7651 08e9 0100                .2byte  0x1
+ 7652 08eb 5D                  .byte   0x5d
+ 7653 08ec F812                .2byte  .LVL234-.Ltext0
+ 7654 08ee 3E13                .2byte  .LVL236-.Ltext0
+ 7655 08f0 0100                .2byte  0x1
+ 7656 08f2 5D                  .byte   0x5d
+ 7657 08f3 0000                .2byte  0x0
+ 7658 08f5 0000                .2byte  0x0
+ 7659                  .LLST80:
+ 7660 08f7 3A0E                .2byte  .LVL163-.Ltext0
+ 7661 08f9 440E                .2byte  .LVL165-.Ltext0
+ 7662 08fb 0100                .2byte  0x1
+ 7663 08fd 5E                  .byte   0x5e
+ 7664 08fe 5A13                .2byte  .LVL240-.Ltext0
+ 7665 0900 7213                .2byte  .LVL243-.Ltext0
+ 7666 0902 0100                .2byte  0x1
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 137
+
+
+ 7667 0904 5E                  .byte   0x5e
+ 7668 0905 0000                .2byte  0x0
+ 7669 0907 0000                .2byte  0x0
+ 7670                  .LLST81:
+ 7671 0909 7015                .2byte  .LVL280-.Ltext0
+ 7672 090b C015                .2byte  .LVL284-.Ltext0
+ 7673 090d 0100                .2byte  0x1
+ 7674 090f 59                  .byte   0x59
+ 7675 0910 0000                .2byte  0x0
+ 7676 0912 0000                .2byte  0x0
+ 7677                  .LLST82:
+ 7678 0914 8C15                .2byte  .LVL282-.Ltext0
+ 7679 0916 AE15                .2byte  .LVL283-.Ltext0
+ 7680 0918 0100                .2byte  0x1
+ 7681 091a 5A                  .byte   0x5a
+ 7682 091b 0000                .2byte  0x0
+ 7683 091d 0000                .2byte  0x0
+ 7684                  .LLST83:
+ 7685 091f 2C16                .2byte  .LVL294-.Ltext0
+ 7686 0921 4C16                .2byte  .LVL296-.Ltext0
+ 7687 0923 0100                .2byte  0x1
+ 7688 0925 5C                  .byte   0x5c
+ 7689 0926 0000                .2byte  0x0
+ 7690 0928 0000                .2byte  0x0
+ 7691                  .LLST84:
+ 7692 092a 4C16                .2byte  .LVL296-.Ltext0
+ 7693 092c 7816                .2byte  .LVL298-.Ltext0
+ 7694 092e 0100                .2byte  0x1
+ 7695 0930 5C                  .byte   0x5c
+ 7696 0931 0000                .2byte  0x0
+ 7697 0933 0000                .2byte  0x0
+ 7698                  .LLST85:
+ 7699 0935 6216                .2byte  .LVL297-.Ltext0
+ 7700 0937 7816                .2byte  .LVL298-.Ltext0
+ 7701 0939 0100                .2byte  0x1
+ 7702 093b 5E                  .byte   0x5e
+ 7703 093c 0000                .2byte  0x0
+ 7704 093e 0000                .2byte  0x0
+ 7705                  .LLST86:
+ 7706 0940 8016                .2byte  .LVL300-.Ltext0
+ 7707 0942 9416                .2byte  .LVL301-.Ltext0
+ 7708 0944 0100                .2byte  0x1
+ 7709 0946 5F                  .byte   0x5f
+ 7710 0947 0000                .2byte  0x0
+ 7711 0949 0000                .2byte  0x0
+ 7712                  .LLST87:
+ 7713 094b 3A0E                .2byte  .LVL163-.Ltext0
+ 7714 094d 440E                .2byte  .LVL165-.Ltext0
+ 7715 094f 0100                .2byte  0x1
+ 7716 0951 5D                  .byte   0x5d
+ 7717 0952 8417                .2byte  .LVL320-.Ltext0
+ 7718 0954 B017                .2byte  .LVL322-.Ltext0
+ 7719 0956 0100                .2byte  0x1
+ 7720 0958 5D                  .byte   0x5d
+ 7721 0959 0000                .2byte  0x0
+ 7722 095b 0000                .2byte  0x0
+ 7723                  .LLST88:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 138
+
+
+ 7724 095d 3A0E                .2byte  .LVL163-.Ltext0
+ 7725 095f 440E                .2byte  .LVL165-.Ltext0
+ 7726 0961 0100                .2byte  0x1
+ 7727 0963 5D                  .byte   0x5d
+ 7728 0964 B017                .2byte  .LVL322-.Ltext0
+ 7729 0966 0218                .2byte  .LVL323-.Ltext0
+ 7730 0968 0100                .2byte  0x1
+ 7731 096a 5D                  .byte   0x5d
+ 7732 096b 0000                .2byte  0x0
+ 7733 096d 0000                .2byte  0x0
+ 7734                  .LLST89:
+ 7735 096f 3E18                .2byte  .LVL330-.Ltext0
+ 7736 0971 5218                .2byte  .LVL331-.Ltext0
+ 7737 0973 0100                .2byte  0x1
+ 7738 0975 5F                  .byte   0x5f
+ 7739 0976 0000                .2byte  0x0
+ 7740 0978 0000                .2byte  0x0
+ 7741                  .LLST91:
+ 7742 097a EA18                .2byte  .LVL345-.Ltext0
+ 7743 097c 3619                .2byte  .LVL347-.Ltext0
+ 7744 097e 0100                .2byte  0x1
+ 7745 0980 5F                  .byte   0x5f
+ 7746 0981 4019                .2byte  .LVL348-.Ltext0
+ 7747 0983 4419                .2byte  .LVL349-.Ltext0
+ 7748 0985 0100                .2byte  0x1
+ 7749 0987 5F                  .byte   0x5f
+ 7750 0988 0000                .2byte  0x0
+ 7751 098a 0000                .2byte  0x0
+ 7752                  .LLST92:
+ 7753 098c 4A19                .2byte  .LFB37-.Ltext0
+ 7754 098e 4C19                .2byte  .LCFI44-.Ltext0
+ 7755 0990 0200                .2byte  0x2
+ 7756 0992 71                  .byte   0x71
+ 7757 0993 02                  .sleb128 2
+ 7758 0994 4C19                .2byte  .LCFI44-.Ltext0
+ 7759 0996 4E19                .2byte  .LCFI45-.Ltext0
+ 7760 0998 0200                .2byte  0x2
+ 7761 099a 71                  .byte   0x71
+ 7762 099b 04                  .sleb128 4
+ 7763 099c 4E19                .2byte  .LCFI45-.Ltext0
+ 7764 099e AC19                .2byte  .LFE37-.Ltext0
+ 7765 09a0 0200                .2byte  0x2
+ 7766 09a2 71                  .byte   0x71
+ 7767 09a3 06                  .sleb128 6
+ 7768 09a4 0000                .2byte  0x0
+ 7769 09a6 0000                .2byte  0x0
+ 7770                  .LLST93:
+ 7771 09a8 5E19                .2byte  .LVL350-.Ltext0
+ 7772 09aa 6019                .2byte  .LVL351-.Ltext0
+ 7773 09ac 0100                .2byte  0x1
+ 7774 09ae 5F                  .byte   0x5f
+ 7775 09af 6819                .2byte  .LVL352-.Ltext0
+ 7776 09b1 8E19                .2byte  .LVL354-.Ltext0
+ 7777 09b3 0100                .2byte  0x1
+ 7778 09b5 5F                  .byte   0x5f
+ 7779 09b6 9419                .2byte  .LVL355-.Ltext0
+ 7780 09b8 A219                .2byte  .LVL356-.Ltext0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 139
+
+
+ 7781 09ba 0100                .2byte  0x1
+ 7782 09bc 5F                  .byte   0x5f
+ 7783 09bd 0000                .2byte  0x0
+ 7784 09bf 0000                .2byte  0x0
+ 7785                          .section        .debug_info
+ 7786 0000 C824 0000           .4byte  0x24c8
+ 7787 0004 0200                .2byte  0x2
+ 7788 0006 0000 0000           .4byte  .Ldebug_abbrev0
+ 7789 000a 02                  .byte   0x2
+ 7790 000b 01                  .uleb128 0x1
+ 7791 000c 0000 0000           .4byte  .LASF299
+ 7792 0010 01                  .byte   0x1
+ 7793 0011 782E 6300           .string "x.c"
+ 7794 0015 0000 0000           .4byte  .LASF300
+ 7795 0019 0000                .2byte  .Ltext0
+ 7796 001b 0000                .2byte  .Letext0
+ 7797 001d 0000 0000           .4byte  .Ldebug_line0
+ 7798 0021 02                  .uleb128 0x2
+ 7799 0022 01                  .byte   0x1
+ 7800 0023 06                  .byte   0x6
+ 7801 0024 0000 0000           .4byte  .LASF0
+ 7802 0028 03                  .uleb128 0x3
+ 7803 0029 0000 0000           .4byte  .LASF2
+ 7804 002d 02                  .byte   0x2
+ 7805 002e 27                  .byte   0x27
+ 7806 002f 3300 0000           .4byte  0x33
+ 7807 0033 02                  .uleb128 0x2
+ 7808 0034 01                  .byte   0x1
+ 7809 0035 08                  .byte   0x8
+ 7810 0036 0000 0000           .4byte  .LASF1
+ 7811 003a 03                  .uleb128 0x3
+ 7812 003b 0000 0000           .4byte  .LASF3
+ 7813 003f 02                  .byte   0x2
+ 7814 0040 29                  .byte   0x29
+ 7815 0041 4500 0000           .4byte  0x45
+ 7816 0045 04                  .uleb128 0x4
+ 7817 0046 02                  .byte   0x2
+ 7818 0047 05                  .byte   0x5
+ 7819 0048 696E 7400           .string "int"
+ 7820 004c 03                  .uleb128 0x3
+ 7821 004d 0000 0000           .4byte  .LASF4
+ 7822 0051 02                  .byte   0x2
+ 7823 0052 2A                  .byte   0x2a
+ 7824 0053 5700 0000           .4byte  0x57
+ 7825 0057 02                  .uleb128 0x2
+ 7826 0058 02                  .byte   0x2
+ 7827 0059 07                  .byte   0x7
+ 7828 005a 0000 0000           .4byte  .LASF5
+ 7829 005e 03                  .uleb128 0x3
+ 7830 005f 0000 0000           .4byte  .LASF6
+ 7831 0063 02                  .byte   0x2
+ 7832 0064 2C                  .byte   0x2c
+ 7833 0065 6900 0000           .4byte  0x69
+ 7834 0069 02                  .uleb128 0x2
+ 7835 006a 04                  .byte   0x4
+ 7836 006b 05                  .byte   0x5
+ 7837 006c 0000 0000           .4byte  .LASF7
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 140
+
+
+ 7838 0070 02                  .uleb128 0x2
+ 7839 0071 04                  .byte   0x4
+ 7840 0072 07                  .byte   0x7
+ 7841 0073 0000 0000           .4byte  .LASF8
+ 7842 0077 02                  .uleb128 0x2
+ 7843 0078 08                  .byte   0x8
+ 7844 0079 05                  .byte   0x5
+ 7845 007a 0000 0000           .4byte  .LASF9
+ 7846 007e 02                  .uleb128 0x2
+ 7847 007f 08                  .byte   0x8
+ 7848 0080 07                  .byte   0x7
+ 7849 0081 0000 0000           .4byte  .LASF10
+ 7850 0085 05                  .uleb128 0x5
+ 7851 0086 02                  .byte   0x2
+ 7852 0087 03                  .byte   0x3
+ 7853 0088 1701                .2byte  0x117
+ 7854 008a 0D01 0000           .4byte  0x10d
+ 7855 008e 06                  .uleb128 0x6
+ 7856 008f 0000 0000           .4byte  .LASF11
+ 7857 0093 03                  .byte   0x3
+ 7858 0094 1901                .2byte  0x119
+ 7859 0096 0D01 0000           .4byte  0x10d
+ 7860 009a 02                  .byte   0x2
+ 7861 009b 01                  .byte   0x1
+ 7862 009c 0F                  .byte   0xf
+ 7863 009d 02                  .byte   0x2
+ 7864 009e 23                  .byte   0x23
+ 7865 009f 00                  .uleb128 0x0
+ 7866 00a0 06                  .uleb128 0x6
+ 7867 00a1 0000 0000           .4byte  .LASF12
+ 7868 00a5 03                  .byte   0x3
+ 7869 00a6 1A01                .2byte  0x11a
+ 7870 00a8 0D01 0000           .4byte  0x10d
+ 7871 00ac 02                  .byte   0x2
+ 7872 00ad 01                  .byte   0x1
+ 7873 00ae 0E                  .byte   0xe
+ 7874 00af 02                  .byte   0x2
+ 7875 00b0 23                  .byte   0x23
+ 7876 00b1 00                  .uleb128 0x0
+ 7877 00b2 06                  .uleb128 0x6
+ 7878 00b3 0000 0000           .4byte  .LASF13
+ 7879 00b7 03                  .byte   0x3
+ 7880 00b8 1B01                .2byte  0x11b
+ 7881 00ba 0D01 0000           .4byte  0x10d
+ 7882 00be 02                  .byte   0x2
+ 7883 00bf 01                  .byte   0x1
+ 7884 00c0 0D                  .byte   0xd
+ 7885 00c1 02                  .byte   0x2
+ 7886 00c2 23                  .byte   0x23
+ 7887 00c3 00                  .uleb128 0x0
+ 7888 00c4 06                  .uleb128 0x6
+ 7889 00c5 0000 0000           .4byte  .LASF14
+ 7890 00c9 03                  .byte   0x3
+ 7891 00ca 1C01                .2byte  0x11c
+ 7892 00cc 0D01 0000           .4byte  0x10d
+ 7893 00d0 02                  .byte   0x2
+ 7894 00d1 01                  .byte   0x1
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 141
+
+
+ 7895 00d2 0C                  .byte   0xc
+ 7896 00d3 02                  .byte   0x2
+ 7897 00d4 23                  .byte   0x23
+ 7898 00d5 00                  .uleb128 0x0
+ 7899 00d6 06                  .uleb128 0x6
+ 7900 00d7 0000 0000           .4byte  .LASF15
+ 7901 00db 03                  .byte   0x3
+ 7902 00dc 1D01                .2byte  0x11d
+ 7903 00de 0D01 0000           .4byte  0x10d
+ 7904 00e2 02                  .byte   0x2
+ 7905 00e3 02                  .byte   0x2
+ 7906 00e4 0A                  .byte   0xa
+ 7907 00e5 02                  .byte   0x2
+ 7908 00e6 23                  .byte   0x23
+ 7909 00e7 00                  .uleb128 0x0
+ 7910 00e8 06                  .uleb128 0x6
+ 7911 00e9 0000 0000           .4byte  .LASF16
+ 7912 00ed 03                  .byte   0x3
+ 7913 00ee 1E01                .2byte  0x11e
+ 7914 00f0 0D01 0000           .4byte  0x10d
+ 7915 00f4 02                  .byte   0x2
+ 7916 00f5 02                  .byte   0x2
+ 7917 00f6 08                  .byte   0x8
+ 7918 00f7 02                  .byte   0x2
+ 7919 00f8 23                  .byte   0x23
+ 7920 00f9 00                  .uleb128 0x0
+ 7921 00fa 06                  .uleb128 0x6
+ 7922 00fb 0000 0000           .4byte  .LASF17
+ 7923 00ff 03                  .byte   0x3
+ 7924 0100 1F01                .2byte  0x11f
+ 7925 0102 0D01 0000           .4byte  0x10d
+ 7926 0106 02                  .byte   0x2
+ 7927 0107 02                  .byte   0x2
+ 7928 0108 0E                  .byte   0xe
+ 7929 0109 02                  .byte   0x2
+ 7930 010a 23                  .byte   0x23
+ 7931 010b 01                  .uleb128 0x1
+ 7932 010c 00                  .byte   0x0
+ 7933 010d 07                  .uleb128 0x7
+ 7934 010e 5700 0000           .4byte  0x57
+ 7935 0112 08                  .uleb128 0x8
+ 7936 0113 0000 0000           .4byte  .LASF18
+ 7937 0117 03                  .byte   0x3
+ 7938 0118 2001                .2byte  0x120
+ 7939 011a 8500 0000           .4byte  0x85
+ 7940 011e 05                  .uleb128 0x5
+ 7941 011f 02                  .byte   0x2
+ 7942 0120 03                  .byte   0x3
+ 7943 0121 2201                .2byte  0x122
+ 7944 0123 FF01 0000           .4byte  0x1ff
+ 7945 0127 06                  .uleb128 0x6
+ 7946 0128 0000 0000           .4byte  .LASF19
+ 7947 012c 03                  .byte   0x3
+ 7948 012d 2401                .2byte  0x124
+ 7949 012f 0D01 0000           .4byte  0x10d
+ 7950 0133 02                  .byte   0x2
+ 7951 0134 01                  .byte   0x1
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 142
+
+
+ 7952 0135 0F                  .byte   0xf
+ 7953 0136 02                  .byte   0x2
+ 7954 0137 23                  .byte   0x23
+ 7955 0138 00                  .uleb128 0x0
+ 7956 0139 09                  .uleb128 0x9
+ 7957 013a 636F 7600           .string "cov"
+ 7958 013e 03                  .byte   0x3
+ 7959 013f 2501                .2byte  0x125
+ 7960 0141 0D01 0000           .4byte  0x10d
+ 7961 0145 02                  .byte   0x2
+ 7962 0146 01                  .byte   0x1
+ 7963 0147 0E                  .byte   0xe
+ 7964 0148 02                  .byte   0x2
+ 7965 0149 23                  .byte   0x23
+ 7966 014a 00                  .uleb128 0x0
+ 7967 014b 09                  .uleb128 0x9
+ 7968 014c 6F75 7400           .string "out"
+ 7969 0150 03                  .byte   0x3
+ 7970 0151 2601                .2byte  0x126
+ 7971 0153 0D01 0000           .4byte  0x10d
+ 7972 0157 02                  .byte   0x2
+ 7973 0158 01                  .byte   0x1
+ 7974 0159 0D                  .byte   0xd
+ 7975 015a 02                  .byte   0x2
+ 7976 015b 23                  .byte   0x23
+ 7977 015c 00                  .uleb128 0x0
+ 7978 015d 09                  .uleb128 0x9
+ 7979 015e 6363 6900           .string "cci"
+ 7980 0162 03                  .byte   0x3
+ 7981 0163 2701                .2byte  0x127
+ 7982 0165 0D01 0000           .4byte  0x10d
+ 7983 0169 02                  .byte   0x2
+ 7984 016a 01                  .byte   0x1
+ 7985 016b 0C                  .byte   0xc
+ 7986 016c 02                  .byte   0x2
+ 7987 016d 23                  .byte   0x23
+ 7988 016e 00                  .uleb128 0x0
+ 7989 016f 06                  .uleb128 0x6
+ 7990 0170 0000 0000           .4byte  .LASF20
+ 7991 0174 03                  .byte   0x3
+ 7992 0175 2801                .2byte  0x128
+ 7993 0177 0D01 0000           .4byte  0x10d
+ 7994 017b 02                  .byte   0x2
+ 7995 017c 01                  .byte   0x1
+ 7996 017d 0B                  .byte   0xb
+ 7997 017e 02                  .byte   0x2
+ 7998 017f 23                  .byte   0x23
+ 7999 0180 00                  .uleb128 0x0
+ 8000 0181 06                  .uleb128 0x6
+ 8001 0182 0000 0000           .4byte  .LASF21
+ 8002 0186 03                  .byte   0x3
+ 8003 0187 2901                .2byte  0x129
+ 8004 0189 0D01 0000           .4byte  0x10d
+ 8005 018d 02                  .byte   0x2
+ 8006 018e 03                  .byte   0x3
+ 8007 018f 08                  .byte   0x8
+ 8008 0190 02                  .byte   0x2
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 143
+
+
+ 8009 0191 23                  .byte   0x23
+ 8010 0192 00                  .uleb128 0x0
+ 8011 0193 09                  .uleb128 0x9
+ 8012 0194 6361 7000           .string "cap"
+ 8013 0198 03                  .byte   0x3
+ 8014 0199 2A01                .2byte  0x12a
+ 8015 019b 0D01 0000           .4byte  0x10d
+ 8016 019f 02                  .byte   0x2
+ 8017 01a0 01                  .byte   0x1
+ 8018 01a1 0F                  .byte   0xf
+ 8019 01a2 02                  .byte   0x2
+ 8020 01a3 23                  .byte   0x23
+ 8021 01a4 01                  .uleb128 0x1
+ 8022 01a5 06                  .uleb128 0x6
+ 8023 01a6 0000 0000           .4byte  .LASF14
+ 8024 01aa 03                  .byte   0x3
+ 8025 01ab 2B01                .2byte  0x12b
+ 8026 01ad 0D01 0000           .4byte  0x10d
+ 8027 01b1 02                  .byte   0x2
+ 8028 01b2 01                  .byte   0x1
+ 8029 01b3 0E                  .byte   0xe
+ 8030 01b4 02                  .byte   0x2
+ 8031 01b5 23                  .byte   0x23
+ 8032 01b6 01                  .uleb128 0x1
+ 8033 01b7 06                  .uleb128 0x6
+ 8034 01b8 0000 0000           .4byte  .LASF22
+ 8035 01bc 03                  .byte   0x3
+ 8036 01bd 2C01                .2byte  0x12c
+ 8037 01bf 0D01 0000           .4byte  0x10d
+ 8038 01c3 02                  .byte   0x2
+ 8039 01c4 01                  .byte   0x1
+ 8040 01c5 0D                  .byte   0xd
+ 8041 01c6 02                  .byte   0x2
+ 8042 01c7 23                  .byte   0x23
+ 8043 01c8 01                  .uleb128 0x1
+ 8044 01c9 09                  .uleb128 0x9
+ 8045 01ca 7363 7300           .string "scs"
+ 8046 01ce 03                  .byte   0x3
+ 8047 01cf 2D01                .2byte  0x12d
+ 8048 01d1 0D01 0000           .4byte  0x10d
+ 8049 01d5 02                  .byte   0x2
+ 8050 01d6 01                  .byte   0x1
+ 8051 01d7 0C                  .byte   0xc
+ 8052 01d8 02                  .byte   0x2
+ 8053 01d9 23                  .byte   0x23
+ 8054 01da 01                  .uleb128 0x1
+ 8055 01db 06                  .uleb128 0x6
+ 8056 01dc 0000 0000           .4byte  .LASF23
+ 8057 01e0 03                  .byte   0x3
+ 8058 01e1 2E01                .2byte  0x12e
+ 8059 01e3 0D01 0000           .4byte  0x10d
+ 8060 01e7 02                  .byte   0x2
+ 8061 01e8 02                  .byte   0x2
+ 8062 01e9 0A                  .byte   0xa
+ 8063 01ea 02                  .byte   0x2
+ 8064 01eb 23                  .byte   0x23
+ 8065 01ec 01                  .uleb128 0x1
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 144
+
+
+ 8066 01ed 09                  .uleb128 0x9
+ 8067 01ee 636D 00             .string "cm"
+ 8068 01f1 03                  .byte   0x3
+ 8069 01f2 2F01                .2byte  0x12f
+ 8070 01f4 0D01 0000           .4byte  0x10d
+ 8071 01f8 02                  .byte   0x2
+ 8072 01f9 02                  .byte   0x2
+ 8073 01fa 08                  .byte   0x8
+ 8074 01fb 02                  .byte   0x2
+ 8075 01fc 23                  .byte   0x23
+ 8076 01fd 01                  .uleb128 0x1
+ 8077 01fe 00                  .byte   0x0
+ 8078 01ff 08                  .uleb128 0x8
+ 8079 0200 0000 0000           .4byte  .LASF24
+ 8080 0204 03                  .byte   0x3
+ 8081 0205 3001                .2byte  0x130
+ 8082 0207 1E01 0000           .4byte  0x11e
+ 8083 020b 0A                  .uleb128 0xa
+ 8084 020c 0000 0000           .4byte  .LASF42
+ 8085 0210 16                  .byte   0x16
+ 8086 0211 03                  .byte   0x3
+ 8087 0212 3401                .2byte  0x134
+ 8088 0214 9102 0000           .4byte  0x291
+ 8089 0218 0B                  .uleb128 0xb
+ 8090 0219 6374 6C00           .string "ctl"
+ 8091 021d 03                  .byte   0x3
+ 8092 021e 3501                .2byte  0x135
+ 8093 0220 1201 0000           .4byte  0x112
+ 8094 0224 02                  .byte   0x2
+ 8095 0225 23                  .byte   0x23
+ 8096 0226 00                  .uleb128 0x0
+ 8097 0227 0C                  .uleb128 0xc
+ 8098 0228 0000 0000           .4byte  .LASF25
+ 8099 022c 03                  .byte   0x3
+ 8100 022d 3601                .2byte  0x136
+ 8101 022f FF01 0000           .4byte  0x1ff
+ 8102 0233 02                  .byte   0x2
+ 8103 0234 23                  .byte   0x23
+ 8104 0235 02                  .uleb128 0x2
+ 8105 0236 0C                  .uleb128 0xc
+ 8106 0237 0000 0000           .4byte  .LASF26
+ 8107 023b 03                  .byte   0x3
+ 8108 023c 3701                .2byte  0x137
+ 8109 023e FF01 0000           .4byte  0x1ff
+ 8110 0242 02                  .byte   0x2
+ 8111 0243 23                  .byte   0x23
+ 8112 0244 04                  .uleb128 0x4
+ 8113 0245 0C                  .uleb128 0xc
+ 8114 0246 0000 0000           .4byte  .LASF27
+ 8115 024a 03                  .byte   0x3
+ 8116 024b 3B01                .2byte  0x13b
+ 8117 024d A402 0000           .4byte  0x2a4
+ 8118 0251 02                  .byte   0x2
+ 8119 0252 23                  .byte   0x23
+ 8120 0253 06                  .uleb128 0x6
+ 8121 0254 0C                  .uleb128 0xc
+ 8122 0255 0000 0000           .4byte  .LASF28
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 145
+
+
+ 8123 0259 03                  .byte   0x3
+ 8124 025a 3D01                .2byte  0x13d
+ 8125 025c B902 0000           .4byte  0x2b9
+ 8126 0260 02                  .byte   0x2
+ 8127 0261 23                  .byte   0x23
+ 8128 0262 08                  .uleb128 0x8
+ 8129 0263 0B                  .uleb128 0xb
+ 8130 0264 7461 7200           .string "tar"
+ 8131 0268 03                  .byte   0x3
+ 8132 0269 3E01                .2byte  0x13e
+ 8133 026b 0D01 0000           .4byte  0x10d
+ 8134 026f 02                  .byte   0x2
+ 8135 0270 23                  .byte   0x23
+ 8136 0271 10                  .uleb128 0x10
+ 8137 0272 0C                  .uleb128 0xc
+ 8138 0273 0000 0000           .4byte  .LASF29
+ 8139 0277 03                  .byte   0x3
+ 8140 0278 3F01                .2byte  0x13f
+ 8141 027a 0D01 0000           .4byte  0x10d
+ 8142 027e 02                  .byte   0x2
+ 8143 027f 23                  .byte   0x23
+ 8144 0280 12                  .uleb128 0x12
+ 8145 0281 0C                  .uleb128 0xc
+ 8146 0282 0000 0000           .4byte  .LASF30
+ 8147 0286 03                  .byte   0x3
+ 8148 0287 4001                .2byte  0x140
+ 8149 0289 0D01 0000           .4byte  0x10d
+ 8150 028d 02                  .byte   0x2
+ 8151 028e 23                  .byte   0x23
+ 8152 028f 14                  .uleb128 0x14
+ 8153 0290 00                  .byte   0x0
+ 8154 0291 0D                  .uleb128 0xd
+ 8155 0292 5700 0000           .4byte  0x57
+ 8156 0296 A102 0000           .4byte  0x2a1
+ 8157 029a 0E                  .uleb128 0xe
+ 8158 029b A102 0000           .4byte  0x2a1
+ 8159 029f 00                  .byte   0x0
+ 8160 02a0 00                  .byte   0x0
+ 8161 02a1 0F                  .uleb128 0xf
+ 8162 02a2 02                  .byte   0x2
+ 8163 02a3 07                  .byte   0x7
+ 8164 02a4 07                  .uleb128 0x7
+ 8165 02a5 9102 0000           .4byte  0x291
+ 8166 02a9 0D                  .uleb128 0xd
+ 8167 02aa 5700 0000           .4byte  0x57
+ 8168 02ae B902 0000           .4byte  0x2b9
+ 8169 02b2 0E                  .uleb128 0xe
+ 8170 02b3 A102 0000           .4byte  0x2a1
+ 8171 02b7 03                  .byte   0x3
+ 8172 02b8 00                  .byte   0x0
+ 8173 02b9 07                  .uleb128 0x7
+ 8174 02ba A902 0000           .4byte  0x2a9
+ 8175 02be 10                  .uleb128 0x10
+ 8176 02bf 02                  .byte   0x2
+ 8177 02c0 04                  .byte   0x4
+ 8178 02c1 1D                  .byte   0x1d
+ 8179 02c2 4F03 0000           .4byte  0x34f
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 146
+
+
+ 8180 02c6 11                  .uleb128 0x11
+ 8181 02c7 0000 0000           .4byte  .LASF31
+ 8182 02cb 04                  .byte   0x4
+ 8183 02cc 1E                  .byte   0x1e
+ 8184 02cd 3300 0000           .4byte  0x33
+ 8185 02d1 01                  .byte   0x1
+ 8186 02d2 01                  .byte   0x1
+ 8187 02d3 07                  .byte   0x7
+ 8188 02d4 02                  .byte   0x2
+ 8189 02d5 23                  .byte   0x23
+ 8190 02d6 00                  .uleb128 0x0
+ 8191 02d7 11                  .uleb128 0x11
+ 8192 02d8 0000 0000           .4byte  .LASF32
+ 8193 02dc 04                  .byte   0x4
+ 8194 02dd 1F                  .byte   0x1f
+ 8195 02de 3300 0000           .4byte  0x33
+ 8196 02e2 01                  .byte   0x1
+ 8197 02e3 01                  .byte   0x1
+ 8198 02e4 06                  .byte   0x6
+ 8199 02e5 02                  .byte   0x2
+ 8200 02e6 23                  .byte   0x23
+ 8201 02e7 00                  .uleb128 0x0
+ 8202 02e8 11                  .uleb128 0x11
+ 8203 02e9 0000 0000           .4byte  .LASF33
+ 8204 02ed 04                  .byte   0x4
+ 8205 02ee 20                  .byte   0x20
+ 8206 02ef 3300 0000           .4byte  0x33
+ 8207 02f3 01                  .byte   0x1
+ 8208 02f4 01                  .byte   0x1
+ 8209 02f5 05                  .byte   0x5
+ 8210 02f6 02                  .byte   0x2
+ 8211 02f7 23                  .byte   0x23
+ 8212 02f8 00                  .uleb128 0x0
+ 8213 02f9 11                  .uleb128 0x11
+ 8214 02fa 0000 0000           .4byte  .LASF34
+ 8215 02fe 04                  .byte   0x4
+ 8216 02ff 21                  .byte   0x21
+ 8217 0300 3300 0000           .4byte  0x33
+ 8218 0304 01                  .byte   0x1
+ 8219 0305 01                  .byte   0x1
+ 8220 0306 04                  .byte   0x4
+ 8221 0307 02                  .byte   0x2
+ 8222 0308 23                  .byte   0x23
+ 8223 0309 00                  .uleb128 0x0
+ 8224 030a 11                  .uleb128 0x11
+ 8225 030b 0000 0000           .4byte  .LASF35
+ 8226 030f 04                  .byte   0x4
+ 8227 0310 22                  .byte   0x22
+ 8228 0311 3300 0000           .4byte  0x33
+ 8229 0315 01                  .byte   0x1
+ 8230 0316 01                  .byte   0x1
+ 8231 0317 03                  .byte   0x3
+ 8232 0318 02                  .byte   0x2
+ 8233 0319 23                  .byte   0x23
+ 8234 031a 00                  .uleb128 0x0
+ 8235 031b 11                  .uleb128 0x11
+ 8236 031c 0000 0000           .4byte  .LASF36
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 147
+
+
+ 8237 0320 04                  .byte   0x4
+ 8238 0321 23                  .byte   0x23
+ 8239 0322 3300 0000           .4byte  0x33
+ 8240 0326 01                  .byte   0x1
+ 8241 0327 01                  .byte   0x1
+ 8242 0328 02                  .byte   0x2
+ 8243 0329 02                  .byte   0x2
+ 8244 032a 23                  .byte   0x23
+ 8245 032b 00                  .uleb128 0x0
+ 8246 032c 11                  .uleb128 0x11
+ 8247 032d 0000 0000           .4byte  .LASF37
+ 8248 0331 04                  .byte   0x4
+ 8249 0332 24                  .byte   0x24
+ 8250 0333 3300 0000           .4byte  0x33
+ 8251 0337 01                  .byte   0x1
+ 8252 0338 01                  .byte   0x1
+ 8253 0339 01                  .byte   0x1
+ 8254 033a 02                  .byte   0x2
+ 8255 033b 23                  .byte   0x23
+ 8256 033c 00                  .uleb128 0x0
+ 8257 033d 11                  .uleb128 0x11
+ 8258 033e 0000 0000           .4byte  .LASF38
+ 8259 0342 04                  .byte   0x4
+ 8260 0343 25                  .byte   0x25
+ 8261 0344 3300 0000           .4byte  0x33
+ 8262 0348 01                  .byte   0x1
+ 8263 0349 01                  .byte   0x1
+ 8264 034a 00                  .byte   0x0
+ 8265 034b 02                  .byte   0x2
+ 8266 034c 23                  .byte   0x23
+ 8267 034d 00                  .uleb128 0x0
+ 8268 034e 00                  .byte   0x0
+ 8269 034f 12                  .uleb128 0x12
+ 8270 0350 0000 0000           .4byte  .LASF301
+ 8271 0354 02                  .byte   0x2
+ 8272 0355 04                  .byte   0x4
+ 8273 0356 1B                  .byte   0x1b
+ 8274 0357 7203 0000           .4byte  0x372
+ 8275 035b 13                  .uleb128 0x13
+ 8276 035c 0000 0000           .4byte  .LASF39
+ 8277 0360 04                  .byte   0x4
+ 8278 0361 1C                  .byte   0x1c
+ 8279 0362 7203 0000           .4byte  0x372
+ 8280 0366 13                  .uleb128 0x13
+ 8281 0367 0000 0000           .4byte  .LASF40
+ 8282 036b 04                  .byte   0x4
+ 8283 036c 26                  .byte   0x26
+ 8284 036d 7703 0000           .4byte  0x377
+ 8285 0371 00                  .byte   0x0
+ 8286 0372 07                  .uleb128 0x7
+ 8287 0373 3300 0000           .4byte  0x33
+ 8288 0377 07                  .uleb128 0x7
+ 8289 0378 BE02 0000           .4byte  0x2be
+ 8290 037c 03                  .uleb128 0x3
+ 8291 037d 0000 0000           .4byte  .LASF41
+ 8292 0381 04                  .byte   0x4
+ 8293 0382 27                  .byte   0x27
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 148
+
+
+ 8294 0383 4F03 0000           .4byte  0x34f
+ 8295 0387 14                  .uleb128 0x14
+ 8296 0388 0000 0000           .4byte  .LASF43
+ 8297 038c 10                  .byte   0x10
+ 8298 038d 04                  .byte   0x4
+ 8299 038e 6C                  .byte   0x6c
+ 8300 038f 0204 0000           .4byte  0x402
+ 8301 0393 15                  .uleb128 0x15
+ 8302 0394 696E 00             .string "in"
+ 8303 0397 04                  .byte   0x4
+ 8304 0398 6D                  .byte   0x6d
+ 8305 0399 7C03 0000           .4byte  0x37c
+ 8306 039d 02                  .byte   0x2
+ 8307 039e 23                  .byte   0x23
+ 8308 039f 00                  .uleb128 0x0
+ 8309 03a0 15                  .uleb128 0x15
+ 8310 03a1 6F75 7400           .string "out"
+ 8311 03a5 04                  .byte   0x4
+ 8312 03a6 6E                  .byte   0x6e
+ 8313 03a7 7C03 0000           .4byte  0x37c
+ 8314 03ab 02                  .byte   0x2
+ 8315 03ac 23                  .byte   0x23
+ 8316 03ad 02                  .uleb128 0x2
+ 8317 03ae 15                  .uleb128 0x15
+ 8318 03af 6469 7200           .string "dir"
+ 8319 03b3 04                  .byte   0x4
+ 8320 03b4 6F                  .byte   0x6f
+ 8321 03b5 7C03 0000           .4byte  0x37c
+ 8322 03b9 02                  .byte   0x2
+ 8323 03ba 23                  .byte   0x23
+ 8324 03bb 04                  .uleb128 0x4
+ 8325 03bc 15                  .uleb128 0x15
+ 8326 03bd 6966 6700           .string "ifg"
+ 8327 03c1 04                  .byte   0x4
+ 8328 03c2 70                  .byte   0x70
+ 8329 03c3 7C03 0000           .4byte  0x37c
+ 8330 03c7 02                  .byte   0x2
+ 8331 03c8 23                  .byte   0x23
+ 8332 03c9 06                  .uleb128 0x6
+ 8333 03ca 15                  .uleb128 0x15
+ 8334 03cb 6965 7300           .string "ies"
+ 8335 03cf 04                  .byte   0x4
+ 8336 03d0 71                  .byte   0x71
+ 8337 03d1 7C03 0000           .4byte  0x37c
+ 8338 03d5 02                  .byte   0x2
+ 8339 03d6 23                  .byte   0x23
+ 8340 03d7 08                  .uleb128 0x8
+ 8341 03d8 15                  .uleb128 0x15
+ 8342 03d9 6965 00             .string "ie"
+ 8343 03dc 04                  .byte   0x4
+ 8344 03dd 72                  .byte   0x72
+ 8345 03de 7C03 0000           .4byte  0x37c
+ 8346 03e2 02                  .byte   0x2
+ 8347 03e3 23                  .byte   0x23
+ 8348 03e4 0A                  .uleb128 0xa
+ 8349 03e5 15                  .uleb128 0x15
+ 8350 03e6 7365 6C00           .string "sel"
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 149
+
+
+ 8351 03ea 04                  .byte   0x4
+ 8352 03eb 73                  .byte   0x73
+ 8353 03ec 7C03 0000           .4byte  0x37c
+ 8354 03f0 02                  .byte   0x2
+ 8355 03f1 23                  .byte   0x23
+ 8356 03f2 0C                  .uleb128 0xc
+ 8357 03f3 15                  .uleb128 0x15
+ 8358 03f4 7265 6E00           .string "ren"
+ 8359 03f8 04                  .byte   0x4
+ 8360 03f9 75                  .byte   0x75
+ 8361 03fa 7C03 0000           .4byte  0x37c
+ 8362 03fe 02                  .byte   0x2
+ 8363 03ff 23                  .byte   0x23
+ 8364 0400 0E                  .uleb128 0xe
+ 8365 0401 00                  .byte   0x0
+ 8366 0402 16                  .uleb128 0x16
+ 8367 0403 01                  .byte   0x1
+ 8368 0404 0000 0000           .4byte  .LASF44
+ 8369 0408 01                  .byte   0x1
+ 8370 0409 3C02                .2byte  0x23c
+ 8371 040b 01                  .byte   0x1
+ 8372 040c 01                  .byte   0x1
+ 8373 040d 2604 0000           .4byte  0x426
+ 8374 0411 17                  .uleb128 0x17
+ 8375 0412 6300                .string "c"
+ 8376 0414 01                  .byte   0x1
+ 8377 0415 3C02                .2byte  0x23c
+ 8378 0417 2800 0000           .4byte  0x28
+ 8379 041b 18                  .uleb128 0x18
+ 8380 041c 6900                .string "i"
+ 8381 041e 01                  .byte   0x1
+ 8382 041f 3D02                .2byte  0x23d
+ 8383 0421 2800 0000           .4byte  0x28
+ 8384 0425 00                  .byte   0x0
+ 8385 0426 19                  .uleb128 0x19
+ 8386 0427 01                  .byte   0x1
+ 8387 0428 0000 0000           .4byte  .LASF45
+ 8388 042c 01                  .byte   0x1
+ 8389 042d 4802                .2byte  0x248
+ 8390 042f 2800 0000           .4byte  0x28
+ 8391 0433 01                  .byte   0x1
+ 8392 0434 4304 0000           .4byte  0x443
+ 8393 0438 18                  .uleb128 0x18
+ 8394 0439 6900                .string "i"
+ 8395 043b 01                  .byte   0x1
+ 8396 043c 4902                .2byte  0x249
+ 8397 043e 2800 0000           .4byte  0x28
+ 8398 0442 00                  .byte   0x0
+ 8399 0443 19                  .uleb128 0x19
+ 8400 0444 01                  .byte   0x1
+ 8401 0445 0000 0000           .4byte  .LASF46
+ 8402 0449 01                  .byte   0x1
+ 8403 044a EC02                .2byte  0x2ec
+ 8404 044c 2800 0000           .4byte  0x28
+ 8405 0450 01                  .byte   0x1
+ 8406 0451 6004 0000           .4byte  0x460
+ 8407 0455 18                  .uleb128 0x18
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 150
+
+
+ 8408 0456 6900                .string "i"
+ 8409 0458 01                  .byte   0x1
+ 8410 0459 ED02                .2byte  0x2ed
+ 8411 045b 2800 0000           .4byte  0x28
+ 8412 045f 00                  .byte   0x0
+ 8413 0460 16                  .uleb128 0x16
+ 8414 0461 01                  .byte   0x1
+ 8415 0462 0000 0000           .4byte  .LASF47
+ 8416 0466 01                  .byte   0x1
+ 8417 0467 3F03                .2byte  0x33f
+ 8418 0469 01                  .byte   0x1
+ 8419 046a 01                  .byte   0x1
+ 8420 046b 7A04 0000           .4byte  0x47a
+ 8421 046f 17                  .uleb128 0x17
+ 8422 0470 6300                .string "c"
+ 8423 0472 01                  .byte   0x1
+ 8424 0473 3F03                .2byte  0x33f
+ 8425 0475 7A04 0000           .4byte  0x47a
+ 8426 0479 00                  .byte   0x0
+ 8427 047a 1A                  .uleb128 0x1a
+ 8428 047b 02                  .byte   0x2
+ 8429 047c 8004 0000           .4byte  0x480
+ 8430 0480 1B                  .uleb128 0x1b
+ 8431 0481 2800 0000           .4byte  0x28
+ 8432 0485 16                  .uleb128 0x16
+ 8433 0486 01                  .byte   0x1
+ 8434 0487 0000 0000           .4byte  .LASF48
+ 8435 048b 01                  .byte   0x1
+ 8436 048c 6503                .2byte  0x365
+ 8437 048e 01                  .byte   0x1
+ 8438 048f 01                  .byte   0x1
+ 8439 0490 A904 0000           .4byte  0x4a9
+ 8440 0494 17                  .uleb128 0x17
+ 8441 0495 6E00                .string "n"
+ 8442 0497 01                  .byte   0x1
+ 8443 0498 6503                .2byte  0x365
+ 8444 049a 3A00 0000           .4byte  0x3a
+ 8445 049e 18                  .uleb128 0x18
+ 8446 049f 6900                .string "i"
+ 8447 04a1 01                  .byte   0x1
+ 8448 04a2 6603                .2byte  0x366
+ 8449 04a4 4C00 0000           .4byte  0x4c
+ 8450 04a8 00                  .byte   0x0
+ 8451 04a9 19                  .uleb128 0x19
+ 8452 04aa 01                  .byte   0x1
+ 8453 04ab 0000 0000           .4byte  .LASF49
+ 8454 04af 01                  .byte   0x1
+ 8455 04b0 5A03                .2byte  0x35a
+ 8456 04b2 3A00 0000           .4byte  0x3a
+ 8457 04b6 01                  .byte   0x1
+ 8458 04b7 D004 0000           .4byte  0x4d0
+ 8459 04bb 18                  .uleb128 0x18
+ 8460 04bc 6900                .string "i"
+ 8461 04be 01                  .byte   0x1
+ 8462 04bf 5B03                .2byte  0x35b
+ 8463 04c1 3A00 0000           .4byte  0x3a
+ 8464 04c5 18                  .uleb128 0x18
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 151
+
+
+ 8465 04c6 6A00                .string "j"
+ 8466 04c8 01                  .byte   0x1
+ 8467 04c9 5B03                .2byte  0x35b
+ 8468 04cb 3A00 0000           .4byte  0x3a
+ 8469 04cf 00                  .byte   0x0
+ 8470 04d0 16                  .uleb128 0x16
+ 8471 04d1 01                  .byte   0x1
+ 8472 04d2 0000 0000           .4byte  .LASF50
+ 8473 04d6 01                  .byte   0x1
+ 8474 04d7 3D04                .2byte  0x43d
+ 8475 04d9 01                  .byte   0x1
+ 8476 04da 01                  .byte   0x1
+ 8477 04db EA04 0000           .4byte  0x4ea
+ 8478 04df 17                  .uleb128 0x17
+ 8479 04e0 6E00                .string "n"
+ 8480 04e2 01                  .byte   0x1
+ 8481 04e3 3D04                .2byte  0x43d
+ 8482 04e5 3A00 0000           .4byte  0x3a
+ 8483 04e9 00                  .byte   0x0
+ 8484 04ea 16                  .uleb128 0x16
+ 8485 04eb 01                  .byte   0x1
+ 8486 04ec 0000 0000           .4byte  .LASF51
+ 8487 04f0 01                  .byte   0x1
+ 8488 04f1 7403                .2byte  0x374
+ 8489 04f3 01                  .byte   0x1
+ 8490 04f4 01                  .byte   0x1
+ 8491 04f5 0405 0000           .4byte  0x504
+ 8492 04f9 17                  .uleb128 0x17
+ 8493 04fa 6E00                .string "n"
+ 8494 04fc 01                  .byte   0x1
+ 8495 04fd 7403                .2byte  0x374
+ 8496 04ff 3A00 0000           .4byte  0x3a
+ 8497 0503 00                  .byte   0x0
+ 8498 0504 19                  .uleb128 0x19
+ 8499 0505 01                  .byte   0x1
+ 8500 0506 0000 0000           .4byte  .LASF52
+ 8501 050a 01                  .byte   0x1
+ 8502 050b 6D03                .2byte  0x36d
+ 8503 050d 3A00 0000           .4byte  0x3a
+ 8504 0511 01                  .byte   0x1
+ 8505 0512 2105 0000           .4byte  0x521
+ 8506 0516 18                  .uleb128 0x18
+ 8507 0517 6A00                .string "j"
+ 8508 0519 01                  .byte   0x1
+ 8509 051a 6E03                .2byte  0x36e
+ 8510 051c 3A00 0000           .4byte  0x3a
+ 8511 0520 00                  .byte   0x0
+ 8512 0521 1C                  .uleb128 0x1c
+ 8513 0522 01                  .byte   0x1
+ 8514 0523 0000 0000           .4byte  .LASF53
+ 8515 0527 01                  .byte   0x1
+ 8516 0528 0304                .2byte  0x403
+ 8517 052a 01                  .byte   0x1
+ 8518 052b 3A05 0000           .4byte  0x53a
+ 8519 052f 18                  .uleb128 0x18
+ 8520 0530 6900                .string "i"
+ 8521 0532 01                  .byte   0x1
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 152
+
+
+ 8522 0533 0404                .2byte  0x404
+ 8523 0535 3A00 0000           .4byte  0x3a
+ 8524 0539 00                  .byte   0x0
+ 8525 053a 1C                  .uleb128 0x1c
+ 8526 053b 01                  .byte   0x1
+ 8527 053c 0000 0000           .4byte  .LASF54
+ 8528 0540 01                  .byte   0x1
+ 8529 0541 0E04                .2byte  0x40e
+ 8530 0543 01                  .byte   0x1
+ 8531 0544 5305 0000           .4byte  0x553
+ 8532 0548 18                  .uleb128 0x18
+ 8533 0549 6900                .string "i"
+ 8534 054b 01                  .byte   0x1
+ 8535 054c 0F04                .2byte  0x40f
+ 8536 054e 3A00 0000           .4byte  0x3a
+ 8537 0552 00                  .byte   0x0
+ 8538 0553 1D                  .uleb128 0x1d
+ 8539 0554 01                  .byte   0x1
+ 8540 0555 0000 0000           .4byte  .LASF302
+ 8541 0559 01                  .byte   0x1
+ 8542 055a D602                .2byte  0x2d6
+ 8543 055c 01                  .byte   0x1
+ 8544 055d 1E                  .uleb128 0x1e
+ 8545 055e 01                  .byte   0x1
+ 8546 055f 0000 0000           .4byte  .LASF55
+ 8547 0563 01                  .byte   0x1
+ 8548 0564 AB01                .2byte  0x1ab
+ 8549 0566 01                  .byte   0x1
+ 8550 0567 0000                .2byte  .LFB0
+ 8551 0569 0000                .2byte  .LFE0
+ 8552 056b 02                  .byte   0x2
+ 8553 056c 71                  .byte   0x71
+ 8554 056d 02                  .sleb128 2
+ 8555 056e 1E                  .uleb128 0x1e
+ 8556 056f 01                  .byte   0x1
+ 8557 0570 0000 0000           .4byte  .LASF56
+ 8558 0574 01                  .byte   0x1
+ 8559 0575 B501                .2byte  0x1b5
+ 8560 0577 01                  .byte   0x1
+ 8561 0578 0000                .2byte  .LFB1
+ 8562 057a 0000                .2byte  .LFE1
+ 8563 057c 02                  .byte   0x2
+ 8564 057d 71                  .byte   0x71
+ 8565 057e 02                  .sleb128 2
+ 8566 057f 1F                  .uleb128 0x1f
+ 8567 0580 01                  .byte   0x1
+ 8568 0581 0000 0000           .4byte  .LASF57
+ 8569 0585 01                  .byte   0x1
+ 8570 0586 BA01                .2byte  0x1ba
+ 8571 0588 01                  .byte   0x1
+ 8572 0589 0000                .2byte  .LFB2
+ 8573 058b 0000                .2byte  .LFE2
+ 8574 058d 0000 0000           .4byte  .LLST2
+ 8575 0591 1F                  .uleb128 0x1f
+ 8576 0592 01                  .byte   0x1
+ 8577 0593 0000 0000           .4byte  .LASF58
+ 8578 0597 01                  .byte   0x1
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 153
+
+
+ 8579 0598 E001                .2byte  0x1e0
+ 8580 059a 01                  .byte   0x1
+ 8581 059b 0000                .2byte  .LFB3
+ 8582 059d 0000                .2byte  .LFE3
+ 8583 059f 0000 0000           .4byte  .LLST3
+ 8584 05a3 20                  .uleb128 0x20
+ 8585 05a4 0204 0000           .4byte  0x402
+ 8586 05a8 0000                .2byte  .LFB5
+ 8587 05aa 0000                .2byte  .LFE5
+ 8588 05ac 02                  .byte   0x2
+ 8589 05ad 71                  .byte   0x71
+ 8590 05ae 02                  .sleb128 2
+ 8591 05af C205 0000           .4byte  0x5c2
+ 8592 05b3 21                  .uleb128 0x21
+ 8593 05b4 1104 0000           .4byte  0x411
+ 8594 05b8 0000 0000           .4byte  .LLST5
+ 8595 05bc 22                  .uleb128 0x22
+ 8596 05bd 1B04 0000           .4byte  0x41b
+ 8597 05c1 00                  .byte   0x0
+ 8598 05c2 20                  .uleb128 0x20
+ 8599 05c3 2604 0000           .4byte  0x426
+ 8600 05c7 0000                .2byte  .LFB6
+ 8601 05c9 0000                .2byte  .LFE6
+ 8602 05cb 02                  .byte   0x2
+ 8603 05cc 71                  .byte   0x71
+ 8604 05cd 02                  .sleb128 2
+ 8605 05ce D805 0000           .4byte  0x5d8
+ 8606 05d2 22                  .uleb128 0x22
+ 8607 05d3 3804 0000           .4byte  0x438
+ 8608 05d7 00                  .byte   0x0
+ 8609 05d8 23                  .uleb128 0x23
+ 8610 05d9 01                  .byte   0x1
+ 8611 05da 0000 0000           .4byte  .LASF59
+ 8612 05de 01                  .byte   0x1
+ 8613 05df 5502                .2byte  0x255
+ 8614 05e1 01                  .byte   0x1
+ 8615 05e2 0000                .2byte  .LFB7
+ 8616 05e4 0000                .2byte  .LFE7
+ 8617 05e6 02                  .byte   0x2
+ 8618 05e7 71                  .byte   0x71
+ 8619 05e8 02                  .sleb128 2
+ 8620 05e9 0406 0000           .4byte  0x604
+ 8621 05ed 24                  .uleb128 0x24
+ 8622 05ee 7600                .string "v"
+ 8623 05f0 01                  .byte   0x1
+ 8624 05f1 5502                .2byte  0x255
+ 8625 05f3 0406 0000           .4byte  0x604
+ 8626 05f7 01                  .byte   0x1
+ 8627 05f8 5F                  .byte   0x5f
+ 8628 05f9 18                  .uleb128 0x18
+ 8629 05fa 6900                .string "i"
+ 8630 05fc 01                  .byte   0x1
+ 8631 05fd 5602                .2byte  0x256
+ 8632 05ff 2800 0000           .4byte  0x28
+ 8633 0603 00                  .byte   0x0
+ 8634 0604 1A                  .uleb128 0x1a
+ 8635 0605 02                  .byte   0x2
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 154
+
+
+ 8636 0606 4C00 0000           .4byte  0x4c
+ 8637 060a 23                  .uleb128 0x23
+ 8638 060b 01                  .byte   0x1
+ 8639 060c 0000 0000           .4byte  .LASF60
+ 8640 0610 01                  .byte   0x1
+ 8641 0611 6602                .2byte  0x266
+ 8642 0613 01                  .byte   0x1
+ 8643 0614 0000                .2byte  .LFB8
+ 8644 0616 0000                .2byte  .LFE8
+ 8645 0618 02                  .byte   0x2
+ 8646 0619 71                  .byte   0x71
+ 8647 061a 02                  .sleb128 2
+ 8648 061b 4206 0000           .4byte  0x642
+ 8649 061f 24                  .uleb128 0x24
+ 8650 0620 6300                .string "c"
+ 8651 0622 01                  .byte   0x1
+ 8652 0623 6602                .2byte  0x266
+ 8653 0625 2800 0000           .4byte  0x28
+ 8654 0629 01                  .byte   0x1
+ 8655 062a 5F                  .byte   0x5f
+ 8656 062b 24                  .uleb128 0x24
+ 8657 062c 7600                .string "v"
+ 8658 062e 01                  .byte   0x1
+ 8659 062f 6602                .2byte  0x266
+ 8660 0631 4C00 0000           .4byte  0x4c
+ 8661 0635 01                  .byte   0x1
+ 8662 0636 5E                  .byte   0x5e
+ 8663 0637 18                  .uleb128 0x18
+ 8664 0638 7800                .string "x"
+ 8665 063a 01                  .byte   0x1
+ 8666 063b 6802                .2byte  0x268
+ 8667 063d 4C00 0000           .4byte  0x4c
+ 8668 0641 00                  .byte   0x0
+ 8669 0642 23                  .uleb128 0x23
+ 8670 0643 01                  .byte   0x1
+ 8671 0644 0000 0000           .4byte  .LASF61
+ 8672 0648 01                  .byte   0x1
+ 8673 0649 8202                .2byte  0x282
+ 8674 064b 01                  .byte   0x1
+ 8675 064c 0000                .2byte  .LFB9
+ 8676 064e 0000                .2byte  .LFE9
+ 8677 0650 02                  .byte   0x2
+ 8678 0651 71                  .byte   0x71
+ 8679 0652 02                  .sleb128 2
+ 8680 0653 8806 0000           .4byte  0x688
+ 8681 0657 25                  .uleb128 0x25
+ 8682 0658 6300                .string "c"
+ 8683 065a 01                  .byte   0x1
+ 8684 065b 8202                .2byte  0x282
+ 8685 065d 2800 0000           .4byte  0x28
+ 8686 0661 0000 0000           .4byte  .LLST10
+ 8687 0665 25                  .uleb128 0x25
+ 8688 0666 7600                .string "v"
+ 8689 0668 01                  .byte   0x1
+ 8690 0669 8202                .2byte  0x282
+ 8691 066b 4C00 0000           .4byte  0x4c
+ 8692 066f 0000 0000           .4byte  .LLST11
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 155
+
+
+ 8693 0673 18                  .uleb128 0x18
+ 8694 0674 6900                .string "i"
+ 8695 0676 01                  .byte   0x1
+ 8696 0677 8502                .2byte  0x285
+ 8697 0679 2800 0000           .4byte  0x28
+ 8698 067d 18                  .uleb128 0x18
+ 8699 067e 6B00                .string "k"
+ 8700 0680 01                  .byte   0x1
+ 8701 0681 8602                .2byte  0x286
+ 8702 0683 4C00 0000           .4byte  0x4c
+ 8703 0687 00                  .byte   0x0
+ 8704 0688 26                  .uleb128 0x26
+ 8705 0689 01                  .byte   0x1
+ 8706 068a 0000 0000           .4byte  .LASF303
+ 8707 068e 01                  .byte   0x1
+ 8708 068f 9602                .2byte  0x296
+ 8709 0691 0000                .2byte  .LFB10
+ 8710 0693 0000                .2byte  .LFE10
+ 8711 0695 0000 0000           .4byte  .LLST12
+ 8712 0699 27                  .uleb128 0x27
+ 8713 069a 01                  .byte   0x1
+ 8714 069b 0000 0000           .4byte  .LASF62
+ 8715 069f 01                  .byte   0x1
+ 8716 06a0 A002                .2byte  0x2a0
+ 8717 06a2 0000                .2byte  .LFB11
+ 8718 06a4 0000                .2byte  .LFE11
+ 8719 06a6 0000 0000           .4byte  .LLST13
+ 8720 06aa BD06 0000           .4byte  0x6bd
+ 8721 06ae 28                  .uleb128 0x28
+ 8722 06af 6900                .string "i"
+ 8723 06b1 01                  .byte   0x1
+ 8724 06b2 A102                .2byte  0x2a1
+ 8725 06b4 2800 0000           .4byte  0x28
+ 8726 06b8 0000 0000           .4byte  .LLST14
+ 8727 06bc 00                  .byte   0x0
+ 8728 06bd 27                  .uleb128 0x27
+ 8729 06be 01                  .byte   0x1
+ 8730 06bf 0000 0000           .4byte  .LASF63
+ 8731 06c3 01                  .byte   0x1
+ 8732 06c4 C802                .2byte  0x2c8
+ 8733 06c6 0000                .2byte  .LFB12
+ 8734 06c8 0000                .2byte  .LFE12
+ 8735 06ca 0000 0000           .4byte  .LLST15
+ 8736 06ce E006 0000           .4byte  0x6e0
+ 8737 06d2 29                  .uleb128 0x29
+ 8738 06d3 7600                .string "v"
+ 8739 06d5 01                  .byte   0x1
+ 8740 06d6 C902                .2byte  0x2c9
+ 8741 06d8 E006 0000           .4byte  0x6e0
+ 8742 06dc 02                  .byte   0x2
+ 8743 06dd 91                  .byte   0x91
+ 8744 06de 00                  .sleb128 0
+ 8745 06df 00                  .byte   0x0
+ 8746 06e0 0D                  .uleb128 0xd
+ 8747 06e1 4C00 0000           .4byte  0x4c
+ 8748 06e5 F006 0000           .4byte  0x6f0
+ 8749 06e9 0E                  .uleb128 0xe
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 156
+
+
+ 8750 06ea A102 0000           .4byte  0x2a1
+ 8751 06ee 02                  .byte   0x2
+ 8752 06ef 00                  .byte   0x0
+ 8753 06f0 2A                  .uleb128 0x2a
+ 8754 06f1 5305 0000           .4byte  0x553
+ 8755 06f5 0000                .2byte  .LFB13
+ 8756 06f7 0000                .2byte  .LFE13
+ 8757 06f9 02                  .byte   0x2
+ 8758 06fa 71                  .byte   0x71
+ 8759 06fb 02                  .sleb128 2
+ 8760 06fc 20                  .uleb128 0x20
+ 8761 06fd 4304 0000           .4byte  0x443
+ 8762 0701 0000                .2byte  .LFB14
+ 8763 0703 0000                .2byte  .LFE14
+ 8764 0705 02                  .byte   0x2
+ 8765 0706 71                  .byte   0x71
+ 8766 0707 02                  .sleb128 2
+ 8767 0708 1607 0000           .4byte  0x716
+ 8768 070c 2B                  .uleb128 0x2b
+ 8769 070d 5504 0000           .4byte  0x455
+ 8770 0711 0000 0000           .4byte  .LLST18
+ 8771 0715 00                  .byte   0x0
+ 8772 0716 27                  .uleb128 0x27
+ 8773 0717 01                  .byte   0x1
+ 8774 0718 0000 0000           .4byte  .LASF64
+ 8775 071c 01                  .byte   0x1
+ 8776 071d FA02                .2byte  0x2fa
+ 8777 071f 0000                .2byte  .LFB15
+ 8778 0721 0000                .2byte  .LFE15
+ 8779 0723 0000 0000           .4byte  .LLST19
+ 8780 0727 5E08 0000           .4byte  0x85e
+ 8781 072b 28                  .uleb128 0x28
+ 8782 072c 6900                .string "i"
+ 8783 072e 01                  .byte   0x1
+ 8784 072f FB02                .2byte  0x2fb
+ 8785 0731 3A00 0000           .4byte  0x3a
+ 8786 0735 0000 0000           .4byte  .LLST20
+ 8787 0739 2C                  .uleb128 0x2c
+ 8788 073a 0204 0000           .4byte  0x402
+ 8789 073e 0000                .2byte  .LBB244
+ 8790 0740 0000                .2byte  .LBE244
+ 8791 0742 01                  .byte   0x1
+ 8792 0743 FE02                .2byte  0x2fe
+ 8793 0745 5A07 0000           .4byte  0x75a
+ 8794 0749 2D                  .uleb128 0x2d
+ 8795 074a B305 0000           .4byte  0x5b3
+ 8796 074e 2E                  .uleb128 0x2e
+ 8797 074f 0000                .2byte  .LBB245
+ 8798 0751 0000                .2byte  .LBE245
+ 8799 0753 22                  .uleb128 0x22
+ 8800 0754 1B04 0000           .4byte  0x41b
+ 8801 0758 00                  .byte   0x0
+ 8802 0759 00                  .byte   0x0
+ 8803 075a 2C                  .uleb128 0x2c
+ 8804 075b 0204 0000           .4byte  0x402
+ 8805 075f 0000                .2byte  .LBB246
+ 8806 0761 0000                .2byte  .LBE246
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 157
+
+
+ 8807 0763 01                  .byte   0x1
+ 8808 0764 FF02                .2byte  0x2ff
+ 8809 0766 7B07 0000           .4byte  0x77b
+ 8810 076a 2D                  .uleb128 0x2d
+ 8811 076b B305 0000           .4byte  0x5b3
+ 8812 076f 2E                  .uleb128 0x2e
+ 8813 0770 0000                .2byte  .LBB247
+ 8814 0772 0000                .2byte  .LBE247
+ 8815 0774 22                  .uleb128 0x22
+ 8816 0775 1B04 0000           .4byte  0x41b
+ 8817 0779 00                  .byte   0x0
+ 8818 077a 00                  .byte   0x0
+ 8819 077b 2C                  .uleb128 0x2c
+ 8820 077c 0204 0000           .4byte  0x402
+ 8821 0780 0000                .2byte  .LBB248
+ 8822 0782 0000                .2byte  .LBE248
+ 8823 0784 01                  .byte   0x1
+ 8824 0785 0003                .2byte  0x300
+ 8825 0787 9C07 0000           .4byte  0x79c
+ 8826 078b 2D                  .uleb128 0x2d
+ 8827 078c B305 0000           .4byte  0x5b3
+ 8828 0790 2E                  .uleb128 0x2e
+ 8829 0791 0000                .2byte  .LBB249
+ 8830 0793 0000                .2byte  .LBE249
+ 8831 0795 22                  .uleb128 0x22
+ 8832 0796 1B04 0000           .4byte  0x41b
+ 8833 079a 00                  .byte   0x0
+ 8834 079b 00                  .byte   0x0
+ 8835 079c 2C                  .uleb128 0x2c
+ 8836 079d 2604 0000           .4byte  0x426
+ 8837 07a1 0000                .2byte  .LBB250
+ 8838 07a3 0000                .2byte  .LBE250
+ 8839 07a5 01                  .byte   0x1
+ 8840 07a6 0403                .2byte  0x304
+ 8841 07a8 BC07 0000           .4byte  0x7bc
+ 8842 07ac 2E                  .uleb128 0x2e
+ 8843 07ad 0000                .2byte  .LBB251
+ 8844 07af 0000                .2byte  .LBE251
+ 8845 07b1 2B                  .uleb128 0x2b
+ 8846 07b2 3804 0000           .4byte  0x438
+ 8847 07b6 0000 0000           .4byte  .LLST21
+ 8848 07ba 00                  .byte   0x0
+ 8849 07bb 00                  .byte   0x0
+ 8850 07bc 2C                  .uleb128 0x2c
+ 8851 07bd 0204 0000           .4byte  0x402
+ 8852 07c1 0000                .2byte  .LBB252
+ 8853 07c3 0000                .2byte  .LBE252
+ 8854 07c5 01                  .byte   0x1
+ 8855 07c6 0D03                .2byte  0x30d
+ 8856 07c8 DD07 0000           .4byte  0x7dd
+ 8857 07cc 2D                  .uleb128 0x2d
+ 8858 07cd B305 0000           .4byte  0x5b3
+ 8859 07d1 2E                  .uleb128 0x2e
+ 8860 07d2 0000                .2byte  .LBB253
+ 8861 07d4 0000                .2byte  .LBE253
+ 8862 07d6 22                  .uleb128 0x22
+ 8863 07d7 1B04 0000           .4byte  0x41b
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 158
+
+
+ 8864 07db 00                  .byte   0x0
+ 8865 07dc 00                  .byte   0x0
+ 8866 07dd 2C                  .uleb128 0x2c
+ 8867 07de 0204 0000           .4byte  0x402
+ 8868 07e2 0000                .2byte  .LBB254
+ 8869 07e4 0000                .2byte  .LBE254
+ 8870 07e6 01                  .byte   0x1
+ 8871 07e7 1D03                .2byte  0x31d
+ 8872 07e9 FE07 0000           .4byte  0x7fe
+ 8873 07ed 2D                  .uleb128 0x2d
+ 8874 07ee B305 0000           .4byte  0x5b3
+ 8875 07f2 2E                  .uleb128 0x2e
+ 8876 07f3 0000                .2byte  .LBB255
+ 8877 07f5 0000                .2byte  .LBE255
+ 8878 07f7 22                  .uleb128 0x22
+ 8879 07f8 1B04 0000           .4byte  0x41b
+ 8880 07fc 00                  .byte   0x0
+ 8881 07fd 00                  .byte   0x0
+ 8882 07fe 2C                  .uleb128 0x2c
+ 8883 07ff 0204 0000           .4byte  0x402
+ 8884 0803 0000                .2byte  .LBB256
+ 8885 0805 0000                .2byte  .LBE256
+ 8886 0807 01                  .byte   0x1
+ 8887 0808 0703                .2byte  0x307
+ 8888 080a 1F08 0000           .4byte  0x81f
+ 8889 080e 2D                  .uleb128 0x2d
+ 8890 080f B305 0000           .4byte  0x5b3
+ 8891 0813 2E                  .uleb128 0x2e
+ 8892 0814 0000                .2byte  .LBB257
+ 8893 0816 0000                .2byte  .LBE257
+ 8894 0818 22                  .uleb128 0x22
+ 8895 0819 1B04 0000           .4byte  0x41b
+ 8896 081d 00                  .byte   0x0
+ 8897 081e 00                  .byte   0x0
+ 8898 081f 2C                  .uleb128 0x2c
+ 8899 0820 0204 0000           .4byte  0x402
+ 8900 0824 0000                .2byte  .LBB258
+ 8901 0826 0000                .2byte  .LBE258
+ 8902 0828 01                  .byte   0x1
+ 8903 0829 0803                .2byte  0x308
+ 8904 082b 4008 0000           .4byte  0x840
+ 8905 082f 2D                  .uleb128 0x2d
+ 8906 0830 B305 0000           .4byte  0x5b3
+ 8907 0834 2E                  .uleb128 0x2e
+ 8908 0835 0000                .2byte  .LBB259
+ 8909 0837 0000                .2byte  .LBE259
+ 8910 0839 22                  .uleb128 0x22
+ 8911 083a 1B04 0000           .4byte  0x41b
+ 8912 083e 00                  .byte   0x0
+ 8913 083f 00                  .byte   0x0
+ 8914 0840 2F                  .uleb128 0x2f
+ 8915 0841 0204 0000           .4byte  0x402
+ 8916 0845 0000                .2byte  .LBB260
+ 8917 0847 0000                .2byte  .LBE260
+ 8918 0849 01                  .byte   0x1
+ 8919 084a 0903                .2byte  0x309
+ 8920 084c 2D                  .uleb128 0x2d
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 159
+
+
+ 8921 084d B305 0000           .4byte  0x5b3
+ 8922 0851 2E                  .uleb128 0x2e
+ 8923 0852 0000                .2byte  .LBB261
+ 8924 0854 0000                .2byte  .LBE261
+ 8925 0856 22                  .uleb128 0x22
+ 8926 0857 1B04 0000           .4byte  0x41b
+ 8927 085b 00                  .byte   0x0
+ 8928 085c 00                  .byte   0x0
+ 8929 085d 00                  .byte   0x0
+ 8930 085e 27                  .uleb128 0x27
+ 8931 085f 01                  .byte   0x1
+ 8932 0860 0000 0000           .4byte  .LASF65
+ 8933 0864 01                  .byte   0x1
+ 8934 0865 2203                .2byte  0x322
+ 8935 0867 0000                .2byte  .LFB16
+ 8936 0869 0000                .2byte  .LFE16
+ 8937 086b 0000 0000           .4byte  .LLST22
+ 8938 086f 1A09 0000           .4byte  0x91a
+ 8939 0873 28                  .uleb128 0x28
+ 8940 0874 6B00                .string "k"
+ 8941 0876 01                  .byte   0x1
+ 8942 0877 2303                .2byte  0x323
+ 8943 0879 3A00 0000           .4byte  0x3a
+ 8944 087d 0000 0000           .4byte  .LLST23
+ 8945 0881 28                  .uleb128 0x28
+ 8946 0882 6300                .string "c"
+ 8947 0884 01                  .byte   0x1
+ 8948 0885 2403                .2byte  0x324
+ 8949 0887 2800 0000           .4byte  0x28
+ 8950 088b 0000 0000           .4byte  .LLST24
+ 8951 088f 2C                  .uleb128 0x2c
+ 8952 0890 4304 0000           .4byte  0x443
+ 8953 0894 0000                .2byte  .LBB262
+ 8954 0896 0000                .2byte  .LBE262
+ 8955 0898 01                  .byte   0x1
+ 8956 0899 2803                .2byte  0x328
+ 8957 089b AB08 0000           .4byte  0x8ab
+ 8958 089f 2E                  .uleb128 0x2e
+ 8959 08a0 0000                .2byte  .LBB263
+ 8960 08a2 0000                .2byte  .LBE263
+ 8961 08a4 22                  .uleb128 0x22
+ 8962 08a5 5504 0000           .4byte  0x455
+ 8963 08a9 00                  .byte   0x0
+ 8964 08aa 00                  .byte   0x0
+ 8965 08ab 2C                  .uleb128 0x2c
+ 8966 08ac 4304 0000           .4byte  0x443
+ 8967 08b0 0000                .2byte  .LBB264
+ 8968 08b2 0000                .2byte  .LBE264
+ 8969 08b4 01                  .byte   0x1
+ 8970 08b5 2903                .2byte  0x329
+ 8971 08b7 C708 0000           .4byte  0x8c7
+ 8972 08bb 2E                  .uleb128 0x2e
+ 8973 08bc 0000                .2byte  .LBB265
+ 8974 08be 0000                .2byte  .LBE265
+ 8975 08c0 22                  .uleb128 0x22
+ 8976 08c1 5504 0000           .4byte  0x455
+ 8977 08c5 00                  .byte   0x0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 160
+
+
+ 8978 08c6 00                  .byte   0x0
+ 8979 08c7 2C                  .uleb128 0x2c
+ 8980 08c8 4304 0000           .4byte  0x443
+ 8981 08cc 0000                .2byte  .LBB266
+ 8982 08ce 0000                .2byte  .LBE266
+ 8983 08d0 01                  .byte   0x1
+ 8984 08d1 3403                .2byte  0x334
+ 8985 08d3 E308 0000           .4byte  0x8e3
+ 8986 08d7 2E                  .uleb128 0x2e
+ 8987 08d8 0000                .2byte  .LBB267
+ 8988 08da 0000                .2byte  .LBE267
+ 8989 08dc 22                  .uleb128 0x22
+ 8990 08dd 5504 0000           .4byte  0x455
+ 8991 08e1 00                  .byte   0x0
+ 8992 08e2 00                  .byte   0x0
+ 8993 08e3 30                  .uleb128 0x30
+ 8994 08e4 4304 0000           .4byte  0x443
+ 8995 08e8 0000                .2byte  .LBB268
+ 8996 08ea 0000 0000           .4byte  .Ldebug_ranges0+0x0
+ 8997 08ee 01                  .byte   0x1
+ 8998 08ef 2C03                .2byte  0x32c
+ 8999 08f1 0109 0000           .4byte  0x901
+ 9000 08f5 31                  .uleb128 0x31
+ 9001 08f6 0000 0000           .4byte  .Ldebug_ranges0+0xc
+ 9002 08fa 22                  .uleb128 0x22
+ 9003 08fb 5504 0000           .4byte  0x455
+ 9004 08ff 00                  .byte   0x0
+ 9005 0900 00                  .byte   0x0
+ 9006 0901 2F                  .uleb128 0x2f
+ 9007 0902 4304 0000           .4byte  0x443
+ 9008 0906 0000                .2byte  .LBB272
+ 9009 0908 0000                .2byte  .LBE272
+ 9010 090a 01                  .byte   0x1
+ 9011 090b 2F03                .2byte  0x32f
+ 9012 090d 2E                  .uleb128 0x2e
+ 9013 090e 0000                .2byte  .LBB273
+ 9014 0910 0000                .2byte  .LBE273
+ 9015 0912 22                  .uleb128 0x22
+ 9016 0913 5504 0000           .4byte  0x455
+ 9017 0917 00                  .byte   0x0
+ 9018 0918 00                  .byte   0x0
+ 9019 0919 00                  .byte   0x0
+ 9020 091a 32                  .uleb128 0x32
+ 9021 091b 6004 0000           .4byte  0x460
+ 9022 091f 0000                .2byte  .LFB17
+ 9023 0921 0000                .2byte  .LFE17
+ 9024 0923 0000 0000           .4byte  .LLST25
+ 9025 0927 5009 0000           .4byte  0x950
+ 9026 092b 33                  .uleb128 0x33
+ 9027 092c 6F04 0000           .4byte  0x46f
+ 9028 0930 01                  .byte   0x1
+ 9029 0931 5F                  .byte   0x5f
+ 9030 0932 2F                  .uleb128 0x2f
+ 9031 0933 0204 0000           .4byte  0x402
+ 9032 0937 0000                .2byte  .LBB274
+ 9033 0939 0000                .2byte  .LBE274
+ 9034 093b 01                  .byte   0x1
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 161
+
+
+ 9035 093c 4103                .2byte  0x341
+ 9036 093e 2D                  .uleb128 0x2d
+ 9037 093f B305 0000           .4byte  0x5b3
+ 9038 0943 2E                  .uleb128 0x2e
+ 9039 0944 0000                .2byte  .LBB275
+ 9040 0946 0000                .2byte  .LBE275
+ 9041 0948 22                  .uleb128 0x22
+ 9042 0949 1B04 0000           .4byte  0x41b
+ 9043 094d 00                  .byte   0x0
+ 9044 094e 00                  .byte   0x0
+ 9045 094f 00                  .byte   0x0
+ 9046 0950 34                  .uleb128 0x34
+ 9047 0951 01                  .byte   0x1
+ 9048 0952 7363 00             .string "sc"
+ 9049 0955 01                  .byte   0x1
+ 9050 0956 4703                .2byte  0x347
+ 9051 0958 01                  .byte   0x1
+ 9052 0959 3A00 0000           .4byte  0x3a
+ 9053 095d 0000                .2byte  .LFB18
+ 9054 095f 0000                .2byte  .LFE18
+ 9055 0961 0000 0000           .4byte  .LLST26
+ 9056 0965 9209 0000           .4byte  0x992
+ 9057 0969 25                  .uleb128 0x25
+ 9058 096a 7800                .string "x"
+ 9059 096c 01                  .byte   0x1
+ 9060 096d 4703                .2byte  0x347
+ 9061 096f 9209 0000           .4byte  0x992
+ 9062 0973 0000 0000           .4byte  .LLST27
+ 9063 0977 24                  .uleb128 0x24
+ 9064 0978 7900                .string "y"
+ 9065 097a 01                  .byte   0x1
+ 9066 097b 4703                .2byte  0x347
+ 9067 097d 9209 0000           .4byte  0x992
+ 9068 0981 01                  .byte   0x1
+ 9069 0982 5E                  .byte   0x5e
+ 9070 0983 28                  .uleb128 0x28
+ 9071 0984 6900                .string "i"
+ 9072 0986 01                  .byte   0x1
+ 9073 0987 4803                .2byte  0x348
+ 9074 0989 3A00 0000           .4byte  0x3a
+ 9075 098d 0000 0000           .4byte  .LLST28
+ 9076 0991 00                  .byte   0x0
+ 9077 0992 1A                  .uleb128 0x1a
+ 9078 0993 02                  .byte   0x2
+ 9079 0994 2800 0000           .4byte  0x28
+ 9080 0998 27                  .uleb128 0x27
+ 9081 0999 01                  .byte   0x1
+ 9082 099a 0000 0000           .4byte  .LASF66
+ 9083 099e 01                  .byte   0x1
+ 9084 099f 5403                .2byte  0x354
+ 9085 09a1 0000                .2byte  .LFB19
+ 9086 09a3 0000                .2byte  .LFE19
+ 9087 09a5 0000 0000           .4byte  .LLST29
+ 9088 09a9 4D0A 0000           .4byte  0xa4d
+ 9089 09ad 2C                  .uleb128 0x2c
+ 9090 09ae 6004 0000           .4byte  0x460
+ 9091 09b2 0000                .2byte  .LBB276
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 162
+
+
+ 9092 09b4 0000                .2byte  .LBE276
+ 9093 09b6 01                  .byte   0x1
+ 9094 09b7 5503                .2byte  0x355
+ 9095 09b9 E409 0000           .4byte  0x9e4
+ 9096 09bd 21                  .uleb128 0x21
+ 9097 09be 2B09 0000           .4byte  0x92b
+ 9098 09c2 0000 0000           .4byte  .LLST30
+ 9099 09c6 2F                  .uleb128 0x2f
+ 9100 09c7 0204 0000           .4byte  0x402
+ 9101 09cb 0000                .2byte  .LBB278
+ 9102 09cd 0000                .2byte  .LBE278
+ 9103 09cf 01                  .byte   0x1
+ 9104 09d0 4103                .2byte  0x341
+ 9105 09d2 2D                  .uleb128 0x2d
+ 9106 09d3 B305 0000           .4byte  0x5b3
+ 9107 09d7 2E                  .uleb128 0x2e
+ 9108 09d8 0000                .2byte  .LBB279
+ 9109 09da 0000                .2byte  .LBE279
+ 9110 09dc 22                  .uleb128 0x22
+ 9111 09dd 1B04 0000           .4byte  0x41b
+ 9112 09e1 00                  .byte   0x0
+ 9113 09e2 00                  .byte   0x0
+ 9114 09e3 00                  .byte   0x0
+ 9115 09e4 2C                  .uleb128 0x2c
+ 9116 09e5 6004 0000           .4byte  0x460
+ 9117 09e9 0000                .2byte  .LBB280
+ 9118 09eb 0000                .2byte  .LBE280
+ 9119 09ed 01                  .byte   0x1
+ 9120 09ee 5603                .2byte  0x356
+ 9121 09f0 1B0A 0000           .4byte  0xa1b
+ 9122 09f4 21                  .uleb128 0x21
+ 9123 09f5 2B09 0000           .4byte  0x92b
+ 9124 09f9 0000 0000           .4byte  .LLST31
+ 9125 09fd 2F                  .uleb128 0x2f
+ 9126 09fe 0204 0000           .4byte  0x402
+ 9127 0a02 0000                .2byte  .LBB282
+ 9128 0a04 0000                .2byte  .LBE282
+ 9129 0a06 01                  .byte   0x1
+ 9130 0a07 4103                .2byte  0x341
+ 9131 0a09 2D                  .uleb128 0x2d
+ 9132 0a0a B305 0000           .4byte  0x5b3
+ 9133 0a0e 2E                  .uleb128 0x2e
+ 9134 0a0f 0000                .2byte  .LBB283
+ 9135 0a11 0000                .2byte  .LBE283
+ 9136 0a13 22                  .uleb128 0x22
+ 9137 0a14 1B04 0000           .4byte  0x41b
+ 9138 0a18 00                  .byte   0x0
+ 9139 0a19 00                  .byte   0x0
+ 9140 0a1a 00                  .byte   0x0
+ 9141 0a1b 2F                  .uleb128 0x2f
+ 9142 0a1c 6004 0000           .4byte  0x460
+ 9143 0a20 0000                .2byte  .LBB284
+ 9144 0a22 0000                .2byte  .LBE284
+ 9145 0a24 01                  .byte   0x1
+ 9146 0a25 5703                .2byte  0x357
+ 9147 0a27 33                  .uleb128 0x33
+ 9148 0a28 2B09 0000           .4byte  0x92b
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 163
+
+
+ 9149 0a2c 01                  .byte   0x1
+ 9150 0a2d 5D                  .byte   0x5d
+ 9151 0a2e 2F                  .uleb128 0x2f
+ 9152 0a2f 0204 0000           .4byte  0x402
+ 9153 0a33 0000                .2byte  .LBB286
+ 9154 0a35 0000                .2byte  .LBE286
+ 9155 0a37 01                  .byte   0x1
+ 9156 0a38 4103                .2byte  0x341
+ 9157 0a3a 2D                  .uleb128 0x2d
+ 9158 0a3b B305 0000           .4byte  0x5b3
+ 9159 0a3f 2E                  .uleb128 0x2e
+ 9160 0a40 0000                .2byte  .LBB287
+ 9161 0a42 0000                .2byte  .LBE287
+ 9162 0a44 22                  .uleb128 0x22
+ 9163 0a45 1B04 0000           .4byte  0x41b
+ 9164 0a49 00                  .byte   0x0
+ 9165 0a4a 00                  .byte   0x0
+ 9166 0a4b 00                  .byte   0x0
+ 9167 0a4c 00                  .byte   0x0
+ 9168 0a4d 20                  .uleb128 0x20
+ 9169 0a4e A904 0000           .4byte  0x4a9
+ 9170 0a52 0000                .2byte  .LFB20
+ 9171 0a54 0000                .2byte  .LFE20
+ 9172 0a56 02                  .byte   0x2
+ 9173 0a57 71                  .byte   0x71
+ 9174 0a58 02                  .sleb128 2
+ 9175 0a59 6A0A 0000           .4byte  0xa6a
+ 9176 0a5d 22                  .uleb128 0x22
+ 9177 0a5e BB04 0000           .4byte  0x4bb
+ 9178 0a62 35                  .uleb128 0x35
+ 9179 0a63 C504 0000           .4byte  0x4c5
+ 9180 0a67 01                  .byte   0x1
+ 9181 0a68 5F                  .byte   0x5f
+ 9182 0a69 00                  .byte   0x0
+ 9183 0a6a 20                  .uleb128 0x20
+ 9184 0a6b 8504 0000           .4byte  0x485
+ 9185 0a6f 0000                .2byte  .LFB21
+ 9186 0a71 0000                .2byte  .LFE21
+ 9187 0a73 02                  .byte   0x2
+ 9188 0a74 71                  .byte   0x71
+ 9189 0a75 02                  .sleb128 2
+ 9190 0a76 870A 0000           .4byte  0xa87
+ 9191 0a7a 33                  .uleb128 0x33
+ 9192 0a7b 9404 0000           .4byte  0x494
+ 9193 0a7f 01                  .byte   0x1
+ 9194 0a80 5F                  .byte   0x5f
+ 9195 0a81 22                  .uleb128 0x22
+ 9196 0a82 9E04 0000           .4byte  0x49e
+ 9197 0a86 00                  .byte   0x0
+ 9198 0a87 20                  .uleb128 0x20
+ 9199 0a88 0405 0000           .4byte  0x504
+ 9200 0a8c 0000                .2byte  .LFB22
+ 9201 0a8e 0000                .2byte  .LFE22
+ 9202 0a90 02                  .byte   0x2
+ 9203 0a91 71                  .byte   0x71
+ 9204 0a92 02                  .sleb128 2
+ 9205 0a93 9D0A 0000           .4byte  0xa9d
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 164
+
+
+ 9206 0a97 22                  .uleb128 0x22
+ 9207 0a98 1605 0000           .4byte  0x516
+ 9208 0a9c 00                  .byte   0x0
+ 9209 0a9d 20                  .uleb128 0x20
+ 9210 0a9e EA04 0000           .4byte  0x4ea
+ 9211 0aa2 0000                .2byte  .LFB23
+ 9212 0aa4 0000                .2byte  .LFE23
+ 9213 0aa6 02                  .byte   0x2
+ 9214 0aa7 71                  .byte   0x71
+ 9215 0aa8 02                  .sleb128 2
+ 9216 0aa9 B50A 0000           .4byte  0xab5
+ 9217 0aad 33                  .uleb128 0x33
+ 9218 0aae F904 0000           .4byte  0x4f9
+ 9219 0ab2 01                  .byte   0x1
+ 9220 0ab3 5F                  .byte   0x5f
+ 9221 0ab4 00                  .byte   0x0
+ 9222 0ab5 36                  .uleb128 0x36
+ 9223 0ab6 01                  .byte   0x1
+ 9224 0ab7 0000 0000           .4byte  .LASF67
+ 9225 0abb 01                  .byte   0x1
+ 9226 0abc 7903                .2byte  0x379
+ 9227 0abe 01                  .byte   0x1
+ 9228 0abf 3A00 0000           .4byte  0x3a
+ 9229 0ac3 0000                .2byte  .LFB24
+ 9230 0ac5 0000                .2byte  .LFE24
+ 9231 0ac7 0000 0000           .4byte  .LLST36
+ 9232 0acb 220B 0000           .4byte  0xb22
+ 9233 0acf 25                  .uleb128 0x25
+ 9234 0ad0 7800                .string "x"
+ 9235 0ad2 01                  .byte   0x1
+ 9236 0ad3 7903                .2byte  0x379
+ 9237 0ad5 9209 0000           .4byte  0x992
+ 9238 0ad9 0000 0000           .4byte  .LLST37
+ 9239 0add 24                  .uleb128 0x24
+ 9240 0ade 6C00                .string "l"
+ 9241 0ae0 01                  .byte   0x1
+ 9242 0ae1 7903                .2byte  0x379
+ 9243 0ae3 9209 0000           .4byte  0x992
+ 9244 0ae7 01                  .byte   0x1
+ 9245 0ae8 5E                  .byte   0x5e
+ 9246 0ae9 28                  .uleb128 0x28
+ 9247 0aea 6900                .string "i"
+ 9248 0aec 01                  .byte   0x1
+ 9249 0aed 7A03                .2byte  0x37a
+ 9250 0aef 3A00 0000           .4byte  0x3a
+ 9251 0af3 0000 0000           .4byte  .LLST38
+ 9252 0af7 28                  .uleb128 0x28
+ 9253 0af8 6A00                .string "j"
+ 9254 0afa 01                  .byte   0x1
+ 9255 0afb 7A03                .2byte  0x37a
+ 9256 0afd 3A00 0000           .4byte  0x3a
+ 9257 0b01 0000 0000           .4byte  .LLST39
+ 9258 0b05 28                  .uleb128 0x28
+ 9259 0b06 6B00                .string "k"
+ 9260 0b08 01                  .byte   0x1
+ 9261 0b09 7A03                .2byte  0x37a
+ 9262 0b0b 3A00 0000           .4byte  0x3a
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 165
+
+
+ 9263 0b0f 0000 0000           .4byte  .LLST40
+ 9264 0b13 28                  .uleb128 0x28
+ 9265 0b14 6E00                .string "n"
+ 9266 0b16 01                  .byte   0x1
+ 9267 0b17 7A03                .2byte  0x37a
+ 9268 0b19 3A00 0000           .4byte  0x3a
+ 9269 0b1d 0000 0000           .4byte  .LLST41
+ 9270 0b21 00                  .byte   0x0
+ 9271 0b22 27                  .uleb128 0x27
+ 9272 0b23 01                  .byte   0x1
+ 9273 0b24 0000 0000           .4byte  .LASF68
+ 9274 0b28 01                  .byte   0x1
+ 9275 0b29 A603                .2byte  0x3a6
+ 9276 0b2b 0000                .2byte  .LFB25
+ 9277 0b2d 0000                .2byte  .LFE25
+ 9278 0b2f 0000 0000           .4byte  .LLST42
+ 9279 0b33 2B0C 0000           .4byte  0xc2b
+ 9280 0b37 28                  .uleb128 0x28
+ 9281 0b38 6900                .string "i"
+ 9282 0b3a 01                  .byte   0x1
+ 9283 0b3b A703                .2byte  0x3a7
+ 9284 0b3d 3A00 0000           .4byte  0x3a
+ 9285 0b41 0000 0000           .4byte  .LLST43
+ 9286 0b45 2C                  .uleb128 0x2c
+ 9287 0b46 8504 0000           .4byte  0x485
+ 9288 0b4a 0000                .2byte  .LBB288
+ 9289 0b4c 0000                .2byte  .LBE288
+ 9290 0b4e 01                  .byte   0x1
+ 9291 0b4f AD03                .2byte  0x3ad
+ 9292 0b51 660B 0000           .4byte  0xb66
+ 9293 0b55 2D                  .uleb128 0x2d
+ 9294 0b56 7A0A 0000           .4byte  0xa7a
+ 9295 0b5a 2E                  .uleb128 0x2e
+ 9296 0b5b 0000                .2byte  .LBB289
+ 9297 0b5d 0000                .2byte  .LBE289
+ 9298 0b5f 22                  .uleb128 0x22
+ 9299 0b60 9E04 0000           .4byte  0x49e
+ 9300 0b64 00                  .byte   0x0
+ 9301 0b65 00                  .byte   0x0
+ 9302 0b66 2C                  .uleb128 0x2c
+ 9303 0b67 8504 0000           .4byte  0x485
+ 9304 0b6b 0000                .2byte  .LBB290
+ 9305 0b6d 0000                .2byte  .LBE290
+ 9306 0b6f 01                  .byte   0x1
+ 9307 0b70 AE03                .2byte  0x3ae
+ 9308 0b72 870B 0000           .4byte  0xb87
+ 9309 0b76 2D                  .uleb128 0x2d
+ 9310 0b77 7A0A 0000           .4byte  0xa7a
+ 9311 0b7b 2E                  .uleb128 0x2e
+ 9312 0b7c 0000                .2byte  .LBB291
+ 9313 0b7e 0000                .2byte  .LBE291
+ 9314 0b80 22                  .uleb128 0x22
+ 9315 0b81 9E04 0000           .4byte  0x49e
+ 9316 0b85 00                  .byte   0x0
+ 9317 0b86 00                  .byte   0x0
+ 9318 0b87 30                  .uleb128 0x30
+ 9319 0b88 8504 0000           .4byte  0x485
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 166
+
+
+ 9320 0b8c 0000                .2byte  .LBB292
+ 9321 0b8e 0000 0000           .4byte  .Ldebug_ranges0+0x18
+ 9322 0b92 01                  .byte   0x1
+ 9323 0b93 BA03                .2byte  0x3ba
+ 9324 0b95 AA0B 0000           .4byte  0xbaa
+ 9325 0b99 2D                  .uleb128 0x2d
+ 9326 0b9a 7A0A 0000           .4byte  0xa7a
+ 9327 0b9e 31                  .uleb128 0x31
+ 9328 0b9f 0000 0000           .4byte  .Ldebug_ranges0+0x24
+ 9329 0ba3 22                  .uleb128 0x22
+ 9330 0ba4 9E04 0000           .4byte  0x49e
+ 9331 0ba8 00                  .byte   0x0
+ 9332 0ba9 00                  .byte   0x0
+ 9333 0baa 2C                  .uleb128 0x2c
+ 9334 0bab 8504 0000           .4byte  0x485
+ 9335 0baf 0000                .2byte  .LBB295
+ 9336 0bb1 0000                .2byte  .LBE295
+ 9337 0bb3 01                  .byte   0x1
+ 9338 0bb4 B403                .2byte  0x3b4
+ 9339 0bb6 CB0B 0000           .4byte  0xbcb
+ 9340 0bba 2D                  .uleb128 0x2d
+ 9341 0bbb 7A0A 0000           .4byte  0xa7a
+ 9342 0bbf 2E                  .uleb128 0x2e
+ 9343 0bc0 0000                .2byte  .LBB296
+ 9344 0bc2 0000                .2byte  .LBE296
+ 9345 0bc4 22                  .uleb128 0x22
+ 9346 0bc5 9E04 0000           .4byte  0x49e
+ 9347 0bc9 00                  .byte   0x0
+ 9348 0bca 00                  .byte   0x0
+ 9349 0bcb 2C                  .uleb128 0x2c
+ 9350 0bcc 8504 0000           .4byte  0x485
+ 9351 0bd0 0000                .2byte  .LBB297
+ 9352 0bd2 0000                .2byte  .LBE297
+ 9353 0bd4 01                  .byte   0x1
+ 9354 0bd5 B503                .2byte  0x3b5
+ 9355 0bd7 EC0B 0000           .4byte  0xbec
+ 9356 0bdb 2D                  .uleb128 0x2d
+ 9357 0bdc 7A0A 0000           .4byte  0xa7a
+ 9358 0be0 2E                  .uleb128 0x2e
+ 9359 0be1 0000                .2byte  .LBB298
+ 9360 0be3 0000                .2byte  .LBE298
+ 9361 0be5 22                  .uleb128 0x22
+ 9362 0be6 9E04 0000           .4byte  0x49e
+ 9363 0bea 00                  .byte   0x0
+ 9364 0beb 00                  .byte   0x0
+ 9365 0bec 2C                  .uleb128 0x2c
+ 9366 0bed 8504 0000           .4byte  0x485
+ 9367 0bf1 0000                .2byte  .LBB299
+ 9368 0bf3 0000                .2byte  .LBE299
+ 9369 0bf5 01                  .byte   0x1
+ 9370 0bf6 B903                .2byte  0x3b9
+ 9371 0bf8 0D0C 0000           .4byte  0xc0d
+ 9372 0bfc 2D                  .uleb128 0x2d
+ 9373 0bfd 7A0A 0000           .4byte  0xa7a
+ 9374 0c01 2E                  .uleb128 0x2e
+ 9375 0c02 0000                .2byte  .LBB300
+ 9376 0c04 0000                .2byte  .LBE300
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 167
+
+
+ 9377 0c06 22                  .uleb128 0x22
+ 9378 0c07 9E04 0000           .4byte  0x49e
+ 9379 0c0b 00                  .byte   0x0
+ 9380 0c0c 00                  .byte   0x0
+ 9381 0c0d 2F                  .uleb128 0x2f
+ 9382 0c0e 8504 0000           .4byte  0x485
+ 9383 0c12 0000                .2byte  .LBB302
+ 9384 0c14 0000                .2byte  .LBE302
+ 9385 0c16 01                  .byte   0x1
+ 9386 0c17 BC03                .2byte  0x3bc
+ 9387 0c19 2D                  .uleb128 0x2d
+ 9388 0c1a 7A0A 0000           .4byte  0xa7a
+ 9389 0c1e 2E                  .uleb128 0x2e
+ 9390 0c1f 0000                .2byte  .LBB303
+ 9391 0c21 0000                .2byte  .LBE303
+ 9392 0c23 22                  .uleb128 0x22
+ 9393 0c24 9E04 0000           .4byte  0x49e
+ 9394 0c28 00                  .byte   0x0
+ 9395 0c29 00                  .byte   0x0
+ 9396 0c2a 00                  .byte   0x0
+ 9397 0c2b 27                  .uleb128 0x27
+ 9398 0c2c 01                  .byte   0x1
+ 9399 0c2d 0000 0000           .4byte  .LASF69
+ 9400 0c31 01                  .byte   0x1
+ 9401 0c32 C203                .2byte  0x3c2
+ 9402 0c34 0000                .2byte  .LFB26
+ 9403 0c36 0000                .2byte  .LFE26
+ 9404 0c38 0000 0000           .4byte  .LLST44
+ 9405 0c3c A90C 0000           .4byte  0xca9
+ 9406 0c40 28                  .uleb128 0x28
+ 9407 0c41 6900                .string "i"
+ 9408 0c43 01                  .byte   0x1
+ 9409 0c44 C303                .2byte  0x3c3
+ 9410 0c46 3A00 0000           .4byte  0x3a
+ 9411 0c4a 0000 0000           .4byte  .LLST45
+ 9412 0c4e 28                  .uleb128 0x28
+ 9413 0c4f 6A00                .string "j"
+ 9414 0c51 01                  .byte   0x1
+ 9415 0c52 C303                .2byte  0x3c3
+ 9416 0c54 3A00 0000           .4byte  0x3a
+ 9417 0c58 0000 0000           .4byte  .LLST46
+ 9418 0c5c 28                  .uleb128 0x28
+ 9419 0c5d 6E00                .string "n"
+ 9420 0c5f 01                  .byte   0x1
+ 9421 0c60 C303                .2byte  0x3c3
+ 9422 0c62 3A00 0000           .4byte  0x3a
+ 9423 0c66 0000 0000           .4byte  .LLST47
+ 9424 0c6a 2C                  .uleb128 0x2c
+ 9425 0c6b 8504 0000           .4byte  0x485
+ 9426 0c6f 0000                .2byte  .LBB304
+ 9427 0c71 0000                .2byte  .LBE304
+ 9428 0c73 01                  .byte   0x1
+ 9429 0c74 E903                .2byte  0x3e9
+ 9430 0c76 8B0C 0000           .4byte  0xc8b
+ 9431 0c7a 2D                  .uleb128 0x2d
+ 9432 0c7b 7A0A 0000           .4byte  0xa7a
+ 9433 0c7f 2E                  .uleb128 0x2e
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 168
+
+
+ 9434 0c80 0000                .2byte  .LBB305
+ 9435 0c82 0000                .2byte  .LBE305
+ 9436 0c84 22                  .uleb128 0x22
+ 9437 0c85 9E04 0000           .4byte  0x49e
+ 9438 0c89 00                  .byte   0x0
+ 9439 0c8a 00                  .byte   0x0
+ 9440 0c8b 2F                  .uleb128 0x2f
+ 9441 0c8c 8504 0000           .4byte  0x485
+ 9442 0c90 0000                .2byte  .LBB306
+ 9443 0c92 0000                .2byte  .LBE306
+ 9444 0c94 01                  .byte   0x1
+ 9445 0c95 EA03                .2byte  0x3ea
+ 9446 0c97 2D                  .uleb128 0x2d
+ 9447 0c98 7A0A 0000           .4byte  0xa7a
+ 9448 0c9c 2E                  .uleb128 0x2e
+ 9449 0c9d 0000                .2byte  .LBB307
+ 9450 0c9f 0000                .2byte  .LBE307
+ 9451 0ca1 22                  .uleb128 0x22
+ 9452 0ca2 9E04 0000           .4byte  0x49e
+ 9453 0ca6 00                  .byte   0x0
+ 9454 0ca7 00                  .byte   0x0
+ 9455 0ca8 00                  .byte   0x0
+ 9456 0ca9 23                  .uleb128 0x23
+ 9457 0caa 01                  .byte   0x1
+ 9458 0cab 0000 0000           .4byte  .LASF70
+ 9459 0caf 01                  .byte   0x1
+ 9460 0cb0 ED03                .2byte  0x3ed
+ 9461 0cb2 01                  .byte   0x1
+ 9462 0cb3 0000                .2byte  .LFB27
+ 9463 0cb5 0000                .2byte  .LFE27
+ 9464 0cb7 02                  .byte   0x2
+ 9465 0cb8 71                  .byte   0x71
+ 9466 0cb9 02                  .sleb128 2
+ 9467 0cba 080D 0000           .4byte  0xd08
+ 9468 0cbe 25                  .uleb128 0x25
+ 9469 0cbf 7800                .string "x"
+ 9470 0cc1 01                  .byte   0x1
+ 9471 0cc2 ED03                .2byte  0x3ed
+ 9472 0cc4 2800 0000           .4byte  0x28
+ 9473 0cc8 0000 0000           .4byte  .LLST49
+ 9474 0ccc 37                  .uleb128 0x37
+ 9475 0ccd 0000 0000           .4byte  .LASF71
+ 9476 0cd1 01                  .byte   0x1
+ 9477 0cd2 EE03                .2byte  0x3ee
+ 9478 0cd4 3A00 0000           .4byte  0x3a
+ 9479 0cd8 0000 0000           .4byte  .LLST50
+ 9480 0cdc 28                  .uleb128 0x28
+ 9481 0cdd 6900                .string "i"
+ 9482 0cdf 01                  .byte   0x1
+ 9483 0ce0 EF03                .2byte  0x3ef
+ 9484 0ce2 3A00 0000           .4byte  0x3a
+ 9485 0ce6 0000 0000           .4byte  .LLST51
+ 9486 0cea 2F                  .uleb128 0x2f
+ 9487 0ceb A904 0000           .4byte  0x4a9
+ 9488 0cef 0000                .2byte  .LBB308
+ 9489 0cf1 0000                .2byte  .LBE308
+ 9490 0cf3 01                  .byte   0x1
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 169
+
+
+ 9491 0cf4 FC03                .2byte  0x3fc
+ 9492 0cf6 2E                  .uleb128 0x2e
+ 9493 0cf7 0000                .2byte  .LBB309
+ 9494 0cf9 0000                .2byte  .LBE309
+ 9495 0cfb 22                  .uleb128 0x22
+ 9496 0cfc BB04 0000           .4byte  0x4bb
+ 9497 0d00 22                  .uleb128 0x22
+ 9498 0d01 C504 0000           .4byte  0x4c5
+ 9499 0d05 00                  .byte   0x0
+ 9500 0d06 00                  .byte   0x0
+ 9501 0d07 00                  .byte   0x0
+ 9502 0d08 20                  .uleb128 0x20
+ 9503 0d09 2105 0000           .4byte  0x521
+ 9504 0d0d 0000                .2byte  .LFB28
+ 9505 0d0f 0000                .2byte  .LFE28
+ 9506 0d11 02                  .byte   0x2
+ 9507 0d12 71                  .byte   0x71
+ 9508 0d13 02                  .sleb128 2
+ 9509 0d14 3F0D 0000           .4byte  0xd3f
+ 9510 0d18 2B                  .uleb128 0x2b
+ 9511 0d19 2F05 0000           .4byte  0x52f
+ 9512 0d1d 0000 0000           .4byte  .LLST53
+ 9513 0d21 2F                  .uleb128 0x2f
+ 9514 0d22 8504 0000           .4byte  0x485
+ 9515 0d26 0000                .2byte  .LBB310
+ 9516 0d28 0000                .2byte  .LBE310
+ 9517 0d2a 01                  .byte   0x1
+ 9518 0d2b 0B04                .2byte  0x40b
+ 9519 0d2d 2D                  .uleb128 0x2d
+ 9520 0d2e 7A0A 0000           .4byte  0xa7a
+ 9521 0d32 2E                  .uleb128 0x2e
+ 9522 0d33 0000                .2byte  .LBB311
+ 9523 0d35 0000                .2byte  .LBE311
+ 9524 0d37 22                  .uleb128 0x22
+ 9525 0d38 9E04 0000           .4byte  0x49e
+ 9526 0d3c 00                  .byte   0x0
+ 9527 0d3d 00                  .byte   0x0
+ 9528 0d3e 00                  .byte   0x0
+ 9529 0d3f 20                  .uleb128 0x20
+ 9530 0d40 3A05 0000           .4byte  0x53a
+ 9531 0d44 0000                .2byte  .LFB29
+ 9532 0d46 0000                .2byte  .LFE29
+ 9533 0d48 02                  .byte   0x2
+ 9534 0d49 71                  .byte   0x71
+ 9535 0d4a 02                  .sleb128 2
+ 9536 0d4b 740D 0000           .4byte  0xd74
+ 9537 0d4f 35                  .uleb128 0x35
+ 9538 0d50 4805 0000           .4byte  0x548
+ 9539 0d54 01                  .byte   0x1
+ 9540 0d55 5E                  .byte   0x5e
+ 9541 0d56 2F                  .uleb128 0x2f
+ 9542 0d57 8504 0000           .4byte  0x485
+ 9543 0d5b 0000                .2byte  .LBB312
+ 9544 0d5d 0000                .2byte  .LBE312
+ 9545 0d5f 01                  .byte   0x1
+ 9546 0d60 1104                .2byte  0x411
+ 9547 0d62 2D                  .uleb128 0x2d
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 170
+
+
+ 9548 0d63 7A0A 0000           .4byte  0xa7a
+ 9549 0d67 2E                  .uleb128 0x2e
+ 9550 0d68 0000                .2byte  .LBB313
+ 9551 0d6a 0000                .2byte  .LBE313
+ 9552 0d6c 22                  .uleb128 0x22
+ 9553 0d6d 9E04 0000           .4byte  0x49e
+ 9554 0d71 00                  .byte   0x0
+ 9555 0d72 00                  .byte   0x0
+ 9556 0d73 00                  .byte   0x0
+ 9557 0d74 27                  .uleb128 0x27
+ 9558 0d75 01                  .byte   0x1
+ 9559 0d76 0000 0000           .4byte  .LASF72
+ 9560 0d7a 01                  .byte   0x1
+ 9561 0d7b 1404                .2byte  0x414
+ 9562 0d7d 0000                .2byte  .LFB30
+ 9563 0d7f 0000                .2byte  .LFE30
+ 9564 0d81 0000 0000           .4byte  .LLST55
+ 9565 0d85 980D 0000           .4byte  0xd98
+ 9566 0d89 28                  .uleb128 0x28
+ 9567 0d8a 6900                .string "i"
+ 9568 0d8c 01                  .byte   0x1
+ 9569 0d8d 1504                .2byte  0x415
+ 9570 0d8f 4C00 0000           .4byte  0x4c
+ 9571 0d93 0000 0000           .4byte  .LLST56
+ 9572 0d97 00                  .byte   0x0
+ 9573 0d98 38                  .uleb128 0x38
+ 9574 0d99 01                  .byte   0x1
+ 9575 0d9a 0000 0000           .4byte  .LASF73
+ 9576 0d9e 01                  .byte   0x1
+ 9577 0d9f 2304                .2byte  0x423
+ 9578 0da1 01                  .byte   0x1
+ 9579 0da2 0000                .2byte  .LFB31
+ 9580 0da4 0000                .2byte  .LFE31
+ 9581 0da6 0000 0000           .4byte  .LLST57
+ 9582 0daa 510E 0000           .4byte  0xe51
+ 9583 0dae 25                  .uleb128 0x25
+ 9584 0daf 6E00                .string "n"
+ 9585 0db1 01                  .byte   0x1
+ 9586 0db2 2304                .2byte  0x423
+ 9587 0db4 3A00 0000           .4byte  0x3a
+ 9588 0db8 0000 0000           .4byte  .LLST58
+ 9589 0dbc 28                  .uleb128 0x28
+ 9590 0dbd 6B00                .string "k"
+ 9591 0dbf 01                  .byte   0x1
+ 9592 0dc0 2404                .2byte  0x424
+ 9593 0dc2 3A00 0000           .4byte  0x3a
+ 9594 0dc6 0000 0000           .4byte  .LLST59
+ 9595 0dca 29                  .uleb128 0x29
+ 9596 0dcb 7800                .string "x"
+ 9597 0dcd 01                  .byte   0x1
+ 9598 0dce 2404                .2byte  0x424
+ 9599 0dd0 510E 0000           .4byte  0xe51
+ 9600 0dd4 02                  .byte   0x2
+ 9601 0dd5 91                  .byte   0x91
+ 9602 0dd6 00                  .sleb128 0
+ 9603 0dd7 28                  .uleb128 0x28
+ 9604 0dd8 6900                .string "i"
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 171
+
+
+ 9605 0dda 01                  .byte   0x1
+ 9606 0ddb 2504                .2byte  0x425
+ 9607 0ddd 3A00 0000           .4byte  0x3a
+ 9608 0de1 0000 0000           .4byte  .LLST60
+ 9609 0de5 18                  .uleb128 0x18
+ 9610 0de6 6A00                .string "j"
+ 9611 0de8 01                  .byte   0x1
+ 9612 0de9 2504                .2byte  0x425
+ 9613 0deb 3A00 0000           .4byte  0x3a
+ 9614 0def 30                  .uleb128 0x30
+ 9615 0df0 0204 0000           .4byte  0x402
+ 9616 0df4 0000                .2byte  .LBB314
+ 9617 0df6 0000 0000           .4byte  .Ldebug_ranges0+0x30
+ 9618 0dfa 01                  .byte   0x1
+ 9619 0dfb 3504                .2byte  0x435
+ 9620 0dfd 120E 0000           .4byte  0xe12
+ 9621 0e01 2D                  .uleb128 0x2d
+ 9622 0e02 B305 0000           .4byte  0x5b3
+ 9623 0e06 31                  .uleb128 0x31
+ 9624 0e07 0000 0000           .4byte  .Ldebug_ranges0+0x3c
+ 9625 0e0b 22                  .uleb128 0x22
+ 9626 0e0c 1B04 0000           .4byte  0x41b
+ 9627 0e10 00                  .byte   0x0
+ 9628 0e11 00                  .byte   0x0
+ 9629 0e12 2C                  .uleb128 0x2c
+ 9630 0e13 0204 0000           .4byte  0x402
+ 9631 0e17 0000                .2byte  .LBB317
+ 9632 0e19 0000                .2byte  .LBE317
+ 9633 0e1b 01                  .byte   0x1
+ 9634 0e1c 3804                .2byte  0x438
+ 9635 0e1e 330E 0000           .4byte  0xe33
+ 9636 0e22 2D                  .uleb128 0x2d
+ 9637 0e23 B305 0000           .4byte  0x5b3
+ 9638 0e27 2E                  .uleb128 0x2e
+ 9639 0e28 0000                .2byte  .LBB318
+ 9640 0e2a 0000                .2byte  .LBE318
+ 9641 0e2c 22                  .uleb128 0x22
+ 9642 0e2d 1B04 0000           .4byte  0x41b
+ 9643 0e31 00                  .byte   0x0
+ 9644 0e32 00                  .byte   0x0
+ 9645 0e33 2F                  .uleb128 0x2f
+ 9646 0e34 0204 0000           .4byte  0x402
+ 9647 0e38 0000                .2byte  .LBB319
+ 9648 0e3a 0000                .2byte  .LBE319
+ 9649 0e3c 01                  .byte   0x1
+ 9650 0e3d 3A04                .2byte  0x43a
+ 9651 0e3f 2D                  .uleb128 0x2d
+ 9652 0e40 B305 0000           .4byte  0x5b3
+ 9653 0e44 2E                  .uleb128 0x2e
+ 9654 0e45 0000                .2byte  .LBB320
+ 9655 0e47 0000                .2byte  .LBE320
+ 9656 0e49 22                  .uleb128 0x22
+ 9657 0e4a 1B04 0000           .4byte  0x41b
+ 9658 0e4e 00                  .byte   0x0
+ 9659 0e4f 00                  .byte   0x0
+ 9660 0e50 00                  .byte   0x0
+ 9661 0e51 0D                  .uleb128 0xd
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 172
+
+
+ 9662 0e52 3A00 0000           .4byte  0x3a
+ 9663 0e56 610E 0000           .4byte  0xe61
+ 9664 0e5a 0E                  .uleb128 0xe
+ 9665 0e5b A102 0000           .4byte  0x2a1
+ 9666 0e5f 06                  .byte   0x6
+ 9667 0e60 00                  .byte   0x0
+ 9668 0e61 20                  .uleb128 0x20
+ 9669 0e62 D004 0000           .4byte  0x4d0
+ 9670 0e66 0000                .2byte  .LFB32
+ 9671 0e68 0000                .2byte  .LFE32
+ 9672 0e6a 02                  .byte   0x2
+ 9673 0e6b 71                  .byte   0x71
+ 9674 0e6c 02                  .sleb128 2
+ 9675 0e6d 980E 0000           .4byte  0xe98
+ 9676 0e71 21                  .uleb128 0x21
+ 9677 0e72 DF04 0000           .4byte  0x4df
+ 9678 0e76 0000 0000           .4byte  .LLST62
+ 9679 0e7a 2F                  .uleb128 0x2f
+ 9680 0e7b 0204 0000           .4byte  0x402
+ 9681 0e7f 0000                .2byte  .LBB322
+ 9682 0e81 0000                .2byte  .LBE322
+ 9683 0e83 01                  .byte   0x1
+ 9684 0e84 4304                .2byte  0x443
+ 9685 0e86 2D                  .uleb128 0x2d
+ 9686 0e87 B305 0000           .4byte  0x5b3
+ 9687 0e8b 2E                  .uleb128 0x2e
+ 9688 0e8c 0000                .2byte  .LBB323
+ 9689 0e8e 0000                .2byte  .LBE323
+ 9690 0e90 22                  .uleb128 0x22
+ 9691 0e91 1B04 0000           .4byte  0x41b
+ 9692 0e95 00                  .byte   0x0
+ 9693 0e96 00                  .byte   0x0
+ 9694 0e97 00                  .byte   0x0
+ 9695 0e98 38                  .uleb128 0x38
+ 9696 0e99 01                  .byte   0x1
+ 9697 0e9a 0000 0000           .4byte  .LASF74
+ 9698 0e9e 01                  .byte   0x1
+ 9699 0e9f 4604                .2byte  0x446
+ 9700 0ea1 01                  .byte   0x1
+ 9701 0ea2 0000                .2byte  .LFB33
+ 9702 0ea4 0000                .2byte  .LFE33
+ 9703 0ea6 0000 0000           .4byte  .LLST63
+ 9704 0eaa 250F 0000           .4byte  0xf25
+ 9705 0eae 25                  .uleb128 0x25
+ 9706 0eaf 6E00                .string "n"
+ 9707 0eb1 01                  .byte   0x1
+ 9708 0eb2 4604                .2byte  0x446
+ 9709 0eb4 3A00 0000           .4byte  0x3a
+ 9710 0eb8 0000 0000           .4byte  .LLST64
+ 9711 0ebc 2C                  .uleb128 0x2c
+ 9712 0ebd D004 0000           .4byte  0x4d0
+ 9713 0ec1 0000                .2byte  .LBB324
+ 9714 0ec3 0000                .2byte  .LBE324
+ 9715 0ec5 01                  .byte   0x1
+ 9716 0ec6 4804                .2byte  0x448
+ 9717 0ec8 F30E 0000           .4byte  0xef3
+ 9718 0ecc 21                  .uleb128 0x21
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 173
+
+
+ 9719 0ecd 710E 0000           .4byte  0xe71
+ 9720 0ed1 0000 0000           .4byte  .LLST65
+ 9721 0ed5 2F                  .uleb128 0x2f
+ 9722 0ed6 0204 0000           .4byte  0x402
+ 9723 0eda 0000                .2byte  .LBB326
+ 9724 0edc 0000                .2byte  .LBE326
+ 9725 0ede 01                  .byte   0x1
+ 9726 0edf 4304                .2byte  0x443
+ 9727 0ee1 2D                  .uleb128 0x2d
+ 9728 0ee2 B305 0000           .4byte  0x5b3
+ 9729 0ee6 2E                  .uleb128 0x2e
+ 9730 0ee7 0000                .2byte  .LBB327
+ 9731 0ee9 0000                .2byte  .LBE327
+ 9732 0eeb 22                  .uleb128 0x22
+ 9733 0eec 1B04 0000           .4byte  0x41b
+ 9734 0ef0 00                  .byte   0x0
+ 9735 0ef1 00                  .byte   0x0
+ 9736 0ef2 00                  .byte   0x0
+ 9737 0ef3 2F                  .uleb128 0x2f
+ 9738 0ef4 D004 0000           .4byte  0x4d0
+ 9739 0ef8 0000                .2byte  .LBB328
+ 9740 0efa 0000                .2byte  .LBE328
+ 9741 0efc 01                  .byte   0x1
+ 9742 0efd 4904                .2byte  0x449
+ 9743 0eff 33                  .uleb128 0x33
+ 9744 0f00 710E 0000           .4byte  0xe71
+ 9745 0f04 01                  .byte   0x1
+ 9746 0f05 5D                  .byte   0x5d
+ 9747 0f06 2F                  .uleb128 0x2f
+ 9748 0f07 0204 0000           .4byte  0x402
+ 9749 0f0b 0000                .2byte  .LBB330
+ 9750 0f0d 0000                .2byte  .LBE330
+ 9751 0f0f 01                  .byte   0x1
+ 9752 0f10 4304                .2byte  0x443
+ 9753 0f12 2D                  .uleb128 0x2d
+ 9754 0f13 B305 0000           .4byte  0x5b3
+ 9755 0f17 2E                  .uleb128 0x2e
+ 9756 0f18 0000                .2byte  .LBB331
+ 9757 0f1a 0000                .2byte  .LBE331
+ 9758 0f1c 22                  .uleb128 0x22
+ 9759 0f1d 1B04 0000           .4byte  0x41b
+ 9760 0f21 00                  .byte   0x0
+ 9761 0f22 00                  .byte   0x0
+ 9762 0f23 00                  .byte   0x0
+ 9763 0f24 00                  .byte   0x0
+ 9764 0f25 38                  .uleb128 0x38
+ 9765 0f26 01                  .byte   0x1
+ 9766 0f27 0000 0000           .4byte  .LASF75
+ 9767 0f2b 01                  .byte   0x1
+ 9768 0f2c 4C04                .2byte  0x44c
+ 9769 0f2e 01                  .byte   0x1
+ 9770 0f2f 0000                .2byte  .LFB34
+ 9771 0f31 0000                .2byte  .LFE34
+ 9772 0f33 0000 0000           .4byte  .LLST66
+ 9773 0f37 4A0F 0000           .4byte  0xf4a
+ 9774 0f3b 25                  .uleb128 0x25
+ 9775 0f3c 6E00                .string "n"
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 174
+
+
+ 9776 0f3e 01                  .byte   0x1
+ 9777 0f3f 4C04                .2byte  0x44c
+ 9778 0f41 3A00 0000           .4byte  0x3a
+ 9779 0f45 0000 0000           .4byte  .LLST67
+ 9780 0f49 00                  .byte   0x0
+ 9781 0f4a 38                  .uleb128 0x38
+ 9782 0f4b 01                  .byte   0x1
+ 9783 0f4c 0000 0000           .4byte  .LASF76
+ 9784 0f50 01                  .byte   0x1
+ 9785 0f51 6B04                .2byte  0x46b
+ 9786 0f53 01                  .byte   0x1
+ 9787 0f54 0000                .2byte  .LFB36
+ 9788 0f56 0000                .2byte  .LFE36
+ 9789 0f58 0000 0000           .4byte  .LLST68
+ 9790 0f5c D918 0000           .4byte  0x18d9
+ 9791 0f60 25                  .uleb128 0x25
+ 9792 0f61 6E00                .string "n"
+ 9793 0f63 01                  .byte   0x1
+ 9794 0f64 6B04                .2byte  0x46b
+ 9795 0f66 3A00 0000           .4byte  0x3a
+ 9796 0f6a 0000 0000           .4byte  .LLST69
+ 9797 0f6e 28                  .uleb128 0x28
+ 9798 0f6f 6900                .string "i"
+ 9799 0f71 01                  .byte   0x1
+ 9800 0f72 6C04                .2byte  0x46c
+ 9801 0f74 3A00 0000           .4byte  0x3a
+ 9802 0f78 0000 0000           .4byte  .LLST70
+ 9803 0f7c 28                  .uleb128 0x28
+ 9804 0f7d 6A00                .string "j"
+ 9805 0f7f 01                  .byte   0x1
+ 9806 0f80 6C04                .2byte  0x46c
+ 9807 0f82 3A00 0000           .4byte  0x3a
+ 9808 0f86 0000 0000           .4byte  .LLST71
+ 9809 0f8a 28                  .uleb128 0x28
+ 9810 0f8b 6B00                .string "k"
+ 9811 0f8d 01                  .byte   0x1
+ 9812 0f8e 6C04                .2byte  0x46c
+ 9813 0f90 3A00 0000           .4byte  0x3a
+ 9814 0f94 0000 0000           .4byte  .LLST72
+ 9815 0f98 28                  .uleb128 0x28
+ 9816 0f99 6D00                .string "m"
+ 9817 0f9b 01                  .byte   0x1
+ 9818 0f9c 6C04                .2byte  0x46c
+ 9819 0f9e 3A00 0000           .4byte  0x3a
+ 9820 0fa2 0000 0000           .4byte  .LLST73
+ 9821 0fa6 18                  .uleb128 0x18
+ 9822 0fa7 7800                .string "x"
+ 9823 0fa9 01                  .byte   0x1
+ 9824 0faa 6D04                .2byte  0x46d
+ 9825 0fac 5E00 0000           .4byte  0x5e
+ 9826 0fb0 18                  .uleb128 0x18
+ 9827 0fb1 7900                .string "y"
+ 9828 0fb3 01                  .byte   0x1
+ 9829 0fb4 6D04                .2byte  0x46d
+ 9830 0fb6 5E00 0000           .4byte  0x5e
+ 9831 0fba 18                  .uleb128 0x18
+ 9832 0fbb 7A00                .string "z"
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 175
+
+
+ 9833 0fbd 01                  .byte   0x1
+ 9834 0fbe 6D04                .2byte  0x46d
+ 9835 0fc0 5E00 0000           .4byte  0x5e
+ 9836 0fc4 2C                  .uleb128 0x2c
+ 9837 0fc5 6004 0000           .4byte  0x460
+ 9838 0fc9 0000                .2byte  .LBB332
+ 9839 0fcb 0000                .2byte  .LBE332
+ 9840 0fcd 01                  .byte   0x1
+ 9841 0fce DB05                .2byte  0x5db
+ 9842 0fd0 FB0F 0000           .4byte  0xffb
+ 9843 0fd4 21                  .uleb128 0x21
+ 9844 0fd5 2B09 0000           .4byte  0x92b
+ 9845 0fd9 0000 0000           .4byte  .LLST74
+ 9846 0fdd 2F                  .uleb128 0x2f
+ 9847 0fde 0204 0000           .4byte  0x402
+ 9848 0fe2 0000                .2byte  .LBB334
+ 9849 0fe4 0000                .2byte  .LBE334
+ 9850 0fe6 01                  .byte   0x1
+ 9851 0fe7 4103                .2byte  0x341
+ 9852 0fe9 2D                  .uleb128 0x2d
+ 9853 0fea B305 0000           .4byte  0x5b3
+ 9854 0fee 2E                  .uleb128 0x2e
+ 9855 0fef 0000                .2byte  .LBB335
+ 9856 0ff1 0000                .2byte  .LBE335
+ 9857 0ff3 22                  .uleb128 0x22
+ 9858 0ff4 1B04 0000           .4byte  0x41b
+ 9859 0ff8 00                  .byte   0x0
+ 9860 0ff9 00                  .byte   0x0
+ 9861 0ffa 00                  .byte   0x0
+ 9862 0ffb 2C                  .uleb128 0x2c
+ 9863 0ffc 2604 0000           .4byte  0x426
+ 9864 1000 0000                .2byte  .LBB336
+ 9865 1002 0000                .2byte  .LBE336
+ 9866 1004 01                  .byte   0x1
+ 9867 1005 8305                .2byte  0x583
+ 9868 1007 1B10 0000           .4byte  0x101b
+ 9869 100b 2E                  .uleb128 0x2e
+ 9870 100c 0000                .2byte  .LBB337
+ 9871 100e 0000                .2byte  .LBE337
+ 9872 1010 2B                  .uleb128 0x2b
+ 9873 1011 3804 0000           .4byte  0x438
+ 9874 1015 0000 0000           .4byte  .LLST75
+ 9875 1019 00                  .byte   0x0
+ 9876 101a 00                  .byte   0x0
+ 9877 101b 2C                  .uleb128 0x2c
+ 9878 101c 8504 0000           .4byte  0x485
+ 9879 1020 0000                .2byte  .LBB338
+ 9880 1022 0000                .2byte  .LBE338
+ 9881 1024 01                  .byte   0x1
+ 9882 1025 8305                .2byte  0x583
+ 9883 1027 3C10 0000           .4byte  0x103c
+ 9884 102b 2D                  .uleb128 0x2d
+ 9885 102c 7A0A 0000           .4byte  0xa7a
+ 9886 1030 2E                  .uleb128 0x2e
+ 9887 1031 0000                .2byte  .LBB339
+ 9888 1033 0000                .2byte  .LBE339
+ 9889 1035 22                  .uleb128 0x22
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 176
+
+
+ 9890 1036 9E04 0000           .4byte  0x49e
+ 9891 103a 00                  .byte   0x0
+ 9892 103b 00                  .byte   0x0
+ 9893 103c 30                  .uleb128 0x30
+ 9894 103d 2105 0000           .4byte  0x521
+ 9895 1041 0000                .2byte  .LBB340
+ 9896 1043 0000 0000           .4byte  .Ldebug_ranges0+0x48
+ 9897 1047 01                  .byte   0x1
+ 9898 1048 1B05                .2byte  0x51b
+ 9899 104a 7D10 0000           .4byte  0x107d
+ 9900 104e 31                  .uleb128 0x31
+ 9901 104f 0000 0000           .4byte  .Ldebug_ranges0+0x54
+ 9902 1053 2B                  .uleb128 0x2b
+ 9903 1054 2F05 0000           .4byte  0x52f
+ 9904 1058 0000 0000           .4byte  .LLST76
+ 9905 105c 2F                  .uleb128 0x2f
+ 9906 105d 8504 0000           .4byte  0x485
+ 9907 1061 0000                .2byte  .LBB342
+ 9908 1063 0000                .2byte  .LBE342
+ 9909 1065 01                  .byte   0x1
+ 9910 1066 0B04                .2byte  0x40b
+ 9911 1068 33                  .uleb128 0x33
+ 9912 1069 7A0A 0000           .4byte  0xa7a
+ 9913 106d 01                  .byte   0x1
+ 9914 106e 5F                  .byte   0x5f
+ 9915 106f 2E                  .uleb128 0x2e
+ 9916 1070 0000                .2byte  .LBB343
+ 9917 1072 0000                .2byte  .LBE343
+ 9918 1074 22                  .uleb128 0x22
+ 9919 1075 9E04 0000           .4byte  0x49e
+ 9920 1079 00                  .byte   0x0
+ 9921 107a 00                  .byte   0x0
+ 9922 107b 00                  .byte   0x0
+ 9923 107c 00                  .byte   0x0
+ 9924 107d 30                  .uleb128 0x30
+ 9925 107e 8504 0000           .4byte  0x485
+ 9926 1082 0000                .2byte  .LBB345
+ 9927 1084 0000 0000           .4byte  .Ldebug_ranges0+0x60
+ 9928 1088 01                  .byte   0x1
+ 9929 1089 6205                .2byte  0x562
+ 9930 108b A010 0000           .4byte  0x10a0
+ 9931 108f 2D                  .uleb128 0x2d
+ 9932 1090 7A0A 0000           .4byte  0xa7a
+ 9933 1094 31                  .uleb128 0x31
+ 9934 1095 0000 0000           .4byte  .Ldebug_ranges0+0x7c
+ 9935 1099 22                  .uleb128 0x22
+ 9936 109a 9E04 0000           .4byte  0x49e
+ 9937 109e 00                  .byte   0x0
+ 9938 109f 00                  .byte   0x0
+ 9939 10a0 30                  .uleb128 0x30
+ 9940 10a1 8504 0000           .4byte  0x485
+ 9941 10a5 0000                .2byte  .LBB352
+ 9942 10a7 0000 0000           .4byte  .Ldebug_ranges0+0x98
+ 9943 10ab 01                  .byte   0x1
+ 9944 10ac 0B05                .2byte  0x50b
+ 9945 10ae C310 0000           .4byte  0x10c3
+ 9946 10b2 2D                  .uleb128 0x2d
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 177
+
+
+ 9947 10b3 7A0A 0000           .4byte  0xa7a
+ 9948 10b7 31                  .uleb128 0x31
+ 9949 10b8 0000 0000           .4byte  .Ldebug_ranges0+0xa8
+ 9950 10bc 22                  .uleb128 0x22
+ 9951 10bd 9E04 0000           .4byte  0x49e
+ 9952 10c1 00                  .byte   0x0
+ 9953 10c2 00                  .byte   0x0
+ 9954 10c3 2C                  .uleb128 0x2c
+ 9955 10c4 A904 0000           .4byte  0x4a9
+ 9956 10c8 0000                .2byte  .LBB356
+ 9957 10ca 0000                .2byte  .LBE356
+ 9958 10cc 01                  .byte   0x1
+ 9959 10cd 0205                .2byte  0x502
+ 9960 10cf E810 0000           .4byte  0x10e8
+ 9961 10d3 2E                  .uleb128 0x2e
+ 9962 10d4 0000                .2byte  .LBB357
+ 9963 10d6 0000                .2byte  .LBE357
+ 9964 10d8 22                  .uleb128 0x22
+ 9965 10d9 BB04 0000           .4byte  0x4bb
+ 9966 10dd 2B                  .uleb128 0x2b
+ 9967 10de C504 0000           .4byte  0x4c5
+ 9968 10e2 0000 0000           .4byte  .LLST77
+ 9969 10e6 00                  .byte   0x0
+ 9970 10e7 00                  .byte   0x0
+ 9971 10e8 2C                  .uleb128 0x2c
+ 9972 10e9 0405 0000           .4byte  0x504
+ 9973 10ed 0000                .2byte  .LBB358
+ 9974 10ef 0000                .2byte  .LBE358
+ 9975 10f1 01                  .byte   0x1
+ 9976 10f2 F304                .2byte  0x4f3
+ 9977 10f4 0411 0000           .4byte  0x1104
+ 9978 10f8 2E                  .uleb128 0x2e
+ 9979 10f9 0000                .2byte  .LBB359
+ 9980 10fb 0000                .2byte  .LBE359
+ 9981 10fd 22                  .uleb128 0x22
+ 9982 10fe 1605 0000           .4byte  0x516
+ 9983 1102 00                  .byte   0x0
+ 9984 1103 00                  .byte   0x0
+ 9985 1104 2C                  .uleb128 0x2c
+ 9986 1105 A904 0000           .4byte  0x4a9
+ 9987 1109 0000                .2byte  .LBB360
+ 9988 110b 0000                .2byte  .LBE360
+ 9989 110d 01                  .byte   0x1
+ 9990 110e F404                .2byte  0x4f4
+ 9991 1110 2511 0000           .4byte  0x1125
+ 9992 1114 2E                  .uleb128 0x2e
+ 9993 1115 0000                .2byte  .LBB361
+ 9994 1117 0000                .2byte  .LBE361
+ 9995 1119 22                  .uleb128 0x22
+ 9996 111a BB04 0000           .4byte  0x4bb
+ 9997 111e 22                  .uleb128 0x22
+ 9998 111f C504 0000           .4byte  0x4c5
+ 9999 1123 00                  .byte   0x0
+ 10000 1124 00                 .byte   0x0
+ 10001 1125 2C                 .uleb128 0x2c
+ 10002 1126 EA04 0000          .4byte  0x4ea
+ 10003 112a 0000               .2byte  .LBB362
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 178
+
+
+ 10004 112c 0000               .2byte  .LBE362
+ 10005 112e 01                 .byte   0x1
+ 10006 112f EF04               .2byte  0x4ef
+ 10007 1131 3B11 0000          .4byte  0x113b
+ 10008 1135 2D                 .uleb128 0x2d
+ 10009 1136 AD0A 0000          .4byte  0xaad
+ 10010 113a 00                 .byte   0x0
+ 10011 113b 2C                 .uleb128 0x2c
+ 10012 113c A904 0000          .4byte  0x4a9
+ 10013 1140 0000               .2byte  .LBB364
+ 10014 1142 0000               .2byte  .LBE364
+ 10015 1144 01                 .byte   0x1
+ 10016 1145 7504               .2byte  0x475
+ 10017 1147 5C11 0000          .4byte  0x115c
+ 10018 114b 2E                 .uleb128 0x2e
+ 10019 114c 0000               .2byte  .LBB365
+ 10020 114e 0000               .2byte  .LBE365
+ 10021 1150 22                 .uleb128 0x22
+ 10022 1151 BB04 0000          .4byte  0x4bb
+ 10023 1155 22                 .uleb128 0x22
+ 10024 1156 C504 0000          .4byte  0x4c5
+ 10025 115a 00                 .byte   0x0
+ 10026 115b 00                 .byte   0x0
+ 10027 115c 2C                 .uleb128 0x2c
+ 10028 115d 0204 0000          .4byte  0x402
+ 10029 1161 0000               .2byte  .LBB366
+ 10030 1163 0000               .2byte  .LBE366
+ 10031 1165 01                 .byte   0x1
+ 10032 1166 8705               .2byte  0x587
+ 10033 1168 7D11 0000          .4byte  0x117d
+ 10034 116c 2D                 .uleb128 0x2d
+ 10035 116d B305 0000          .4byte  0x5b3
+ 10036 1171 2E                 .uleb128 0x2e
+ 10037 1172 0000               .2byte  .LBB367
+ 10038 1174 0000               .2byte  .LBE367
+ 10039 1176 22                 .uleb128 0x22
+ 10040 1177 1B04 0000          .4byte  0x41b
+ 10041 117b 00                 .byte   0x0
+ 10042 117c 00                 .byte   0x0
+ 10043 117d 2C                 .uleb128 0x2c
+ 10044 117e 0204 0000          .4byte  0x402
+ 10045 1182 0000               .2byte  .LBB368
+ 10046 1184 0000               .2byte  .LBE368
+ 10047 1186 01                 .byte   0x1
+ 10048 1187 8805               .2byte  0x588
+ 10049 1189 9E11 0000          .4byte  0x119e
+ 10050 118d 2D                 .uleb128 0x2d
+ 10051 118e B305 0000          .4byte  0x5b3
+ 10052 1192 2E                 .uleb128 0x2e
+ 10053 1193 0000               .2byte  .LBB369
+ 10054 1195 0000               .2byte  .LBE369
+ 10055 1197 22                 .uleb128 0x22
+ 10056 1198 1B04 0000          .4byte  0x41b
+ 10057 119c 00                 .byte   0x0
+ 10058 119d 00                 .byte   0x0
+ 10059 119e 2C                 .uleb128 0x2c
+ 10060 119f 8504 0000          .4byte  0x485
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 179
+
+
+ 10061 11a3 0000               .2byte  .LBB370
+ 10062 11a5 0000               .2byte  .LBE370
+ 10063 11a7 01                 .byte   0x1
+ 10064 11a8 9905               .2byte  0x599
+ 10065 11aa BF11 0000          .4byte  0x11bf
+ 10066 11ae 2D                 .uleb128 0x2d
+ 10067 11af 7A0A 0000          .4byte  0xa7a
+ 10068 11b3 2E                 .uleb128 0x2e
+ 10069 11b4 0000               .2byte  .LBB371
+ 10070 11b6 0000               .2byte  .LBE371
+ 10071 11b8 22                 .uleb128 0x22
+ 10072 11b9 9E04 0000          .4byte  0x49e
+ 10073 11bd 00                 .byte   0x0
+ 10074 11be 00                 .byte   0x0
+ 10075 11bf 2C                 .uleb128 0x2c
+ 10076 11c0 0204 0000          .4byte  0x402
+ 10077 11c4 0000               .2byte  .LBB372
+ 10078 11c6 0000               .2byte  .LBE372
+ 10079 11c8 01                 .byte   0x1
+ 10080 11c9 B705               .2byte  0x5b7
+ 10081 11cb E011 0000          .4byte  0x11e0
+ 10082 11cf 2D                 .uleb128 0x2d
+ 10083 11d0 B305 0000          .4byte  0x5b3
+ 10084 11d4 2E                 .uleb128 0x2e
+ 10085 11d5 0000               .2byte  .LBB373
+ 10086 11d7 0000               .2byte  .LBE373
+ 10087 11d9 22                 .uleb128 0x22
+ 10088 11da 1B04 0000          .4byte  0x41b
+ 10089 11de 00                 .byte   0x0
+ 10090 11df 00                 .byte   0x0
+ 10091 11e0 2C                 .uleb128 0x2c
+ 10092 11e1 0204 0000          .4byte  0x402
+ 10093 11e5 0000               .2byte  .LBB374
+ 10094 11e7 0000               .2byte  .LBE374
+ 10095 11e9 01                 .byte   0x1
+ 10096 11ea B905               .2byte  0x5b9
+ 10097 11ec 0112 0000          .4byte  0x1201
+ 10098 11f0 2D                 .uleb128 0x2d
+ 10099 11f1 B305 0000          .4byte  0x5b3
+ 10100 11f5 2E                 .uleb128 0x2e
+ 10101 11f6 0000               .2byte  .LBB375
+ 10102 11f8 0000               .2byte  .LBE375
+ 10103 11fa 22                 .uleb128 0x22
+ 10104 11fb 1B04 0000          .4byte  0x41b
+ 10105 11ff 00                 .byte   0x0
+ 10106 1200 00                 .byte   0x0
+ 10107 1201 2C                 .uleb128 0x2c
+ 10108 1202 0204 0000          .4byte  0x402
+ 10109 1206 0000               .2byte  .LBB376
+ 10110 1208 0000               .2byte  .LBE376
+ 10111 120a 01                 .byte   0x1
+ 10112 120b AD05               .2byte  0x5ad
+ 10113 120d 2212 0000          .4byte  0x1222
+ 10114 1211 2D                 .uleb128 0x2d
+ 10115 1212 B305 0000          .4byte  0x5b3
+ 10116 1216 2E                 .uleb128 0x2e
+ 10117 1217 0000               .2byte  .LBB377
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 180
+
+
+ 10118 1219 0000               .2byte  .LBE377
+ 10119 121b 22                 .uleb128 0x22
+ 10120 121c 1B04 0000          .4byte  0x41b
+ 10121 1220 00                 .byte   0x0
+ 10122 1221 00                 .byte   0x0
+ 10123 1222 2C                 .uleb128 0x2c
+ 10124 1223 0204 0000          .4byte  0x402
+ 10125 1227 0000               .2byte  .LBB378
+ 10126 1229 0000               .2byte  .LBE378
+ 10127 122b 01                 .byte   0x1
+ 10128 122c AF05               .2byte  0x5af
+ 10129 122e 4312 0000          .4byte  0x1243
+ 10130 1232 2D                 .uleb128 0x2d
+ 10131 1233 B305 0000          .4byte  0x5b3
+ 10132 1237 2E                 .uleb128 0x2e
+ 10133 1238 0000               .2byte  .LBB379
+ 10134 123a 0000               .2byte  .LBE379
+ 10135 123c 22                 .uleb128 0x22
+ 10136 123d 1B04 0000          .4byte  0x41b
+ 10137 1241 00                 .byte   0x0
+ 10138 1242 00                 .byte   0x0
+ 10139 1243 2C                 .uleb128 0x2c
+ 10140 1244 0204 0000          .4byte  0x402
+ 10141 1248 0000               .2byte  .LBB380
+ 10142 124a 0000               .2byte  .LBE380
+ 10143 124c 01                 .byte   0x1
+ 10144 124d B005               .2byte  0x5b0
+ 10145 124f 6412 0000          .4byte  0x1264
+ 10146 1253 2D                 .uleb128 0x2d
+ 10147 1254 B305 0000          .4byte  0x5b3
+ 10148 1258 2E                 .uleb128 0x2e
+ 10149 1259 0000               .2byte  .LBB381
+ 10150 125b 0000               .2byte  .LBE381
+ 10151 125d 22                 .uleb128 0x22
+ 10152 125e 1B04 0000          .4byte  0x41b
+ 10153 1262 00                 .byte   0x0
+ 10154 1263 00                 .byte   0x0
+ 10155 1264 2C                 .uleb128 0x2c
+ 10156 1265 8504 0000          .4byte  0x485
+ 10157 1269 0000               .2byte  .LBB382
+ 10158 126b 0000               .2byte  .LBE382
+ 10159 126d 01                 .byte   0x1
+ 10160 126e 4205               .2byte  0x542
+ 10161 1270 8512 0000          .4byte  0x1285
+ 10162 1274 2D                 .uleb128 0x2d
+ 10163 1275 7A0A 0000          .4byte  0xa7a
+ 10164 1279 2E                 .uleb128 0x2e
+ 10165 127a 0000               .2byte  .LBB383
+ 10166 127c 0000               .2byte  .LBE383
+ 10167 127e 22                 .uleb128 0x22
+ 10168 127f 9E04 0000          .4byte  0x49e
+ 10169 1283 00                 .byte   0x0
+ 10170 1284 00                 .byte   0x0
+ 10171 1285 2C                 .uleb128 0x2c
+ 10172 1286 A904 0000          .4byte  0x4a9
+ 10173 128a 0000               .2byte  .LBB385
+ 10174 128c 0000               .2byte  .LBE385
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 181
+
+
+ 10175 128e 01                 .byte   0x1
+ 10176 128f 3B05               .2byte  0x53b
+ 10177 1291 A612 0000          .4byte  0x12a6
+ 10178 1295 2E                 .uleb128 0x2e
+ 10179 1296 0000               .2byte  .LBB386
+ 10180 1298 0000               .2byte  .LBE386
+ 10181 129a 22                 .uleb128 0x22
+ 10182 129b BB04 0000          .4byte  0x4bb
+ 10183 129f 22                 .uleb128 0x22
+ 10184 12a0 C504 0000          .4byte  0x4c5
+ 10185 12a4 00                 .byte   0x0
+ 10186 12a5 00                 .byte   0x0
+ 10187 12a6 2C                 .uleb128 0x2c
+ 10188 12a7 A904 0000          .4byte  0x4a9
+ 10189 12ab 0000               .2byte  .LBB387
+ 10190 12ad 0000               .2byte  .LBE387
+ 10191 12af 01                 .byte   0x1
+ 10192 12b0 3D05               .2byte  0x53d
+ 10193 12b2 C712 0000          .4byte  0x12c7
+ 10194 12b6 2E                 .uleb128 0x2e
+ 10195 12b7 0000               .2byte  .LBB388
+ 10196 12b9 0000               .2byte  .LBE388
+ 10197 12bb 22                 .uleb128 0x22
+ 10198 12bc BB04 0000          .4byte  0x4bb
+ 10199 12c0 22                 .uleb128 0x22
+ 10200 12c1 C504 0000          .4byte  0x4c5
+ 10201 12c5 00                 .byte   0x0
+ 10202 12c6 00                 .byte   0x0
+ 10203 12c7 2C                 .uleb128 0x2c
+ 10204 12c8 A904 0000          .4byte  0x4a9
+ 10205 12cc 0000               .2byte  .LBB389
+ 10206 12ce 0000               .2byte  .LBE389
+ 10207 12d0 01                 .byte   0x1
+ 10208 12d1 3405               .2byte  0x534
+ 10209 12d3 E812 0000          .4byte  0x12e8
+ 10210 12d7 2E                 .uleb128 0x2e
+ 10211 12d8 0000               .2byte  .LBB390
+ 10212 12da 0000               .2byte  .LBE390
+ 10213 12dc 22                 .uleb128 0x22
+ 10214 12dd BB04 0000          .4byte  0x4bb
+ 10215 12e1 22                 .uleb128 0x22
+ 10216 12e2 C504 0000          .4byte  0x4c5
+ 10217 12e6 00                 .byte   0x0
+ 10218 12e7 00                 .byte   0x0
+ 10219 12e8 2C                 .uleb128 0x2c
+ 10220 12e9 8504 0000          .4byte  0x485
+ 10221 12ed 0000               .2byte  .LBB391
+ 10222 12ef 0000               .2byte  .LBE391
+ 10223 12f1 01                 .byte   0x1
+ 10224 12f2 3705               .2byte  0x537
+ 10225 12f4 0913 0000          .4byte  0x1309
+ 10226 12f8 2D                 .uleb128 0x2d
+ 10227 12f9 7A0A 0000          .4byte  0xa7a
+ 10228 12fd 2E                 .uleb128 0x2e
+ 10229 12fe 0000               .2byte  .LBB392
+ 10230 1300 0000               .2byte  .LBE392
+ 10231 1302 22                 .uleb128 0x22
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 182
+
+
+ 10232 1303 9E04 0000          .4byte  0x49e
+ 10233 1307 00                 .byte   0x0
+ 10234 1308 00                 .byte   0x0
+ 10235 1309 2C                 .uleb128 0x2c
+ 10236 130a 0405 0000          .4byte  0x504
+ 10237 130e 0000               .2byte  .LBB394
+ 10238 1310 0000               .2byte  .LBE394
+ 10239 1312 01                 .byte   0x1
+ 10240 1313 3005               .2byte  0x530
+ 10241 1315 2513 0000          .4byte  0x1325
+ 10242 1319 2E                 .uleb128 0x2e
+ 10243 131a 0000               .2byte  .LBB395
+ 10244 131c 0000               .2byte  .LBE395
+ 10245 131e 22                 .uleb128 0x22
+ 10246 131f 1605 0000          .4byte  0x516
+ 10247 1323 00                 .byte   0x0
+ 10248 1324 00                 .byte   0x0
+ 10249 1325 2C                 .uleb128 0x2c
+ 10250 1326 A904 0000          .4byte  0x4a9
+ 10251 132a 0000               .2byte  .LBB396
+ 10252 132c 0000               .2byte  .LBE396
+ 10253 132e 01                 .byte   0x1
+ 10254 132f 2B05               .2byte  0x52b
+ 10255 1331 4A13 0000          .4byte  0x134a
+ 10256 1335 2E                 .uleb128 0x2e
+ 10257 1336 0000               .2byte  .LBB397
+ 10258 1338 0000               .2byte  .LBE397
+ 10259 133a 22                 .uleb128 0x22
+ 10260 133b BB04 0000          .4byte  0x4bb
+ 10261 133f 2B                 .uleb128 0x2b
+ 10262 1340 C504 0000          .4byte  0x4c5
+ 10263 1344 0000 0000          .4byte  .LLST78
+ 10264 1348 00                 .byte   0x0
+ 10265 1349 00                 .byte   0x0
+ 10266 134a 2C                 .uleb128 0x2c
+ 10267 134b 0204 0000          .4byte  0x402
+ 10268 134f 0000               .2byte  .LBB398
+ 10269 1351 0000               .2byte  .LBE398
+ 10270 1353 01                 .byte   0x1
+ 10271 1354 2B05               .2byte  0x52b
+ 10272 1356 6B13 0000          .4byte  0x136b
+ 10273 135a 2D                 .uleb128 0x2d
+ 10274 135b B305 0000          .4byte  0x5b3
+ 10275 135f 2E                 .uleb128 0x2e
+ 10276 1360 0000               .2byte  .LBB399
+ 10277 1362 0000               .2byte  .LBE399
+ 10278 1364 22                 .uleb128 0x22
+ 10279 1365 1B04 0000          .4byte  0x41b
+ 10280 1369 00                 .byte   0x0
+ 10281 136a 00                 .byte   0x0
+ 10282 136b 30                 .uleb128 0x30
+ 10283 136c 6004 0000          .4byte  0x460
+ 10284 1370 0000               .2byte  .LBB400
+ 10285 1372 0000 0000          .4byte  .Ldebug_ranges0+0xb8
+ 10286 1376 01                 .byte   0x1
+ 10287 1377 2705               .2byte  0x527
+ 10288 1379 A413 0000          .4byte  0x13a4
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 183
+
+
+ 10289 137d 21                 .uleb128 0x21
+ 10290 137e 2B09 0000          .4byte  0x92b
+ 10291 1382 0000 0000          .4byte  .LLST79
+ 10292 1386 2F                 .uleb128 0x2f
+ 10293 1387 0204 0000          .4byte  0x402
+ 10294 138b 0000               .2byte  .LBB402
+ 10295 138d 0000               .2byte  .LBE402
+ 10296 138f 01                 .byte   0x1
+ 10297 1390 4103               .2byte  0x341
+ 10298 1392 2D                 .uleb128 0x2d
+ 10299 1393 B305 0000          .4byte  0x5b3
+ 10300 1397 2E                 .uleb128 0x2e
+ 10301 1398 0000               .2byte  .LBB403
+ 10302 139a 0000               .2byte  .LBE403
+ 10303 139c 22                 .uleb128 0x22
+ 10304 139d 1B04 0000          .4byte  0x41b
+ 10305 13a1 00                 .byte   0x0
+ 10306 13a2 00                 .byte   0x0
+ 10307 13a3 00                 .byte   0x0
+ 10308 13a4 30                 .uleb128 0x30
+ 10309 13a5 8504 0000          .4byte  0x485
+ 10310 13a9 0000               .2byte  .LBB406
+ 10311 13ab 0000 0000          .4byte  .Ldebug_ranges0+0xc4
+ 10312 13af 01                 .byte   0x1
+ 10313 13b0 2305               .2byte  0x523
+ 10314 13b2 C713 0000          .4byte  0x13c7
+ 10315 13b6 2D                 .uleb128 0x2d
+ 10316 13b7 7A0A 0000          .4byte  0xa7a
+ 10317 13bb 31                 .uleb128 0x31
+ 10318 13bc 0000 0000          .4byte  .Ldebug_ranges0+0xd4
+ 10319 13c0 22                 .uleb128 0x22
+ 10320 13c1 9E04 0000          .4byte  0x49e
+ 10321 13c5 00                 .byte   0x0
+ 10322 13c6 00                 .byte   0x0
+ 10323 13c7 2C                 .uleb128 0x2c
+ 10324 13c8 3A05 0000          .4byte  0x53a
+ 10325 13cc 0000               .2byte  .LBB410
+ 10326 13ce 0000               .2byte  .LBE410
+ 10327 13d0 01                 .byte   0x1
+ 10328 13d1 1F05               .2byte  0x51f
+ 10329 13d3 0614 0000          .4byte  0x1406
+ 10330 13d7 2E                 .uleb128 0x2e
+ 10331 13d8 0000               .2byte  .LBB411
+ 10332 13da 0000               .2byte  .LBE411
+ 10333 13dc 2B                 .uleb128 0x2b
+ 10334 13dd 4805 0000          .4byte  0x548
+ 10335 13e1 0000 0000          .4byte  .LLST80
+ 10336 13e5 2F                 .uleb128 0x2f
+ 10337 13e6 8504 0000          .4byte  0x485
+ 10338 13ea 0000               .2byte  .LBB412
+ 10339 13ec 0000               .2byte  .LBE412
+ 10340 13ee 01                 .byte   0x1
+ 10341 13ef 1104               .2byte  0x411
+ 10342 13f1 33                 .uleb128 0x33
+ 10343 13f2 7A0A 0000          .4byte  0xa7a
+ 10344 13f6 01                 .byte   0x1
+ 10345 13f7 5F                 .byte   0x5f
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 184
+
+
+ 10346 13f8 2E                 .uleb128 0x2e
+ 10347 13f9 0000               .2byte  .LBB413
+ 10348 13fb 0000               .2byte  .LBE413
+ 10349 13fd 22                 .uleb128 0x22
+ 10350 13fe 9E04 0000          .4byte  0x49e
+ 10351 1402 00                 .byte   0x0
+ 10352 1403 00                 .byte   0x0
+ 10353 1404 00                 .byte   0x0
+ 10354 1405 00                 .byte   0x0
+ 10355 1406 2C                 .uleb128 0x2c
+ 10356 1407 A904 0000          .4byte  0x4a9
+ 10357 140b 0000               .2byte  .LBB415
+ 10358 140d 0000               .2byte  .LBE415
+ 10359 140f 01                 .byte   0x1
+ 10360 1410 D005               .2byte  0x5d0
+ 10361 1412 2714 0000          .4byte  0x1427
+ 10362 1416 2E                 .uleb128 0x2e
+ 10363 1417 0000               .2byte  .LBB416
+ 10364 1419 0000               .2byte  .LBE416
+ 10365 141b 22                 .uleb128 0x22
+ 10366 141c BB04 0000          .4byte  0x4bb
+ 10367 1420 22                 .uleb128 0x22
+ 10368 1421 C504 0000          .4byte  0x4c5
+ 10369 1425 00                 .byte   0x0
+ 10370 1426 00                 .byte   0x0
+ 10371 1427 2C                 .uleb128 0x2c
+ 10372 1428 0405 0000          .4byte  0x504
+ 10373 142c 0000               .2byte  .LBB418
+ 10374 142e 0000               .2byte  .LBE418
+ 10375 1430 01                 .byte   0x1
+ 10376 1431 5005               .2byte  0x550
+ 10377 1433 4314 0000          .4byte  0x1443
+ 10378 1437 2E                 .uleb128 0x2e
+ 10379 1438 0000               .2byte  .LBB419
+ 10380 143a 0000               .2byte  .LBE419
+ 10381 143c 22                 .uleb128 0x22
+ 10382 143d 1605 0000          .4byte  0x516
+ 10383 1441 00                 .byte   0x0
+ 10384 1442 00                 .byte   0x0
+ 10385 1443 2C                 .uleb128 0x2c
+ 10386 1444 0405 0000          .4byte  0x504
+ 10387 1448 0000               .2byte  .LBB420
+ 10388 144a 0000               .2byte  .LBE420
+ 10389 144c 01                 .byte   0x1
+ 10390 144d 5105               .2byte  0x551
+ 10391 144f 5F14 0000          .4byte  0x145f
+ 10392 1453 2E                 .uleb128 0x2e
+ 10393 1454 0000               .2byte  .LBB421
+ 10394 1456 0000               .2byte  .LBE421
+ 10395 1458 22                 .uleb128 0x22
+ 10396 1459 1605 0000          .4byte  0x516
+ 10397 145d 00                 .byte   0x0
+ 10398 145e 00                 .byte   0x0
+ 10399 145f 2C                 .uleb128 0x2c
+ 10400 1460 0405 0000          .4byte  0x504
+ 10401 1464 0000               .2byte  .LBB422
+ 10402 1466 0000               .2byte  .LBE422
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 185
+
+
+ 10403 1468 01                 .byte   0x1
+ 10404 1469 5205               .2byte  0x552
+ 10405 146b 7B14 0000          .4byte  0x147b
+ 10406 146f 2E                 .uleb128 0x2e
+ 10407 1470 0000               .2byte  .LBB423
+ 10408 1472 0000               .2byte  .LBE423
+ 10409 1474 22                 .uleb128 0x22
+ 10410 1475 1605 0000          .4byte  0x516
+ 10411 1479 00                 .byte   0x0
+ 10412 147a 00                 .byte   0x0
+ 10413 147b 2C                 .uleb128 0x2c
+ 10414 147c EA04 0000          .4byte  0x4ea
+ 10415 1480 0000               .2byte  .LBB424
+ 10416 1482 0000               .2byte  .LBE424
+ 10417 1484 01                 .byte   0x1
+ 10418 1485 5905               .2byte  0x559
+ 10419 1487 9114 0000          .4byte  0x1491
+ 10420 148b 2D                 .uleb128 0x2d
+ 10421 148c AD0A 0000          .4byte  0xaad
+ 10422 1490 00                 .byte   0x0
+ 10423 1491 2C                 .uleb128 0x2c
+ 10424 1492 EA04 0000          .4byte  0x4ea
+ 10425 1496 0000               .2byte  .LBB426
+ 10426 1498 0000               .2byte  .LBE426
+ 10427 149a 01                 .byte   0x1
+ 10428 149b 5A05               .2byte  0x55a
+ 10429 149d A714 0000          .4byte  0x14a7
+ 10430 14a1 2D                 .uleb128 0x2d
+ 10431 14a2 AD0A 0000          .4byte  0xaad
+ 10432 14a6 00                 .byte   0x0
+ 10433 14a7 2C                 .uleb128 0x2c
+ 10434 14a8 A904 0000          .4byte  0x4a9
+ 10435 14ac 0000               .2byte  .LBB428
+ 10436 14ae 0000               .2byte  .LBE428
+ 10437 14b0 01                 .byte   0x1
+ 10438 14b1 4605               .2byte  0x546
+ 10439 14b3 C814 0000          .4byte  0x14c8
+ 10440 14b7 2E                 .uleb128 0x2e
+ 10441 14b8 0000               .2byte  .LBB429
+ 10442 14ba 0000               .2byte  .LBE429
+ 10443 14bc 22                 .uleb128 0x22
+ 10444 14bd BB04 0000          .4byte  0x4bb
+ 10445 14c1 22                 .uleb128 0x22
+ 10446 14c2 C504 0000          .4byte  0x4c5
+ 10447 14c6 00                 .byte   0x0
+ 10448 14c7 00                 .byte   0x0
+ 10449 14c8 2C                 .uleb128 0x2c
+ 10450 14c9 A904 0000          .4byte  0x4a9
+ 10451 14cd 0000               .2byte  .LBB430
+ 10452 14cf 0000               .2byte  .LBE430
+ 10453 14d1 01                 .byte   0x1
+ 10454 14d2 4705               .2byte  0x547
+ 10455 14d4 E914 0000          .4byte  0x14e9
+ 10456 14d8 2E                 .uleb128 0x2e
+ 10457 14d9 0000               .2byte  .LBB431
+ 10458 14db 0000               .2byte  .LBE431
+ 10459 14dd 22                 .uleb128 0x22
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 186
+
+
+ 10460 14de BB04 0000          .4byte  0x4bb
+ 10461 14e2 22                 .uleb128 0x22
+ 10462 14e3 C504 0000          .4byte  0x4c5
+ 10463 14e7 00                 .byte   0x0
+ 10464 14e8 00                 .byte   0x0
+ 10465 14e9 2C                 .uleb128 0x2c
+ 10466 14ea EA04 0000          .4byte  0x4ea
+ 10467 14ee 0000               .2byte  .LBB432
+ 10468 14f0 0000               .2byte  .LBE432
+ 10469 14f2 01                 .byte   0x1
+ 10470 14f3 4A05               .2byte  0x54a
+ 10471 14f5 FF14 0000          .4byte  0x14ff
+ 10472 14f9 2D                 .uleb128 0x2d
+ 10473 14fa AD0A 0000          .4byte  0xaad
+ 10474 14fe 00                 .byte   0x0
+ 10475 14ff 2C                 .uleb128 0x2c
+ 10476 1500 EA04 0000          .4byte  0x4ea
+ 10477 1504 0000               .2byte  .LBB434
+ 10478 1506 0000               .2byte  .LBE434
+ 10479 1508 01                 .byte   0x1
+ 10480 1509 4B05               .2byte  0x54b
+ 10481 150b 1515 0000          .4byte  0x1515
+ 10482 150f 2D                 .uleb128 0x2d
+ 10483 1510 AD0A 0000          .4byte  0xaad
+ 10484 1514 00                 .byte   0x0
+ 10485 1515 2C                 .uleb128 0x2c
+ 10486 1516 EA04 0000          .4byte  0x4ea
+ 10487 151a 0000               .2byte  .LBB436
+ 10488 151c 0000               .2byte  .LBE436
+ 10489 151e 01                 .byte   0x1
+ 10490 151f 4C05               .2byte  0x54c
+ 10491 1521 2B15 0000          .4byte  0x152b
+ 10492 1525 2D                 .uleb128 0x2d
+ 10493 1526 AD0A 0000          .4byte  0xaad
+ 10494 152a 00                 .byte   0x0
+ 10495 152b 2C                 .uleb128 0x2c
+ 10496 152c A904 0000          .4byte  0x4a9
+ 10497 1530 0000               .2byte  .LBB438
+ 10498 1532 0000               .2byte  .LBE438
+ 10499 1534 01                 .byte   0x1
+ 10500 1535 7205               .2byte  0x572
+ 10501 1537 4C15 0000          .4byte  0x154c
+ 10502 153b 2E                 .uleb128 0x2e
+ 10503 153c 0000               .2byte  .LBB439
+ 10504 153e 0000               .2byte  .LBE439
+ 10505 1540 22                 .uleb128 0x22
+ 10506 1541 BB04 0000          .4byte  0x4bb
+ 10507 1545 22                 .uleb128 0x22
+ 10508 1546 C504 0000          .4byte  0x4c5
+ 10509 154a 00                 .byte   0x0
+ 10510 154b 00                 .byte   0x0
+ 10511 154c 2C                 .uleb128 0x2c
+ 10512 154d A904 0000          .4byte  0x4a9
+ 10513 1551 0000               .2byte  .LBB440
+ 10514 1553 0000               .2byte  .LBE440
+ 10515 1555 01                 .byte   0x1
+ 10516 1556 6B05               .2byte  0x56b
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 187
+
+
+ 10517 1558 6D15 0000          .4byte  0x156d
+ 10518 155c 2E                 .uleb128 0x2e
+ 10519 155d 0000               .2byte  .LBB441
+ 10520 155f 0000               .2byte  .LBE441
+ 10521 1561 22                 .uleb128 0x22
+ 10522 1562 BB04 0000          .4byte  0x4bb
+ 10523 1566 22                 .uleb128 0x22
+ 10524 1567 C504 0000          .4byte  0x4c5
+ 10525 156b 00                 .byte   0x0
+ 10526 156c 00                 .byte   0x0
+ 10527 156d 2C                 .uleb128 0x2c
+ 10528 156e A904 0000          .4byte  0x4a9
+ 10529 1572 0000               .2byte  .LBB442
+ 10530 1574 0000               .2byte  .LBE442
+ 10531 1576 01                 .byte   0x1
+ 10532 1577 6C05               .2byte  0x56c
+ 10533 1579 8E15 0000          .4byte  0x158e
+ 10534 157d 2E                 .uleb128 0x2e
+ 10535 157e 0000               .2byte  .LBB443
+ 10536 1580 0000               .2byte  .LBE443
+ 10537 1582 22                 .uleb128 0x22
+ 10538 1583 BB04 0000          .4byte  0x4bb
+ 10539 1587 22                 .uleb128 0x22
+ 10540 1588 C504 0000          .4byte  0x4c5
+ 10541 158c 00                 .byte   0x0
+ 10542 158d 00                 .byte   0x0
+ 10543 158e 2C                 .uleb128 0x2c
+ 10544 158f A904 0000          .4byte  0x4a9
+ 10545 1593 0000               .2byte  .LBB444
+ 10546 1595 0000               .2byte  .LBE444
+ 10547 1597 01                 .byte   0x1
+ 10548 1598 9004               .2byte  0x490
+ 10549 159a AF15 0000          .4byte  0x15af
+ 10550 159e 2E                 .uleb128 0x2e
+ 10551 159f 0000               .2byte  .LBB445
+ 10552 15a1 0000               .2byte  .LBE445
+ 10553 15a3 22                 .uleb128 0x22
+ 10554 15a4 BB04 0000          .4byte  0x4bb
+ 10555 15a8 22                 .uleb128 0x22
+ 10556 15a9 C504 0000          .4byte  0x4c5
+ 10557 15ad 00                 .byte   0x0
+ 10558 15ae 00                 .byte   0x0
+ 10559 15af 2C                 .uleb128 0x2c
+ 10560 15b0 8504 0000          .4byte  0x485
+ 10561 15b4 0000               .2byte  .LBB446
+ 10562 15b6 0000               .2byte  .LBE446
+ 10563 15b8 01                 .byte   0x1
+ 10564 15b9 8C04               .2byte  0x48c
+ 10565 15bb D015 0000          .4byte  0x15d0
+ 10566 15bf 2D                 .uleb128 0x2d
+ 10567 15c0 7A0A 0000          .4byte  0xa7a
+ 10568 15c4 2E                 .uleb128 0x2e
+ 10569 15c5 0000               .2byte  .LBB447
+ 10570 15c7 0000               .2byte  .LBE447
+ 10571 15c9 22                 .uleb128 0x22
+ 10572 15ca 9E04 0000          .4byte  0x49e
+ 10573 15ce 00                 .byte   0x0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 188
+
+
+ 10574 15cf 00                 .byte   0x0
+ 10575 15d0 2C                 .uleb128 0x2c
+ 10576 15d1 0204 0000          .4byte  0x402
+ 10577 15d5 0000               .2byte  .LBB449
+ 10578 15d7 0000               .2byte  .LBE449
+ 10579 15d9 01                 .byte   0x1
+ 10580 15da A505               .2byte  0x5a5
+ 10581 15dc F115 0000          .4byte  0x15f1
+ 10582 15e0 2D                 .uleb128 0x2d
+ 10583 15e1 B305 0000          .4byte  0x5b3
+ 10584 15e5 2E                 .uleb128 0x2e
+ 10585 15e6 0000               .2byte  .LBB450
+ 10586 15e8 0000               .2byte  .LBE450
+ 10587 15ea 22                 .uleb128 0x22
+ 10588 15eb 1B04 0000          .4byte  0x41b
+ 10589 15ef 00                 .byte   0x0
+ 10590 15f0 00                 .byte   0x0
+ 10591 15f1 2C                 .uleb128 0x2c
+ 10592 15f2 8504 0000          .4byte  0x485
+ 10593 15f6 0000               .2byte  .LBB451
+ 10594 15f8 0000               .2byte  .LBE451
+ 10595 15fa 01                 .byte   0x1
+ 10596 15fb 9F05               .2byte  0x59f
+ 10597 15fd 1216 0000          .4byte  0x1612
+ 10598 1601 2D                 .uleb128 0x2d
+ 10599 1602 7A0A 0000          .4byte  0xa7a
+ 10600 1606 2E                 .uleb128 0x2e
+ 10601 1607 0000               .2byte  .LBB452
+ 10602 1609 0000               .2byte  .LBE452
+ 10603 160b 22                 .uleb128 0x22
+ 10604 160c 9E04 0000          .4byte  0x49e
+ 10605 1610 00                 .byte   0x0
+ 10606 1611 00                 .byte   0x0
+ 10607 1612 2C                 .uleb128 0x2c
+ 10608 1613 A904 0000          .4byte  0x4a9
+ 10609 1617 0000               .2byte  .LBB453
+ 10610 1619 0000               .2byte  .LBE453
+ 10611 161b 01                 .byte   0x1
+ 10612 161c 7B05               .2byte  0x57b
+ 10613 161e 3716 0000          .4byte  0x1637
+ 10614 1622 2E                 .uleb128 0x2e
+ 10615 1623 0000               .2byte  .LBB454
+ 10616 1625 0000               .2byte  .LBE454
+ 10617 1627 22                 .uleb128 0x22
+ 10618 1628 BB04 0000          .4byte  0x4bb
+ 10619 162c 2B                 .uleb128 0x2b
+ 10620 162d C504 0000          .4byte  0x4c5
+ 10621 1631 0000 0000          .4byte  .LLST81
+ 10622 1635 00                 .byte   0x0
+ 10623 1636 00                 .byte   0x0
+ 10624 1637 2C                 .uleb128 0x2c
+ 10625 1638 A904 0000          .4byte  0x4a9
+ 10626 163c 0000               .2byte  .LBB455
+ 10627 163e 0000               .2byte  .LBE455
+ 10628 1640 01                 .byte   0x1
+ 10629 1641 7C05               .2byte  0x57c
+ 10630 1643 5C16 0000          .4byte  0x165c
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 189
+
+
+ 10631 1647 2E                 .uleb128 0x2e
+ 10632 1648 0000               .2byte  .LBB456
+ 10633 164a 0000               .2byte  .LBE456
+ 10634 164c 22                 .uleb128 0x22
+ 10635 164d BB04 0000          .4byte  0x4bb
+ 10636 1651 2B                 .uleb128 0x2b
+ 10637 1652 C504 0000          .4byte  0x4c5
+ 10638 1656 0000 0000          .4byte  .LLST82
+ 10639 165a 00                 .byte   0x0
+ 10640 165b 00                 .byte   0x0
+ 10641 165c 2C                 .uleb128 0x2c
+ 10642 165d A904 0000          .4byte  0x4a9
+ 10643 1661 0000               .2byte  .LBB457
+ 10644 1663 0000               .2byte  .LBE457
+ 10645 1665 01                 .byte   0x1
+ 10646 1666 7705               .2byte  0x577
+ 10647 1668 7D16 0000          .4byte  0x167d
+ 10648 166c 2E                 .uleb128 0x2e
+ 10649 166d 0000               .2byte  .LBB458
+ 10650 166f 0000               .2byte  .LBE458
+ 10651 1671 22                 .uleb128 0x22
+ 10652 1672 BB04 0000          .4byte  0x4bb
+ 10653 1676 22                 .uleb128 0x22
+ 10654 1677 C504 0000          .4byte  0x4c5
+ 10655 167b 00                 .byte   0x0
+ 10656 167c 00                 .byte   0x0
+ 10657 167d 2C                 .uleb128 0x2c
+ 10658 167e A904 0000          .4byte  0x4a9
+ 10659 1682 0000               .2byte  .LBB459
+ 10660 1684 0000               .2byte  .LBE459
+ 10661 1686 01                 .byte   0x1
+ 10662 1687 C505               .2byte  0x5c5
+ 10663 1689 9E16 0000          .4byte  0x169e
+ 10664 168d 2E                 .uleb128 0x2e
+ 10665 168e 0000               .2byte  .LBB460
+ 10666 1690 0000               .2byte  .LBE460
+ 10667 1692 22                 .uleb128 0x22
+ 10668 1693 BB04 0000          .4byte  0x4bb
+ 10669 1697 22                 .uleb128 0x22
+ 10670 1698 C504 0000          .4byte  0x4c5
+ 10671 169c 00                 .byte   0x0
+ 10672 169d 00                 .byte   0x0
+ 10673 169e 2C                 .uleb128 0x2c
+ 10674 169f A904 0000          .4byte  0x4a9
+ 10675 16a3 0000               .2byte  .LBB461
+ 10676 16a5 0000               .2byte  .LBE461
+ 10677 16a7 01                 .byte   0x1
+ 10678 16a8 BE05               .2byte  0x5be
+ 10679 16aa C316 0000          .4byte  0x16c3
+ 10680 16ae 2E                 .uleb128 0x2e
+ 10681 16af 0000               .2byte  .LBB462
+ 10682 16b1 0000               .2byte  .LBE462
+ 10683 16b3 22                 .uleb128 0x22
+ 10684 16b4 BB04 0000          .4byte  0x4bb
+ 10685 16b8 2B                 .uleb128 0x2b
+ 10686 16b9 C504 0000          .4byte  0x4c5
+ 10687 16bd 0000 0000          .4byte  .LLST83
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 190
+
+
+ 10688 16c1 00                 .byte   0x0
+ 10689 16c2 00                 .byte   0x0
+ 10690 16c3 2C                 .uleb128 0x2c
+ 10691 16c4 A904 0000          .4byte  0x4a9
+ 10692 16c8 0000               .2byte  .LBB463
+ 10693 16ca 0000               .2byte  .LBE463
+ 10694 16cc 01                 .byte   0x1
+ 10695 16cd BF05               .2byte  0x5bf
+ 10696 16cf E816 0000          .4byte  0x16e8
+ 10697 16d3 2E                 .uleb128 0x2e
+ 10698 16d4 0000               .2byte  .LBB464
+ 10699 16d6 0000               .2byte  .LBE464
+ 10700 16d8 22                 .uleb128 0x22
+ 10701 16d9 BB04 0000          .4byte  0x4bb
+ 10702 16dd 2B                 .uleb128 0x2b
+ 10703 16de C504 0000          .4byte  0x4c5
+ 10704 16e2 0000 0000          .4byte  .LLST84
+ 10705 16e6 00                 .byte   0x0
+ 10706 16e7 00                 .byte   0x0
+ 10707 16e8 2C                 .uleb128 0x2c
+ 10708 16e9 A904 0000          .4byte  0x4a9
+ 10709 16ed 0000               .2byte  .LBB465
+ 10710 16ef 0000               .2byte  .LBE465
+ 10711 16f1 01                 .byte   0x1
+ 10712 16f2 C005               .2byte  0x5c0
+ 10713 16f4 0D17 0000          .4byte  0x170d
+ 10714 16f8 2E                 .uleb128 0x2e
+ 10715 16f9 0000               .2byte  .LBB466
+ 10716 16fb 0000               .2byte  .LBE466
+ 10717 16fd 22                 .uleb128 0x22
+ 10718 16fe BB04 0000          .4byte  0x4bb
+ 10719 1702 2B                 .uleb128 0x2b
+ 10720 1703 C504 0000          .4byte  0x4c5
+ 10721 1707 0000 0000          .4byte  .LLST85
+ 10722 170b 00                 .byte   0x0
+ 10723 170c 00                 .byte   0x0
+ 10724 170d 2C                 .uleb128 0x2c
+ 10725 170e A904 0000          .4byte  0x4a9
+ 10726 1712 0000               .2byte  .LBB467
+ 10727 1714 0000               .2byte  .LBE467
+ 10728 1716 01                 .byte   0x1
+ 10729 1717 8804               .2byte  0x488
+ 10730 1719 3217 0000          .4byte  0x1732
+ 10731 171d 2E                 .uleb128 0x2e
+ 10732 171e 0000               .2byte  .LBB468
+ 10733 1720 0000               .2byte  .LBE468
+ 10734 1722 22                 .uleb128 0x22
+ 10735 1723 BB04 0000          .4byte  0x4bb
+ 10736 1727 2B                 .uleb128 0x2b
+ 10737 1728 C504 0000          .4byte  0x4c5
+ 10738 172c 0000 0000          .4byte  .LLST86
+ 10739 1730 00                 .byte   0x0
+ 10740 1731 00                 .byte   0x0
+ 10741 1732 2C                 .uleb128 0x2c
+ 10742 1733 A904 0000          .4byte  0x4a9
+ 10743 1737 0000               .2byte  .LBB469
+ 10744 1739 0000               .2byte  .LBE469
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 191
+
+
+ 10745 173b 01                 .byte   0x1
+ 10746 173c 8404               .2byte  0x484
+ 10747 173e 5317 0000          .4byte  0x1753
+ 10748 1742 2E                 .uleb128 0x2e
+ 10749 1743 0000               .2byte  .LBB470
+ 10750 1745 0000               .2byte  .LBE470
+ 10751 1747 22                 .uleb128 0x22
+ 10752 1748 BB04 0000          .4byte  0x4bb
+ 10753 174c 22                 .uleb128 0x22
+ 10754 174d C504 0000          .4byte  0x4c5
+ 10755 1751 00                 .byte   0x0
+ 10756 1752 00                 .byte   0x0
+ 10757 1753 2C                 .uleb128 0x2c
+ 10758 1754 A904 0000          .4byte  0x4a9
+ 10759 1758 0000               .2byte  .LBB471
+ 10760 175a 0000               .2byte  .LBE471
+ 10761 175c 01                 .byte   0x1
+ 10762 175d 7F04               .2byte  0x47f
+ 10763 175f 7417 0000          .4byte  0x1774
+ 10764 1763 2E                 .uleb128 0x2e
+ 10765 1764 0000               .2byte  .LBB472
+ 10766 1766 0000               .2byte  .LBE472
+ 10767 1768 22                 .uleb128 0x22
+ 10768 1769 BB04 0000          .4byte  0x4bb
+ 10769 176d 22                 .uleb128 0x22
+ 10770 176e C504 0000          .4byte  0x4c5
+ 10771 1772 00                 .byte   0x0
+ 10772 1773 00                 .byte   0x0
+ 10773 1774 2C                 .uleb128 0x2c
+ 10774 1775 A904 0000          .4byte  0x4a9
+ 10775 1779 0000               .2byte  .LBB473
+ 10776 177b 0000               .2byte  .LBE473
+ 10777 177d 01                 .byte   0x1
+ 10778 177e 7A04               .2byte  0x47a
+ 10779 1780 9517 0000          .4byte  0x1795
+ 10780 1784 2E                 .uleb128 0x2e
+ 10781 1785 0000               .2byte  .LBB474
+ 10782 1787 0000               .2byte  .LBE474
+ 10783 1789 22                 .uleb128 0x22
+ 10784 178a BB04 0000          .4byte  0x4bb
+ 10785 178e 22                 .uleb128 0x22
+ 10786 178f C504 0000          .4byte  0x4c5
+ 10787 1793 00                 .byte   0x0
+ 10788 1794 00                 .byte   0x0
+ 10789 1795 2C                 .uleb128 0x2c
+ 10790 1796 A904 0000          .4byte  0x4a9
+ 10791 179a 0000               .2byte  .LBB476
+ 10792 179c 0000               .2byte  .LBE476
+ 10793 179e 01                 .byte   0x1
+ 10794 179f D304               .2byte  0x4d3
+ 10795 17a1 B617 0000          .4byte  0x17b6
+ 10796 17a5 2E                 .uleb128 0x2e
+ 10797 17a6 0000               .2byte  .LBB477
+ 10798 17a8 0000               .2byte  .LBE477
+ 10799 17aa 22                 .uleb128 0x22
+ 10800 17ab BB04 0000          .4byte  0x4bb
+ 10801 17af 22                 .uleb128 0x22
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 192
+
+
+ 10802 17b0 C504 0000          .4byte  0x4c5
+ 10803 17b4 00                 .byte   0x0
+ 10804 17b5 00                 .byte   0x0
+ 10805 17b6 2C                 .uleb128 0x2c
+ 10806 17b7 A904 0000          .4byte  0x4a9
+ 10807 17bb 0000               .2byte  .LBB478
+ 10808 17bd 0000               .2byte  .LBE478
+ 10809 17bf 01                 .byte   0x1
+ 10810 17c0 D404               .2byte  0x4d4
+ 10811 17c2 D717 0000          .4byte  0x17d7
+ 10812 17c6 2E                 .uleb128 0x2e
+ 10813 17c7 0000               .2byte  .LBB479
+ 10814 17c9 0000               .2byte  .LBE479
+ 10815 17cb 22                 .uleb128 0x22
+ 10816 17cc BB04 0000          .4byte  0x4bb
+ 10817 17d0 22                 .uleb128 0x22
+ 10818 17d1 C504 0000          .4byte  0x4c5
+ 10819 17d5 00                 .byte   0x0
+ 10820 17d6 00                 .byte   0x0
+ 10821 17d7 2C                 .uleb128 0x2c
+ 10822 17d8 A904 0000          .4byte  0x4a9
+ 10823 17dc 0000               .2byte  .LBB480
+ 10824 17de 0000               .2byte  .LBE480
+ 10825 17e0 01                 .byte   0x1
+ 10826 17e1 C704               .2byte  0x4c7
+ 10827 17e3 FC17 0000          .4byte  0x17fc
+ 10828 17e7 2E                 .uleb128 0x2e
+ 10829 17e8 0000               .2byte  .LBB481
+ 10830 17ea 0000               .2byte  .LBE481
+ 10831 17ec 22                 .uleb128 0x22
+ 10832 17ed BB04 0000          .4byte  0x4bb
+ 10833 17f1 2B                 .uleb128 0x2b
+ 10834 17f2 C504 0000          .4byte  0x4c5
+ 10835 17f6 0000 0000          .4byte  .LLST87
+ 10836 17fa 00                 .byte   0x0
+ 10837 17fb 00                 .byte   0x0
+ 10838 17fc 2C                 .uleb128 0x2c
+ 10839 17fd 6004 0000          .4byte  0x460
+ 10840 1801 0000               .2byte  .LBB482
+ 10841 1803 0000               .2byte  .LBE482
+ 10842 1805 01                 .byte   0x1
+ 10843 1806 C904               .2byte  0x4c9
+ 10844 1808 3318 0000          .4byte  0x1833
+ 10845 180c 21                 .uleb128 0x21
+ 10846 180d 2B09 0000          .4byte  0x92b
+ 10847 1811 0000 0000          .4byte  .LLST88
+ 10848 1815 2F                 .uleb128 0x2f
+ 10849 1816 0204 0000          .4byte  0x402
+ 10850 181a 0000               .2byte  .LBB484
+ 10851 181c 0000               .2byte  .LBE484
+ 10852 181e 01                 .byte   0x1
+ 10853 181f 4103               .2byte  0x341
+ 10854 1821 2D                 .uleb128 0x2d
+ 10855 1822 B305 0000          .4byte  0x5b3
+ 10856 1826 2E                 .uleb128 0x2e
+ 10857 1827 0000               .2byte  .LBB485
+ 10858 1829 0000               .2byte  .LBE485
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 193
+
+
+ 10859 182b 22                 .uleb128 0x22
+ 10860 182c 1B04 0000          .4byte  0x41b
+ 10861 1830 00                 .byte   0x0
+ 10862 1831 00                 .byte   0x0
+ 10863 1832 00                 .byte   0x0
+ 10864 1833 2C                 .uleb128 0x2c
+ 10865 1834 8504 0000          .4byte  0x485
+ 10866 1838 0000               .2byte  .LBB486
+ 10867 183a 0000               .2byte  .LBE486
+ 10868 183c 01                 .byte   0x1
+ 10869 183d C304               .2byte  0x4c3
+ 10870 183f 5418 0000          .4byte  0x1854
+ 10871 1843 2D                 .uleb128 0x2d
+ 10872 1844 7A0A 0000          .4byte  0xa7a
+ 10873 1848 2E                 .uleb128 0x2e
+ 10874 1849 0000               .2byte  .LBB487
+ 10875 184b 0000               .2byte  .LBE487
+ 10876 184d 22                 .uleb128 0x22
+ 10877 184e 9E04 0000          .4byte  0x49e
+ 10878 1852 00                 .byte   0x0
+ 10879 1853 00                 .byte   0x0
+ 10880 1854 2C                 .uleb128 0x2c
+ 10881 1855 A904 0000          .4byte  0x4a9
+ 10882 1859 0000               .2byte  .LBB488
+ 10883 185b 0000               .2byte  .LBE488
+ 10884 185d 01                 .byte   0x1
+ 10885 185e B504               .2byte  0x4b5
+ 10886 1860 7918 0000          .4byte  0x1879
+ 10887 1864 2E                 .uleb128 0x2e
+ 10888 1865 0000               .2byte  .LBB489
+ 10889 1867 0000               .2byte  .LBE489
+ 10890 1869 22                 .uleb128 0x22
+ 10891 186a BB04 0000          .4byte  0x4bb
+ 10892 186e 2B                 .uleb128 0x2b
+ 10893 186f C504 0000          .4byte  0x4c5
+ 10894 1873 0000 0000          .4byte  .LLST89
+ 10895 1877 00                 .byte   0x0
+ 10896 1878 00                 .byte   0x0
+ 10897 1879 2C                 .uleb128 0x2c
+ 10898 187a A904 0000          .4byte  0x4a9
+ 10899 187e 0000               .2byte  .LBB490
+ 10900 1880 0000               .2byte  .LBE490
+ 10901 1882 01                 .byte   0x1
+ 10902 1883 AC04               .2byte  0x4ac
+ 10903 1885 9A18 0000          .4byte  0x189a
+ 10904 1889 2E                 .uleb128 0x2e
+ 10905 188a 0000               .2byte  .LBB491
+ 10906 188c 0000               .2byte  .LBE491
+ 10907 188e 22                 .uleb128 0x22
+ 10908 188f BB04 0000          .4byte  0x4bb
+ 10909 1893 22                 .uleb128 0x22
+ 10910 1894 C504 0000          .4byte  0x4c5
+ 10911 1898 00                 .byte   0x0
+ 10912 1899 00                 .byte   0x0
+ 10913 189a 2C                 .uleb128 0x2c
+ 10914 189b A904 0000          .4byte  0x4a9
+ 10915 189f 0000               .2byte  .LBB493
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 194
+
+
+ 10916 18a1 0000               .2byte  .LBE493
+ 10917 18a3 01                 .byte   0x1
+ 10918 18a4 A304               .2byte  0x4a3
+ 10919 18a6 BB18 0000          .4byte  0x18bb
+ 10920 18aa 2E                 .uleb128 0x2e
+ 10921 18ab 0000               .2byte  .LBB494
+ 10922 18ad 0000               .2byte  .LBE494
+ 10923 18af 22                 .uleb128 0x22
+ 10924 18b0 BB04 0000          .4byte  0x4bb
+ 10925 18b4 22                 .uleb128 0x22
+ 10926 18b5 C504 0000          .4byte  0x4c5
+ 10927 18b9 00                 .byte   0x0
+ 10928 18ba 00                 .byte   0x0
+ 10929 18bb 2F                 .uleb128 0x2f
+ 10930 18bc A904 0000          .4byte  0x4a9
+ 10931 18c0 0000               .2byte  .LBB496
+ 10932 18c2 0000               .2byte  .LBE496
+ 10933 18c4 01                 .byte   0x1
+ 10934 18c5 9A04               .2byte  0x49a
+ 10935 18c7 2E                 .uleb128 0x2e
+ 10936 18c8 0000               .2byte  .LBB497
+ 10937 18ca 0000               .2byte  .LBE497
+ 10938 18cc 22                 .uleb128 0x22
+ 10939 18cd BB04 0000          .4byte  0x4bb
+ 10940 18d1 22                 .uleb128 0x22
+ 10941 18d2 C504 0000          .4byte  0x4c5
+ 10942 18d6 00                 .byte   0x0
+ 10943 18d7 00                 .byte   0x0
+ 10944 18d8 00                 .byte   0x0
+ 10945 18d9 39                 .uleb128 0x39
+ 10946 18da 01                 .byte   0x1
+ 10947 18db 0000 0000          .4byte  .LASF77
+ 10948 18df 01                 .byte   0x1
+ 10949 18e0 5304               .2byte  0x453
+ 10950 18e2 0000               .2byte  .LFB35
+ 10951 18e4 0000               .2byte  .LFE35
+ 10952 18e6 02                 .byte   0x2
+ 10953 18e7 71                 .byte   0x71
+ 10954 18e8 02                 .sleb128 2
+ 10955 18e9 4719 0000          .4byte  0x1947
+ 10956 18ed 37                 .uleb128 0x37
+ 10957 18ee 0000 0000          .4byte  .LASF78
+ 10958 18f2 01                 .byte   0x1
+ 10959 18f3 5404               .2byte  0x454
+ 10960 18f5 3A00 0000          .4byte  0x3a
+ 10961 18f9 0000 0000          .4byte  .LLST91
+ 10962 18fd 2C                 .uleb128 0x2c
+ 10963 18fe A904 0000          .4byte  0x4a9
+ 10964 1902 0000               .2byte  .LBB500
+ 10965 1904 0000               .2byte  .LBE500
+ 10966 1906 01                 .byte   0x1
+ 10967 1907 5504               .2byte  0x455
+ 10968 1909 1E19 0000          .4byte  0x191e
+ 10969 190d 2E                 .uleb128 0x2e
+ 10970 190e 0000               .2byte  .LBB501
+ 10971 1910 0000               .2byte  .LBE501
+ 10972 1912 22                 .uleb128 0x22
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 195
+
+
+ 10973 1913 BB04 0000          .4byte  0x4bb
+ 10974 1917 22                 .uleb128 0x22
+ 10975 1918 C504 0000          .4byte  0x4c5
+ 10976 191c 00                 .byte   0x0
+ 10977 191d 00                 .byte   0x0
+ 10978 191e 2C                 .uleb128 0x2c
+ 10979 191f EA04 0000          .4byte  0x4ea
+ 10980 1923 0000               .2byte  .LBB502
+ 10981 1925 0000               .2byte  .LBE502
+ 10982 1927 01                 .byte   0x1
+ 10983 1928 6304               .2byte  0x463
+ 10984 192a 3419 0000          .4byte  0x1934
+ 10985 192e 2D                 .uleb128 0x2d
+ 10986 192f AD0A 0000          .4byte  0xaad
+ 10987 1933 00                 .byte   0x0
+ 10988 1934 2F                 .uleb128 0x2f
+ 10989 1935 EA04 0000          .4byte  0x4ea
+ 10990 1939 0000               .2byte  .LBB504
+ 10991 193b 0000               .2byte  .LBE504
+ 10992 193d 01                 .byte   0x1
+ 10993 193e 5E04               .2byte  0x45e
+ 10994 1940 2D                 .uleb128 0x2d
+ 10995 1941 AD0A 0000          .4byte  0xaad
+ 10996 1945 00                 .byte   0x0
+ 10997 1946 00                 .byte   0x0
+ 10998 1947 27                 .uleb128 0x27
+ 10999 1948 01                 .byte   0x1
+ 11000 1949 0000 0000          .4byte  .LASF79
+ 11001 194d 01                 .byte   0x1
+ 11002 194e E005               .2byte  0x5e0
+ 11003 1950 0000               .2byte  .LFB37
+ 11004 1952 0000               .2byte  .LFE37
+ 11005 1954 0000 0000          .4byte  .LLST92
+ 11006 1958 7F19 0000          .4byte  0x197f
+ 11007 195c 37                 .uleb128 0x37
+ 11008 195d 0000 0000          .4byte  .LASF78
+ 11009 1961 01                 .byte   0x1
+ 11010 1962 E105               .2byte  0x5e1
+ 11011 1964 3A00 0000          .4byte  0x3a
+ 11012 1968 0000 0000          .4byte  .LLST93
+ 11013 196c 2F                 .uleb128 0x2f
+ 11014 196d EA04 0000          .4byte  0x4ea
+ 11015 1971 0000               .2byte  .LBB506
+ 11016 1973 0000               .2byte  .LBE506
+ 11017 1975 01                 .byte   0x1
+ 11018 1976 F205               .2byte  0x5f2
+ 11019 1978 2D                 .uleb128 0x2d
+ 11020 1979 AD0A 0000          .4byte  0xaad
+ 11021 197d 00                 .byte   0x0
+ 11022 197e 00                 .byte   0x0
+ 11023 197f 3A                 .uleb128 0x3a
+ 11024 1980 01                 .byte   0x1
+ 11025 1981 0000 0000          .4byte  .LASF80
+ 11026 1985 01                 .byte   0x1
+ 11027 1986 F905               .2byte  0x5f9
+ 11028 1988 01                 .byte   0x1
+ 11029 1989 4500 0000          .4byte  0x45
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 196
+
+
+ 11030 198d 0000               .2byte  .LFB38
+ 11031 198f 0000               .2byte  .LFE38
+ 11032 1991 02                 .byte   0x2
+ 11033 1992 71                 .byte   0x71
+ 11034 1993 02                 .sleb128 2
+ 11035 1994 CC19 0000          .4byte  0x19cc
+ 11036 1998 18                 .uleb128 0x18
+ 11037 1999 6900               .string "i"
+ 11038 199b 01                 .byte   0x1
+ 11039 199c FA05               .2byte  0x5fa
+ 11040 199e 3A00 0000          .4byte  0x3a
+ 11041 19a2 3B                 .uleb128 0x3b
+ 11042 19a3 5305 0000          .4byte  0x553
+ 11043 19a7 0000               .2byte  .LBB508
+ 11044 19a9 0000               .2byte  .LBE508
+ 11045 19ab 01                 .byte   0x1
+ 11046 19ac 0006               .2byte  0x600
+ 11047 19ae 2F                 .uleb128 0x2f
+ 11048 19af 0204 0000          .4byte  0x402
+ 11049 19b3 0000               .2byte  .LBB510
+ 11050 19b5 0000               .2byte  .LBE510
+ 11051 19b7 01                 .byte   0x1
+ 11052 19b8 0706               .2byte  0x607
+ 11053 19ba 2D                 .uleb128 0x2d
+ 11054 19bb B305 0000          .4byte  0x5b3
+ 11055 19bf 2E                 .uleb128 0x2e
+ 11056 19c0 0000               .2byte  .LBB511
+ 11057 19c2 0000               .2byte  .LBE511
+ 11058 19c4 22                 .uleb128 0x22
+ 11059 19c5 1B04 0000          .4byte  0x41b
+ 11060 19c9 00                 .byte   0x0
+ 11061 19ca 00                 .byte   0x0
+ 11062 19cb 00                 .byte   0x0
+ 11063 19cc 1E                 .uleb128 0x1e
+ 11064 19cd 01                 .byte   0x1
+ 11065 19ce 0000 0000          .4byte  .LASF81
+ 11066 19d2 01                 .byte   0x1
+ 11067 19d3 2B06               .2byte  0x62b
+ 11068 19d5 01                 .byte   0x1
+ 11069 19d6 0000               .2byte  .LFB39
+ 11070 19d8 0000               .2byte  .LFE39
+ 11071 19da 02                 .byte   0x2
+ 11072 19db 71                 .byte   0x71
+ 11073 19dc 02                 .sleb128 2
+ 11074 19dd 3C                 .uleb128 0x3c
+ 11075 19de 0000 0000          .4byte  .LASF82
+ 11076 19e2 05                 .byte   0x5
+ 11077 19e3 14                 .byte   0x14
+ 11078 19e4 0000 0000          .4byte  .LASF84
+ 11079 19e8 7203 0000          .4byte  0x372
+ 11080 19ec 01                 .byte   0x1
+ 11081 19ed 03                 .byte   0x3
+ 11082 19ee 03                 .byte   0x3
+ 11083 19ef 5600               .2byte  0x0056
+ 11084 19f1 3C                 .uleb128 0x3c
+ 11085 19f2 0000 0000          .4byte  .LASF83
+ 11086 19f6 05                 .byte   0x5
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 197
+
+
+ 11087 19f7 16                 .byte   0x16
+ 11088 19f8 0000 0000          .4byte  .LASF85
+ 11089 19fc 7203 0000          .4byte  0x372
+ 11090 1a00 01                 .byte   0x1
+ 11091 1a01 03                 .byte   0x3
+ 11092 1a02 03                 .byte   0x3
+ 11093 1a03 5700               .2byte  0x0057
+ 11094 1a05 3C                 .uleb128 0x3c
+ 11095 1a06 0000 0000          .4byte  .LASF86
+ 11096 1a0a 05                 .byte   0x5
+ 11097 1a0b 18                 .byte   0x18
+ 11098 1a0c 0000 0000          .4byte  .LASF87
+ 11099 1a10 7203 0000          .4byte  0x372
+ 11100 1a14 01                 .byte   0x1
+ 11101 1a15 03                 .byte   0x3
+ 11102 1a16 03                 .byte   0x3
+ 11103 1a17 5800               .2byte  0x0058
+ 11104 1a19 3C                 .uleb128 0x3c
+ 11105 1a1a 0000 0000          .4byte  .LASF88
+ 11106 1a1e 05                 .byte   0x5
+ 11107 1a1f 1B                 .byte   0x1b
+ 11108 1a20 0000 0000          .4byte  .LASF89
+ 11109 1a24 7203 0000          .4byte  0x372
+ 11110 1a28 01                 .byte   0x1
+ 11111 1a29 03                 .byte   0x3
+ 11112 1a2a 03                 .byte   0x3
+ 11113 1a2b 5300               .2byte  0x0053
+ 11114 1a2d 3C                 .uleb128 0x3c
+ 11115 1a2e 0000 0000          .4byte  .LASF90
+ 11116 1a32 06                 .byte   0x6
+ 11117 1a33 14                 .byte   0x14
+ 11118 1a34 0000 0000          .4byte  .LASF91
+ 11119 1a38 0D01 0000          .4byte  0x10d
+ 11120 1a3c 01                 .byte   0x1
+ 11121 1a3d 03                 .byte   0x3
+ 11122 1a3e 03                 .byte   0x3
+ 11123 1a3f 2801               .2byte  0x0128
+ 11124 1a41 3C                 .uleb128 0x3c
+ 11125 1a42 0000 0000          .4byte  .LASF92
+ 11126 1a46 06                 .byte   0x6
+ 11127 1a47 16                 .byte   0x16
+ 11128 1a48 0000 0000          .4byte  .LASF93
+ 11129 1a4c 0D01 0000          .4byte  0x10d
+ 11130 1a50 01                 .byte   0x1
+ 11131 1a51 03                 .byte   0x3
+ 11132 1a52 03                 .byte   0x3
+ 11133 1a53 2A01               .2byte  0x012A
+ 11134 1a55 3C                 .uleb128 0x3c
+ 11135 1a56 0000 0000          .4byte  .LASF94
+ 11136 1a5a 06                 .byte   0x6
+ 11137 1a5b 18                 .byte   0x18
+ 11138 1a5c 0000 0000          .4byte  .LASF95
+ 11139 1a60 0D01 0000          .4byte  0x10d
+ 11140 1a64 01                 .byte   0x1
+ 11141 1a65 03                 .byte   0x3
+ 11142 1a66 03                 .byte   0x3
+ 11143 1a67 2C01               .2byte  0x012C
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 198
+
+
+ 11144 1a69 3C                 .uleb128 0x3c
+ 11145 1a6a 0000 0000          .4byte  .LASF96
+ 11146 1a6e 07                 .byte   0x7
+ 11147 1a6f 13                 .byte   0x13
+ 11148 1a70 0000 0000          .4byte  .LASF97
+ 11149 1a74 7203 0000          .4byte  0x372
+ 11150 1a78 01                 .byte   0x1
+ 11151 1a79 03                 .byte   0x3
+ 11152 1a7a 03                 .byte   0x3
+ 11153 1a7b 5400               .2byte  0x0054
+ 11154 1a7d 3C                 .uleb128 0x3c
+ 11155 1a7e 0000 0000          .4byte  .LASF98
+ 11156 1a82 03                 .byte   0x3
+ 11157 1a83 B6                 .byte   0xb6
+ 11158 1a84 0000 0000          .4byte  .LASF99
+ 11159 1a88 0D01 0000          .4byte  0x10d
+ 11160 1a8c 01                 .byte   0x1
+ 11161 1a8d 03                 .byte   0x3
+ 11162 1a8e 03                 .byte   0x3
+ 11163 1a8f 2E01               .2byte  0x012E
+ 11164 1a91 3C                 .uleb128 0x3c
+ 11165 1a92 0000 0000          .4byte  .LASF100
+ 11166 1a96 03                 .byte   0x3
+ 11167 1a97 B8                 .byte   0xb8
+ 11168 1a98 0000 0000          .4byte  .LASF101
+ 11169 1a9c 0D01 0000          .4byte  0x10d
+ 11170 1aa0 01                 .byte   0x1
+ 11171 1aa1 03                 .byte   0x3
+ 11172 1aa2 03                 .byte   0x3
+ 11173 1aa3 6001               .2byte  0x0160
+ 11174 1aa5 3C                 .uleb128 0x3c
+ 11175 1aa6 0000 0000          .4byte  .LASF102
+ 11176 1aaa 03                 .byte   0x3
+ 11177 1aab BA                 .byte   0xba
+ 11178 1aac 0000 0000          .4byte  .LASF103
+ 11179 1ab0 0D01 0000          .4byte  0x10d
+ 11180 1ab4 01                 .byte   0x1
+ 11181 1ab5 03                 .byte   0x3
+ 11182 1ab6 03                 .byte   0x3
+ 11183 1ab7 7001               .2byte  0x0170
+ 11184 1ab9 3C                 .uleb128 0x3c
+ 11185 1aba 0000 0000          .4byte  .LASF104
+ 11186 1abe 03                 .byte   0x3
+ 11187 1abf BD                 .byte   0xbd
+ 11188 1ac0 0000 0000          .4byte  .LASF105
+ 11189 1ac4 0D01 0000          .4byte  0x10d
+ 11190 1ac8 01                 .byte   0x1
+ 11191 1ac9 03                 .byte   0x3
+ 11192 1aca 03                 .byte   0x3
+ 11193 1acb 6201               .2byte  0x0162
+ 11194 1acd 3C                 .uleb128 0x3c
+ 11195 1ace 0000 0000          .4byte  .LASF106
+ 11196 1ad2 03                 .byte   0x3
+ 11197 1ad3 BF                 .byte   0xbf
+ 11198 1ad4 0000 0000          .4byte  .LASF107
+ 11199 1ad8 0D01 0000          .4byte  0x10d
+ 11200 1adc 01                 .byte   0x1
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 199
+
+
+ 11201 1add 03                 .byte   0x3
+ 11202 1ade 03                 .byte   0x3
+ 11203 1adf 6401               .2byte  0x0164
+ 11204 1ae1 3C                 .uleb128 0x3c
+ 11205 1ae2 0000 0000          .4byte  .LASF108
+ 11206 1ae6 03                 .byte   0x3
+ 11207 1ae7 C1                 .byte   0xc1
+ 11208 1ae8 0000 0000          .4byte  .LASF109
+ 11209 1aec 0D01 0000          .4byte  0x10d
+ 11210 1af0 01                 .byte   0x1
+ 11211 1af1 03                 .byte   0x3
+ 11212 1af2 03                 .byte   0x3
+ 11213 1af3 7201               .2byte  0x0172
+ 11214 1af5 3C                 .uleb128 0x3c
+ 11215 1af6 0000 0000          .4byte  .LASF110
+ 11216 1afa 03                 .byte   0x3
+ 11217 1afb C3                 .byte   0xc3
+ 11218 1afc 0000 0000          .4byte  .LASF111
+ 11219 1b00 0D01 0000          .4byte  0x10d
+ 11220 1b04 01                 .byte   0x1
+ 11221 1b05 03                 .byte   0x3
+ 11222 1b06 03                 .byte   0x3
+ 11223 1b07 7401               .2byte  0x0174
+ 11224 1b09 3D                 .uleb128 0x3d
+ 11225 1b0a 0000 0000          .4byte  .LASF112
+ 11226 1b0e 03                 .byte   0x3
+ 11227 1b0f 4801               .2byte  0x148
+ 11228 1b11 0000 0000          .4byte  .LASF101
+ 11229 1b15 0B02 0000          .4byte  0x20b
+ 11230 1b19 01                 .byte   0x1
+ 11231 1b1a 03                 .byte   0x3
+ 11232 1b1b 03                 .byte   0x3
+ 11233 1b1c 6001               .2byte  0x0160
+ 11234 1b1e 3C                 .uleb128 0x3c
+ 11235 1b1f 0000 0000          .4byte  .LASF113
+ 11236 1b23 04                 .byte   0x4
+ 11237 1b24 88                 .byte   0x88
+ 11238 1b25 0000 0000          .4byte  .LASF114
+ 11239 1b29 8703 0000          .4byte  0x387
+ 11240 1b2d 01                 .byte   0x1
+ 11241 1b2e 03                 .byte   0x3
+ 11242 1b2f 03                 .byte   0x3
+ 11243 1b30 2000               .2byte  0x0020
+ 11244 1b32 3C                 .uleb128 0x3c
+ 11245 1b33 0000 0000          .4byte  .LASF115
+ 11246 1b37 04                 .byte   0x4
+ 11247 1b38 8C                 .byte   0x8c
+ 11248 1b39 0000 0000          .4byte  .LASF116
+ 11249 1b3d 8703 0000          .4byte  0x387
+ 11250 1b41 01                 .byte   0x1
+ 11251 1b42 03                 .byte   0x3
+ 11252 1b43 03                 .byte   0x3
+ 11253 1b44 2800               .2byte  0x0028
+ 11254 1b46 3C                 .uleb128 0x3c
+ 11255 1b47 0000 0000          .4byte  .LASF117
+ 11256 1b4b 08                 .byte   0x8
+ 11257 1b4c 83                 .byte   0x83
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 200
+
+
+ 11258 1b4d 0000 0000          .4byte  .LASF114
+ 11259 1b51 7203 0000          .4byte  0x372
+ 11260 1b55 01                 .byte   0x1
+ 11261 1b56 03                 .byte   0x3
+ 11262 1b57 03                 .byte   0x3
+ 11263 1b58 2000               .2byte  0x0020
+ 11264 1b5a 3C                 .uleb128 0x3c
+ 11265 1b5b 0000 0000          .4byte  .LASF118
+ 11266 1b5f 08                 .byte   0x8
+ 11267 1b60 85                 .byte   0x85
+ 11268 1b61 0000 0000          .4byte  .LASF119
+ 11269 1b65 7203 0000          .4byte  0x372
+ 11270 1b69 01                 .byte   0x1
+ 11271 1b6a 03                 .byte   0x3
+ 11272 1b6b 03                 .byte   0x3
+ 11273 1b6c 2100               .2byte  0x0021
+ 11274 1b6e 3C                 .uleb128 0x3c
+ 11275 1b6f 0000 0000          .4byte  .LASF120
+ 11276 1b73 08                 .byte   0x8
+ 11277 1b74 87                 .byte   0x87
+ 11278 1b75 0000 0000          .4byte  .LASF121
+ 11279 1b79 7203 0000          .4byte  0x372
+ 11280 1b7d 01                 .byte   0x1
+ 11281 1b7e 03                 .byte   0x3
+ 11282 1b7f 03                 .byte   0x3
+ 11283 1b80 2200               .2byte  0x0022
+ 11284 1b82 3C                 .uleb128 0x3c
+ 11285 1b83 0000 0000          .4byte  .LASF122
+ 11286 1b87 08                 .byte   0x8
+ 11287 1b88 89                 .byte   0x89
+ 11288 1b89 0000 0000          .4byte  .LASF123
+ 11289 1b8d 7203 0000          .4byte  0x372
+ 11290 1b91 01                 .byte   0x1
+ 11291 1b92 03                 .byte   0x3
+ 11292 1b93 03                 .byte   0x3
+ 11293 1b94 2300               .2byte  0x0023
+ 11294 1b96 3C                 .uleb128 0x3c
+ 11295 1b97 0000 0000          .4byte  .LASF124
+ 11296 1b9b 08                 .byte   0x8
+ 11297 1b9c 8B                 .byte   0x8b
+ 11298 1b9d 0000 0000          .4byte  .LASF125
+ 11299 1ba1 7203 0000          .4byte  0x372
+ 11300 1ba5 01                 .byte   0x1
+ 11301 1ba6 03                 .byte   0x3
+ 11302 1ba7 03                 .byte   0x3
+ 11303 1ba8 2400               .2byte  0x0024
+ 11304 1baa 3C                 .uleb128 0x3c
+ 11305 1bab 0000 0000          .4byte  .LASF126
+ 11306 1baf 08                 .byte   0x8
+ 11307 1bb0 8D                 .byte   0x8d
+ 11308 1bb1 0000 0000          .4byte  .LASF127
+ 11309 1bb5 7203 0000          .4byte  0x372
+ 11310 1bb9 01                 .byte   0x1
+ 11311 1bba 03                 .byte   0x3
+ 11312 1bbb 03                 .byte   0x3
+ 11313 1bbc 2500               .2byte  0x0025
+ 11314 1bbe 3C                 .uleb128 0x3c
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 201
+
+
+ 11315 1bbf 0000 0000          .4byte  .LASF128
+ 11316 1bc3 08                 .byte   0x8
+ 11317 1bc4 8F                 .byte   0x8f
+ 11318 1bc5 0000 0000          .4byte  .LASF129
+ 11319 1bc9 7203 0000          .4byte  0x372
+ 11320 1bcd 01                 .byte   0x1
+ 11321 1bce 03                 .byte   0x3
+ 11322 1bcf 03                 .byte   0x3
+ 11323 1bd0 2600               .2byte  0x0026
+ 11324 1bd2 3C                 .uleb128 0x3c
+ 11325 1bd3 0000 0000          .4byte  .LASF130
+ 11326 1bd7 08                 .byte   0x8
+ 11327 1bd8 92                 .byte   0x92
+ 11328 1bd9 0000 0000          .4byte  .LASF131
+ 11329 1bdd 7203 0000          .4byte  0x372
+ 11330 1be1 01                 .byte   0x1
+ 11331 1be2 03                 .byte   0x3
+ 11332 1be3 03                 .byte   0x3
+ 11333 1be4 2700               .2byte  0x0027
+ 11334 1be6 3C                 .uleb128 0x3c
+ 11335 1be7 0000 0000          .4byte  .LASF132
+ 11336 1beb 08                 .byte   0x8
+ 11337 1bec 98                 .byte   0x98
+ 11338 1bed 0000 0000          .4byte  .LASF116
+ 11339 1bf1 7203 0000          .4byte  0x372
+ 11340 1bf5 01                 .byte   0x1
+ 11341 1bf6 03                 .byte   0x3
+ 11342 1bf7 03                 .byte   0x3
+ 11343 1bf8 2800               .2byte  0x0028
+ 11344 1bfa 3C                 .uleb128 0x3c
+ 11345 1bfb 0000 0000          .4byte  .LASF133
+ 11346 1bff 08                 .byte   0x8
+ 11347 1c00 9A                 .byte   0x9a
+ 11348 1c01 0000 0000          .4byte  .LASF134
+ 11349 1c05 7203 0000          .4byte  0x372
+ 11350 1c09 01                 .byte   0x1
+ 11351 1c0a 03                 .byte   0x3
+ 11352 1c0b 03                 .byte   0x3
+ 11353 1c0c 2900               .2byte  0x0029
+ 11354 1c0e 3C                 .uleb128 0x3c
+ 11355 1c0f 0000 0000          .4byte  .LASF135
+ 11356 1c13 08                 .byte   0x8
+ 11357 1c14 9C                 .byte   0x9c
+ 11358 1c15 0000 0000          .4byte  .LASF136
+ 11359 1c19 7203 0000          .4byte  0x372
+ 11360 1c1d 01                 .byte   0x1
+ 11361 1c1e 03                 .byte   0x3
+ 11362 1c1f 03                 .byte   0x3
+ 11363 1c20 2A00               .2byte  0x002A
+ 11364 1c22 3C                 .uleb128 0x3c
+ 11365 1c23 0000 0000          .4byte  .LASF137
+ 11366 1c27 08                 .byte   0x8
+ 11367 1c28 9E                 .byte   0x9e
+ 11368 1c29 0000 0000          .4byte  .LASF138
+ 11369 1c2d 7203 0000          .4byte  0x372
+ 11370 1c31 01                 .byte   0x1
+ 11371 1c32 03                 .byte   0x3
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 202
+
+
+ 11372 1c33 03                 .byte   0x3
+ 11373 1c34 2B00               .2byte  0x002B
+ 11374 1c36 3C                 .uleb128 0x3c
+ 11375 1c37 0000 0000          .4byte  .LASF139
+ 11376 1c3b 08                 .byte   0x8
+ 11377 1c3c A0                 .byte   0xa0
+ 11378 1c3d 0000 0000          .4byte  .LASF140
+ 11379 1c41 7203 0000          .4byte  0x372
+ 11380 1c45 01                 .byte   0x1
+ 11381 1c46 03                 .byte   0x3
+ 11382 1c47 03                 .byte   0x3
+ 11383 1c48 2C00               .2byte  0x002C
+ 11384 1c4a 3C                 .uleb128 0x3c
+ 11385 1c4b 0000 0000          .4byte  .LASF141
+ 11386 1c4f 08                 .byte   0x8
+ 11387 1c50 A2                 .byte   0xa2
+ 11388 1c51 0000 0000          .4byte  .LASF142
+ 11389 1c55 7203 0000          .4byte  0x372
+ 11390 1c59 01                 .byte   0x1
+ 11391 1c5a 03                 .byte   0x3
+ 11392 1c5b 03                 .byte   0x3
+ 11393 1c5c 2D00               .2byte  0x002D
+ 11394 1c5e 3C                 .uleb128 0x3c
+ 11395 1c5f 0000 0000          .4byte  .LASF143
+ 11396 1c63 08                 .byte   0x8
+ 11397 1c64 A4                 .byte   0xa4
+ 11398 1c65 0000 0000          .4byte  .LASF144
+ 11399 1c69 7203 0000          .4byte  0x372
+ 11400 1c6d 01                 .byte   0x1
+ 11401 1c6e 03                 .byte   0x3
+ 11402 1c6f 03                 .byte   0x3
+ 11403 1c70 2E00               .2byte  0x002E
+ 11404 1c72 3C                 .uleb128 0x3c
+ 11405 1c73 0000 0000          .4byte  .LASF145
+ 11406 1c77 08                 .byte   0x8
+ 11407 1c78 A7                 .byte   0xa7
+ 11408 1c79 0000 0000          .4byte  .LASF146
+ 11409 1c7d 7203 0000          .4byte  0x372
+ 11410 1c81 01                 .byte   0x1
+ 11411 1c82 03                 .byte   0x3
+ 11412 1c83 03                 .byte   0x3
+ 11413 1c84 2F00               .2byte  0x002F
+ 11414 1c86 3C                 .uleb128 0x3c
+ 11415 1c87 0000 0000          .4byte  .LASF147
+ 11416 1c8b 09                 .byte   0x9
+ 11417 1c8c 1B                 .byte   0x1b
+ 11418 1c8d 0000 0000          .4byte  .LASF148
+ 11419 1c91 0D01 0000          .4byte  0x10d
+ 11420 1c95 01                 .byte   0x1
+ 11421 1c96 03                 .byte   0x3
+ 11422 1c97 03                 .byte   0x3
+ 11423 1c98 0001               .2byte  0x0100
+ 11424 1c9a 3C                 .uleb128 0x3c
+ 11425 1c9b 0000 0000          .4byte  .LASF149
+ 11426 1c9f 09                 .byte   0x9
+ 11427 1ca0 1D                 .byte   0x1d
+ 11428 1ca1 0000 0000          .4byte  .LASF150
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 203
+
+
+ 11429 1ca5 0D01 0000          .4byte  0x10d
+ 11430 1ca9 01                 .byte   0x1
+ 11431 1caa 03                 .byte   0x3
+ 11432 1cab 03                 .byte   0x3
+ 11433 1cac 1001               .2byte  0x110
+ 11434 1cae 3C                 .uleb128 0x3c
+ 11435 1caf 0000 0000          .4byte  .LASF151
+ 11436 1cb3 09                 .byte   0x9
+ 11437 1cb4 22                 .byte   0x22
+ 11438 1cb5 0000 0000          .4byte  .LASF152
+ 11439 1cb9 7203 0000          .4byte  0x372
+ 11440 1cbd 01                 .byte   0x1
+ 11441 1cbe 03                 .byte   0x3
+ 11442 1cbf 03                 .byte   0x3
+ 11443 1cc0 B700               .2byte  0x00B7
+ 11444 1cc2 3C                 .uleb128 0x3c
+ 11445 1cc3 0000 0000          .4byte  .LASF153
+ 11446 1cc7 09                 .byte   0x9
+ 11447 1cc8 2D                 .byte   0x2d
+ 11448 1cc9 0000 0000          .4byte  .LASF154
+ 11449 1ccd 7203 0000          .4byte  0x372
+ 11450 1cd1 01                 .byte   0x1
+ 11451 1cd2 03                 .byte   0x3
+ 11452 1cd3 03                 .byte   0x3
+ 11453 1cd4 B000               .2byte  0x00B0
+ 11454 1cd6 3C                 .uleb128 0x3c
+ 11455 1cd7 0000 0000          .4byte  .LASF155
+ 11456 1cdb 09                 .byte   0x9
+ 11457 1cdc 2F                 .byte   0x2f
+ 11458 1cdd 0000 0000          .4byte  .LASF156
+ 11459 1ce1 7203 0000          .4byte  0x372
+ 11460 1ce5 01                 .byte   0x1
+ 11461 1ce6 03                 .byte   0x3
+ 11462 1ce7 03                 .byte   0x3
+ 11463 1ce8 B800               .2byte  0x00B8
+ 11464 1cea 3C                 .uleb128 0x3c
+ 11465 1ceb 0000 0000          .4byte  .LASF157
+ 11466 1cef 09                 .byte   0x9
+ 11467 1cf0 31                 .byte   0x31
+ 11468 1cf1 0000 0000          .4byte  .LASF158
+ 11469 1cf5 0D01 0000          .4byte  0x10d
+ 11470 1cf9 01                 .byte   0x1
+ 11471 1cfa 03                 .byte   0x3
+ 11472 1cfb 03                 .byte   0x3
+ 11473 1cfc 0201               .2byte  0x0102
+ 11474 1cfe 3C                 .uleb128 0x3c
+ 11475 1cff 0000 0000          .4byte  .LASF159
+ 11476 1d03 09                 .byte   0x9
+ 11477 1d04 33                 .byte   0x33
+ 11478 1d05 0000 0000          .4byte  .LASF160
+ 11479 1d09 0D01 0000          .4byte  0x10d
+ 11480 1d0d 01                 .byte   0x1
+ 11481 1d0e 03                 .byte   0x3
+ 11482 1d0f 03                 .byte   0x3
+ 11483 1d10 1201               .2byte  0x112 + 0x00
+ 11484 1d12 3C                 .uleb128 0x3c
+ 11485 1d13 0000 0000          .4byte  .LASF161
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 204
+
+
+ 11486 1d17 09                 .byte   0x9
+ 11487 1d18 37                 .byte   0x37
+ 11488 1d19 0000 0000          .4byte  .LASF162
+ 11489 1d1d 7203 0000          .4byte  0x372
+ 11490 1d21 01                 .byte   0x1
+ 11491 1d22 03                 .byte   0x3
+ 11492 1d23 03                 .byte   0x3
+ 11493 1d24 B100               .2byte  0x00B1
+ 11494 1d26 3C                 .uleb128 0x3c
+ 11495 1d27 0000 0000          .4byte  .LASF163
+ 11496 1d2b 09                 .byte   0x9
+ 11497 1d2c 39                 .byte   0x39
+ 11498 1d2d 0000 0000          .4byte  .LASF164
+ 11499 1d31 7203 0000          .4byte  0x372
+ 11500 1d35 01                 .byte   0x1
+ 11501 1d36 03                 .byte   0x3
+ 11502 1d37 03                 .byte   0x3
+ 11503 1d38 B900               .2byte  0x00B9
+ 11504 1d3a 3C                 .uleb128 0x3c
+ 11505 1d3b 0000 0000          .4byte  .LASF165
+ 11506 1d3f 09                 .byte   0x9
+ 11507 1d40 3B                 .byte   0x3b
+ 11508 1d41 0000 0000          .4byte  .LASF166
+ 11509 1d45 0D01 0000          .4byte  0x10d
+ 11510 1d49 01                 .byte   0x1
+ 11511 1d4a 03                 .byte   0x3
+ 11512 1d4b 03                 .byte   0x3
+ 11513 1d4c 0401               .2byte  0x0104
+ 11514 1d4e 3C                 .uleb128 0x3c
+ 11515 1d4f 0000 0000          .4byte  .LASF167
+ 11516 1d53 09                 .byte   0x9
+ 11517 1d54 3D                 .byte   0x3d
+ 11518 1d55 0000 0000          .4byte  .LASF168
+ 11519 1d59 0D01 0000          .4byte  0x10d
+ 11520 1d5d 01                 .byte   0x1
+ 11521 1d5e 03                 .byte   0x3
+ 11522 1d5f 03                 .byte   0x3
+ 11523 1d60 1401               .2byte  0x112 + 0x02
+ 11524 1d62 3C                 .uleb128 0x3c
+ 11525 1d63 0000 0000          .4byte  .LASF169
+ 11526 1d67 0A                 .byte   0xa
+ 11527 1d68 14                 .byte   0x14
+ 11528 1d69 0000 0000          .4byte  .LASF170
+ 11529 1d6d 7203 0000          .4byte  0x372
+ 11530 1d71 01                 .byte   0x1
+ 11531 1d72 03                 .byte   0x3
+ 11532 1d73 03                 .byte   0x3
+ 11533 1d74 7800               .2byte  0x0078
+ 11534 1d76 3C                 .uleb128 0x3c
+ 11535 1d77 0000 0000          .4byte  .LASF171
+ 11536 1d7b 0A                 .byte   0xa
+ 11537 1d7c 16                 .byte   0x16
+ 11538 1d7d 0000 0000          .4byte  .LASF172
+ 11539 1d81 7203 0000          .4byte  0x372
+ 11540 1d85 01                 .byte   0x1
+ 11541 1d86 03                 .byte   0x3
+ 11542 1d87 03                 .byte   0x3
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 205
+
+
+ 11543 1d88 7900               .2byte  0x0079
+ 11544 1d8a 3C                 .uleb128 0x3c
+ 11545 1d8b 0000 0000          .4byte  .LASF173
+ 11546 1d8f 0A                 .byte   0xa
+ 11547 1d90 18                 .byte   0x18
+ 11548 1d91 0000 0000          .4byte  .LASF174
+ 11549 1d95 7203 0000          .4byte  0x372
+ 11550 1d99 01                 .byte   0x1
+ 11551 1d9a 03                 .byte   0x3
+ 11552 1d9b 03                 .byte   0x3
+ 11553 1d9c 7A00               .2byte  0x007A
+ 11554 1d9e 3C                 .uleb128 0x3c
+ 11555 1d9f 0000 0000          .4byte  .LASF175
+ 11556 1da3 0A                 .byte   0xa
+ 11557 1da4 1A                 .byte   0x1a
+ 11558 1da5 0000 0000          .4byte  .LASF176
+ 11559 1da9 7203 0000          .4byte  0x372
+ 11560 1dad 01                 .byte   0x1
+ 11561 1dae 03                 .byte   0x3
+ 11562 1daf 03                 .byte   0x3
+ 11563 1db0 7B00               .2byte  0x007B
+ 11564 1db2 3C                 .uleb128 0x3c
+ 11565 1db3 0000 0000          .4byte  .LASF177
+ 11566 1db7 0A                 .byte   0xa
+ 11567 1db8 1C                 .byte   0x1c
+ 11568 1db9 0000 0000          .4byte  .LASF178
+ 11569 1dbd 7203 0000          .4byte  0x372
+ 11570 1dc1 01                 .byte   0x1
+ 11571 1dc2 03                 .byte   0x3
+ 11572 1dc3 03                 .byte   0x3
+ 11573 1dc4 7C00               .2byte  0x007C
+ 11574 1dc6 3C                 .uleb128 0x3c
+ 11575 1dc7 0000 0000          .4byte  .LASF179
+ 11576 1dcb 0A                 .byte   0xa
+ 11577 1dcc 1E                 .byte   0x1e
+ 11578 1dcd 0000 0000          .4byte  .LASF180
+ 11579 1dd1 7203 0000          .4byte  0x372
+ 11580 1dd5 01                 .byte   0x1
+ 11581 1dd6 03                 .byte   0x3
+ 11582 1dd7 03                 .byte   0x3
+ 11583 1dd8 7D00               .2byte  0x007D
+ 11584 1dda 3C                 .uleb128 0x3c
+ 11585 1ddb 0000 0000          .4byte  .LASF181
+ 11586 1ddf 0A                 .byte   0xa
+ 11587 1de0 20                 .byte   0x20
+ 11588 1de1 0000 0000          .4byte  .LASF170
+ 11589 1de5 0D01 0000          .4byte  0x10d
+ 11590 1de9 01                 .byte   0x1
+ 11591 1dea 03                 .byte   0x3
+ 11592 1deb 03                 .byte   0x3
+ 11593 1dec 7800               .2byte  0x0078
+ 11594 1dee 3C                 .uleb128 0x3c
+ 11595 1def 0000 0000          .4byte  .LASF182
+ 11596 1df3 0A                 .byte   0xa
+ 11597 1df4 23                 .byte   0x23
+ 11598 1df5 0000 0000          .4byte  .LASF174
+ 11599 1df9 0D01 0000          .4byte  0x10d
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 206
+
+
+ 11600 1dfd 01                 .byte   0x1
+ 11601 1dfe 03                 .byte   0x3
+ 11602 1dff 03                 .byte   0x3
+ 11603 1e00 7A00               .2byte  0x007A
+ 11604 1e02 3C                 .uleb128 0x3c
+ 11605 1e03 0000 0000          .4byte  .LASF183
+ 11606 1e07 0A                 .byte   0xa
+ 11607 1e08 26                 .byte   0x26
+ 11608 1e09 0000 0000          .4byte  .LASF178
+ 11609 1e0d 0D01 0000          .4byte  0x10d
+ 11610 1e11 01                 .byte   0x1
+ 11611 1e12 03                 .byte   0x3
+ 11612 1e13 03                 .byte   0x3
+ 11613 1e14 7C00               .2byte  0x007C
+ 11614 1e16 3C                 .uleb128 0x3c
+ 11615 1e17 0000 0000          .4byte  .LASF184
+ 11616 1e1b 0B                 .byte   0xb
+ 11617 1e1c 47                 .byte   0x47
+ 11618 1e1d 0000 0000          .4byte  .LASF185
+ 11619 1e21 0D01 0000          .4byte  0x10d
+ 11620 1e25 01                 .byte   0x1
+ 11621 1e26 03                 .byte   0x3
+ 11622 1e27 03                 .byte   0x3
+ 11623 1e28 2001               .2byte  0x0120
+ 11624 1e2a 3E                 .uleb128 0x3e
+ 11625 1e2b 4945 3100          .string "IE1"
+ 11626 1e2f 0C                 .byte   0xc
+ 11627 1e30 2A                 .byte   0x2a
+ 11628 1e31 0000 0000          .4byte  .LASF304
+ 11629 1e35 7203 0000          .4byte  0x372
+ 11630 1e39 01                 .byte   0x1
+ 11631 1e3a 03                 .byte   0x3
+ 11632 1e3b 03                 .byte   0x3
+ 11633 1e3c 0000               .2byte  0x0000
+ 11634 1e3e 3C                 .uleb128 0x3c
+ 11635 1e3f 0000 0000          .4byte  .LASF186
+ 11636 1e43 0C                 .byte   0xc
+ 11637 1e44 31                 .byte   0x31
+ 11638 1e45 0000 0000          .4byte  .LASF187
+ 11639 1e49 7203 0000          .4byte  0x372
+ 11640 1e4d 01                 .byte   0x1
+ 11641 1e4e 03                 .byte   0x3
+ 11642 1e4f 03                 .byte   0x3
+ 11643 1e50 0200               .2byte  0x0002
+ 11644 1e52 3C                 .uleb128 0x3c
+ 11645 1e53 0000 0000          .4byte  .LASF188
+ 11646 1e57 0C                 .byte   0xc
+ 11647 1e58 3D                 .byte   0x3d
+ 11648 1e59 0000 0000          .4byte  .LASF189
+ 11649 1e5d 7203 0000          .4byte  0x372
+ 11650 1e61 01                 .byte   0x1
+ 11651 1e62 03                 .byte   0x3
+ 11652 1e63 03                 .byte   0x3
+ 11653 1e64 F810               .2byte  0x10F8
+ 11654 1e66 3C                 .uleb128 0x3c
+ 11655 1e67 0000 0000          .4byte  .LASF190
+ 11656 1e6b 0C                 .byte   0xc
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 207
+
+
+ 11657 1e6c 3F                 .byte   0x3f
+ 11658 1e6d 0000 0000          .4byte  .LASF191
+ 11659 1e71 7203 0000          .4byte  0x372
+ 11660 1e75 01                 .byte   0x1
+ 11661 1e76 03                 .byte   0x3
+ 11662 1e77 03                 .byte   0x3
+ 11663 1e78 F910               .2byte  0x10F9
+ 11664 1e7a 3C                 .uleb128 0x3c
+ 11665 1e7b 0000 0000          .4byte  .LASF192
+ 11666 1e7f 0C                 .byte   0xc
+ 11667 1e80 41                 .byte   0x41
+ 11668 1e81 0000 0000          .4byte  .LASF193
+ 11669 1e85 7203 0000          .4byte  0x372
+ 11670 1e89 01                 .byte   0x1
+ 11671 1e8a 03                 .byte   0x3
+ 11672 1e8b 03                 .byte   0x3
+ 11673 1e8c FA10               .2byte  0x10FA
+ 11674 1e8e 3C                 .uleb128 0x3c
+ 11675 1e8f 0000 0000          .4byte  .LASF194
+ 11676 1e93 0C                 .byte   0xc
+ 11677 1e94 43                 .byte   0x43
+ 11678 1e95 0000 0000          .4byte  .LASF195
+ 11679 1e99 7203 0000          .4byte  0x372
+ 11680 1e9d 01                 .byte   0x1
+ 11681 1e9e 03                 .byte   0x3
+ 11682 1e9f 03                 .byte   0x3
+ 11683 1ea0 FB10               .2byte  0x10FB
+ 11684 1ea2 3C                 .uleb128 0x3c
+ 11685 1ea3 0000 0000          .4byte  .LASF196
+ 11686 1ea7 0C                 .byte   0xc
+ 11687 1ea8 45                 .byte   0x45
+ 11688 1ea9 0000 0000          .4byte  .LASF197
+ 11689 1ead 7203 0000          .4byte  0x372
+ 11690 1eb1 01                 .byte   0x1
+ 11691 1eb2 03                 .byte   0x3
+ 11692 1eb3 03                 .byte   0x3
+ 11693 1eb4 FC10               .2byte  0x10FC
+ 11694 1eb6 3C                 .uleb128 0x3c
+ 11695 1eb7 0000 0000          .4byte  .LASF198
+ 11696 1ebb 0C                 .byte   0xc
+ 11697 1ebc 47                 .byte   0x47
+ 11698 1ebd 0000 0000          .4byte  .LASF199
+ 11699 1ec1 7203 0000          .4byte  0x372
+ 11700 1ec5 01                 .byte   0x1
+ 11701 1ec6 03                 .byte   0x3
+ 11702 1ec7 03                 .byte   0x3
+ 11703 1ec8 FD10               .2byte  0x10FD
+ 11704 1eca 3C                 .uleb128 0x3c
+ 11705 1ecb 0000 0000          .4byte  .LASF200
+ 11706 1ecf 0C                 .byte   0xc
+ 11707 1ed0 49                 .byte   0x49
+ 11708 1ed1 0000 0000          .4byte  .LASF201
+ 11709 1ed5 7203 0000          .4byte  0x372
+ 11710 1ed9 01                 .byte   0x1
+ 11711 1eda 03                 .byte   0x3
+ 11712 1edb 03                 .byte   0x3
+ 11713 1edc FE10               .2byte  0x10FE
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 208
+
+
+ 11714 1ede 3C                 .uleb128 0x3c
+ 11715 1edf 0000 0000          .4byte  .LASF202
+ 11716 1ee3 0C                 .byte   0xc
+ 11717 1ee4 4B                 .byte   0x4b
+ 11718 1ee5 0000 0000          .4byte  .LASF203
+ 11719 1ee9 7203 0000          .4byte  0x372
+ 11720 1eed 01                 .byte   0x1
+ 11721 1eee 03                 .byte   0x3
+ 11722 1eef 03                 .byte   0x3
+ 11723 1ef0 FF10               .2byte  0x10FF
+ 11724 1ef2 3C                 .uleb128 0x3c
+ 11725 1ef3 0000 0000          .4byte  .LASF204
+ 11726 1ef7 01                 .byte   0x1
+ 11727 1ef8 49                 .byte   0x49
+ 11728 1ef9 0000 0000          .4byte  .LASF205
+ 11729 1efd 0D01 0000          .4byte  0x10d
+ 11730 1f01 01                 .byte   0x1
+ 11731 1f02 03                 .byte   0x3
+ 11732 1f03 03                 .byte   0x3
+ 11733 1f04 0020               .2byte  0x2000
+ 11734 1f06 3C                 .uleb128 0x3c
+ 11735 1f07 0000 0000          .4byte  .LASF206
+ 11736 1f0b 01                 .byte   0x1
+ 11737 1f0c 4A                 .byte   0x4a
+ 11738 1f0d 0000 0000          .4byte  .LASF207
+ 11739 1f11 0D01 0000          .4byte  0x10d
+ 11740 1f15 01                 .byte   0x1
+ 11741 1f16 03                 .byte   0x3
+ 11742 1f17 03                 .byte   0x3
+ 11743 1f18 0420               .2byte  0x2004
+ 11744 1f1a 3C                 .uleb128 0x3c
+ 11745 1f1b 0000 0000          .4byte  .LASF208
+ 11746 1f1f 01                 .byte   0x1
+ 11747 1f20 4B                 .byte   0x4b
+ 11748 1f21 0000 0000          .4byte  .LASF209
+ 11749 1f25 0D01 0000          .4byte  0x10d
+ 11750 1f29 01                 .byte   0x1
+ 11751 1f2a 03                 .byte   0x3
+ 11752 1f2b 03                 .byte   0x3
+ 11753 1f2c 0820               .2byte  0x2008
+ 11754 1f2e 3C                 .uleb128 0x3c
+ 11755 1f2f 0000 0000          .4byte  .LASF210
+ 11756 1f33 01                 .byte   0x1
+ 11757 1f34 4C                 .byte   0x4c
+ 11758 1f35 0000 0000          .4byte  .LASF211
+ 11759 1f39 0D01 0000          .4byte  0x10d
+ 11760 1f3d 01                 .byte   0x1
+ 11761 1f3e 03                 .byte   0x3
+ 11762 1f3f 03                 .byte   0x3
+ 11763 1f40 0C20               .2byte  0x200C
+ 11764 1f42 3C                 .uleb128 0x3c
+ 11765 1f43 0000 0000          .4byte  .LASF212
+ 11766 1f47 01                 .byte   0x1
+ 11767 1f48 4E                 .byte   0x4e
+ 11768 1f49 0000 0000          .4byte  .LASF213
+ 11769 1f4d 0D01 0000          .4byte  0x10d
+ 11770 1f51 01                 .byte   0x1
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 209
+
+
+ 11771 1f52 03                 .byte   0x3
+ 11772 1f53 03                 .byte   0x3
+ 11773 1f54 0220               .2byte  0x2002
+ 11774 1f56 3C                 .uleb128 0x3c
+ 11775 1f57 0000 0000          .4byte  .LASF214
+ 11776 1f5b 01                 .byte   0x1
+ 11777 1f5c 4F                 .byte   0x4f
+ 11778 1f5d 0000 0000          .4byte  .LASF215
+ 11779 1f61 0D01 0000          .4byte  0x10d
+ 11780 1f65 01                 .byte   0x1
+ 11781 1f66 03                 .byte   0x3
+ 11782 1f67 03                 .byte   0x3
+ 11783 1f68 0620               .2byte  0x2006
+ 11784 1f6a 3C                 .uleb128 0x3c
+ 11785 1f6b 0000 0000          .4byte  .LASF216
+ 11786 1f6f 01                 .byte   0x1
+ 11787 1f70 50                 .byte   0x50
+ 11788 1f71 0000 0000          .4byte  .LASF217
+ 11789 1f75 0D01 0000          .4byte  0x10d
+ 11790 1f79 01                 .byte   0x1
+ 11791 1f7a 03                 .byte   0x3
+ 11792 1f7b 03                 .byte   0x3
+ 11793 1f7c 0A20               .2byte  0x200A
+ 11794 1f7e 3C                 .uleb128 0x3c
+ 11795 1f7f 0000 0000          .4byte  .LASF218
+ 11796 1f83 01                 .byte   0x1
+ 11797 1f84 51                 .byte   0x51
+ 11798 1f85 0000 0000          .4byte  .LASF219
+ 11799 1f89 0D01 0000          .4byte  0x10d
+ 11800 1f8d 01                 .byte   0x1
+ 11801 1f8e 03                 .byte   0x3
+ 11802 1f8f 03                 .byte   0x3
+ 11803 1f90 0E20               .2byte  0x200E
+ 11804 1f92 3C                 .uleb128 0x3c
+ 11805 1f93 0000 0000          .4byte  .LASF220
+ 11806 1f97 01                 .byte   0x1
+ 11807 1f98 53                 .byte   0x53
+ 11808 1f99 0000 0000          .4byte  .LASF221
+ 11809 1f9d 0D01 0000          .4byte  0x10d
+ 11810 1fa1 01                 .byte   0x1
+ 11811 1fa2 03                 .byte   0x3
+ 11812 1fa3 03                 .byte   0x3
+ 11813 1fa4 0040               .2byte  0x4000
+ 11814 1fa6 3C                 .uleb128 0x3c
+ 11815 1fa7 0000 0000          .4byte  .LASF222
+ 11816 1fab 01                 .byte   0x1
+ 11817 1fac 54                 .byte   0x54
+ 11818 1fad 0000 0000          .4byte  .LASF223
+ 11819 1fb1 0D01 0000          .4byte  0x10d
+ 11820 1fb5 01                 .byte   0x1
+ 11821 1fb6 03                 .byte   0x3
+ 11822 1fb7 03                 .byte   0x3
+ 11823 1fb8 0240               .2byte  0x4002
+ 11824 1fba 3C                 .uleb128 0x3c
+ 11825 1fbb 0000 0000          .4byte  .LASF224
+ 11826 1fbf 01                 .byte   0x1
+ 11827 1fc0 55                 .byte   0x55
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 210
+
+
+ 11828 1fc1 0000 0000          .4byte  .LASF225
+ 11829 1fc5 0D01 0000          .4byte  0x10d
+ 11830 1fc9 01                 .byte   0x1
+ 11831 1fca 03                 .byte   0x3
+ 11832 1fcb 03                 .byte   0x3
+ 11833 1fcc 0440               .2byte  0x4004
+ 11834 1fce 3C                 .uleb128 0x3c
+ 11835 1fcf 0000 0000          .4byte  .LASF226
+ 11836 1fd3 01                 .byte   0x1
+ 11837 1fd4 56                 .byte   0x56
+ 11838 1fd5 0000 0000          .4byte  .LASF227
+ 11839 1fd9 0D01 0000          .4byte  0x10d
+ 11840 1fdd 01                 .byte   0x1
+ 11841 1fde 03                 .byte   0x3
+ 11842 1fdf 03                 .byte   0x3
+ 11843 1fe0 0640               .2byte  0x4006
+ 11844 1fe2 3C                 .uleb128 0x3c
+ 11845 1fe3 0000 0000          .4byte  .LASF228
+ 11846 1fe7 01                 .byte   0x1
+ 11847 1fe8 58                 .byte   0x58
+ 11848 1fe9 0000 0000          .4byte  .LASF229
+ 11849 1fed 0D01 0000          .4byte  0x10d
+ 11850 1ff1 01                 .byte   0x1
+ 11851 1ff2 03                 .byte   0x3
+ 11852 1ff3 03                 .byte   0x3
+ 11853 1ff4 0060               .2byte  0x6000
+ 11854 1ff6 3C                 .uleb128 0x3c
+ 11855 1ff7 0000 0000          .4byte  .LASF230
+ 11856 1ffb 01                 .byte   0x1
+ 11857 1ffc 59                 .byte   0x59
+ 11858 1ffd 0000 0000          .4byte  .LASF231
+ 11859 2001 0D01 0000          .4byte  0x10d
+ 11860 2005 01                 .byte   0x1
+ 11861 2006 03                 .byte   0x3
+ 11862 2007 03                 .byte   0x3
+ 11863 2008 0260               .2byte  0x6002
+ 11864 200a 3C                 .uleb128 0x3c
+ 11865 200b 0000 0000          .4byte  .LASF232
+ 11866 200f 01                 .byte   0x1
+ 11867 2010 5A                 .byte   0x5a
+ 11868 2011 0000 0000          .4byte  .LASF233
+ 11869 2015 0D01 0000          .4byte  0x10d
+ 11870 2019 01                 .byte   0x1
+ 11871 201a 03                 .byte   0x3
+ 11872 201b 03                 .byte   0x3
+ 11873 201c 0460               .2byte  0x6004
+ 11874 201e 3C                 .uleb128 0x3c
+ 11875 201f 0000 0000          .4byte  .LASF234
+ 11876 2023 01                 .byte   0x1
+ 11877 2024 5B                 .byte   0x5b
+ 11878 2025 0000 0000          .4byte  .LASF235
+ 11879 2029 0D01 0000          .4byte  0x10d
+ 11880 202d 01                 .byte   0x1
+ 11881 202e 03                 .byte   0x3
+ 11882 202f 03                 .byte   0x3
+ 11883 2030 0660               .2byte  0x6006
+ 11884 2032 3C                 .uleb128 0x3c
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 211
+
+
+ 11885 2033 0000 0000          .4byte  .LASF236
+ 11886 2037 01                 .byte   0x1
+ 11887 2038 5C                 .byte   0x5c
+ 11888 2039 0000 0000          .4byte  .LASF237
+ 11889 203d 0D01 0000          .4byte  0x10d
+ 11890 2041 01                 .byte   0x1
+ 11891 2042 03                 .byte   0x3
+ 11892 2043 03                 .byte   0x3
+ 11893 2044 0860               .2byte  0x6008
+ 11894 2046 3C                 .uleb128 0x3c
+ 11895 2047 0000 0000          .4byte  .LASF238
+ 11896 204b 01                 .byte   0x1
+ 11897 204c 5D                 .byte   0x5d
+ 11898 204d 0000 0000          .4byte  .LASF239
+ 11899 2051 0D01 0000          .4byte  0x10d
+ 11900 2055 01                 .byte   0x1
+ 11901 2056 03                 .byte   0x3
+ 11902 2057 03                 .byte   0x3
+ 11903 2058 0A60               .2byte  0x600A
+ 11904 205a 3C                 .uleb128 0x3c
+ 11905 205b 0000 0000          .4byte  .LASF240
+ 11906 205f 01                 .byte   0x1
+ 11907 2060 5F                 .byte   0x5f
+ 11908 2061 0000 0000          .4byte  .LASF241
+ 11909 2065 0D01 0000          .4byte  0x10d
+ 11910 2069 01                 .byte   0x1
+ 11911 206a 03                 .byte   0x3
+ 11912 206b 03                 .byte   0x3
+ 11913 206c 00A0               .2byte  0xA000
+ 11914 206e 3C                 .uleb128 0x3c
+ 11915 206f 0000 0000          .4byte  .LASF242
+ 11916 2073 01                 .byte   0x1
+ 11917 2074 60                 .byte   0x60
+ 11918 2075 0000 0000          .4byte  .LASF243
+ 11919 2079 0D01 0000          .4byte  0x10d
+ 11920 207d 01                 .byte   0x1
+ 11921 207e 03                 .byte   0x3
+ 11922 207f 03                 .byte   0x3
+ 11923 2080 01A0               .2byte  0xA001
+ 11924 2082 3C                 .uleb128 0x3c
+ 11925 2083 0000 0000          .4byte  .LASF244
+ 11926 2087 01                 .byte   0x1
+ 11927 2088 61                 .byte   0x61
+ 11928 2089 0000 0000          .4byte  .LASF245
+ 11929 208d 0D01 0000          .4byte  0x10d
+ 11930 2091 01                 .byte   0x1
+ 11931 2092 03                 .byte   0x3
+ 11932 2093 03                 .byte   0x3
+ 11933 2094 02A0               .2byte  0xA002
+ 11934 2096 3C                 .uleb128 0x3c
+ 11935 2097 0000 0000          .4byte  .LASF246
+ 11936 209b 01                 .byte   0x1
+ 11937 209c 62                 .byte   0x62
+ 11938 209d 0000 0000          .4byte  .LASF247
+ 11939 20a1 0D01 0000          .4byte  0x10d
+ 11940 20a5 01                 .byte   0x1
+ 11941 20a6 03                 .byte   0x3
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 212
+
+
+ 11942 20a7 03                 .byte   0x3
+ 11943 20a8 03A0               .2byte  0xA003
+ 11944 20aa 3C                 .uleb128 0x3c
+ 11945 20ab 0000 0000          .4byte  .LASF248
+ 11946 20af 01                 .byte   0x1
+ 11947 20b0 63                 .byte   0x63
+ 11948 20b1 0000 0000          .4byte  .LASF249
+ 11949 20b5 0D01 0000          .4byte  0x10d
+ 11950 20b9 01                 .byte   0x1
+ 11951 20ba 03                 .byte   0x3
+ 11952 20bb 03                 .byte   0x3
+ 11953 20bc 04A0               .2byte  0xA004
+ 11954 20be 3C                 .uleb128 0x3c
+ 11955 20bf 0000 0000          .4byte  .LASF250
+ 11956 20c3 01                 .byte   0x1
+ 11957 20c4 64                 .byte   0x64
+ 11958 20c5 0000 0000          .4byte  .LASF251
+ 11959 20c9 0D01 0000          .4byte  0x10d
+ 11960 20cd 01                 .byte   0x1
+ 11961 20ce 03                 .byte   0x3
+ 11962 20cf 03                 .byte   0x3
+ 11963 20d0 05A0               .2byte  0xA005
+ 11964 20d2 3C                 .uleb128 0x3c
+ 11965 20d3 0000 0000          .4byte  .LASF252
+ 11966 20d7 01                 .byte   0x1
+ 11967 20d8 65                 .byte   0x65
+ 11968 20d9 0000 0000          .4byte  .LASF253
+ 11969 20dd 0D01 0000          .4byte  0x10d
+ 11970 20e1 01                 .byte   0x1
+ 11971 20e2 03                 .byte   0x3
+ 11972 20e3 03                 .byte   0x3
+ 11973 20e4 06A0               .2byte  0xA006
+ 11974 20e6 3C                 .uleb128 0x3c
+ 11975 20e7 0000 0000          .4byte  .LASF254
+ 11976 20eb 01                 .byte   0x1
+ 11977 20ec 66                 .byte   0x66
+ 11978 20ed 0000 0000          .4byte  .LASF255
+ 11979 20f1 0D01 0000          .4byte  0x10d
+ 11980 20f5 01                 .byte   0x1
+ 11981 20f6 03                 .byte   0x3
+ 11982 20f7 03                 .byte   0x3
+ 11983 20f8 07A0               .2byte  0xA007
+ 11984 20fa 0D                 .uleb128 0xd
+ 11985 20fb 2800 0000          .4byte  0x28
+ 11986 20ff 0B21 0000          .4byte  0x210b
+ 11987 2103 3F                 .uleb128 0x3f
+ 11988 2104 A102 0000          .4byte  0x2a1
+ 11989 2108 0A01               .2byte  0x10a
+ 11990 210a 00                 .byte   0x0
+ 11991 210b 40                 .uleb128 0x40
+ 11992 210c 0000 0000          .4byte  .LASF256
+ 11993 2110 01                 .byte   0x1
+ 11994 2111 6D                 .byte   0x6d
+ 11995 2112 1B21 0000          .4byte  0x211b
+ 11996 2116 01                 .byte   0x1
+ 11997 2117 03                 .byte   0x3
+ 11998 2118 03                 .byte   0x3
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 213
+
+
+ 11999 2119 0000               .2byte  cmdListBi
+ 12000 211b 1B                 .uleb128 0x1b
+ 12001 211c FA20 0000          .4byte  0x20fa
+ 12002 2120 0D                 .uleb128 0xd
+ 12003 2121 2800 0000          .4byte  0x28
+ 12004 2125 3021 0000          .4byte  0x2130
+ 12005 2129 0E                 .uleb128 0xe
+ 12006 212a A102 0000          .4byte  0x2a1
+ 12007 212e 08                 .byte   0x8
+ 12008 212f 00                 .byte   0x0
+ 12009 2130 40                 .uleb128 0x40
+ 12010 2131 0000 0000          .4byte  .LASF257
+ 12011 2135 01                 .byte   0x1
+ 12012 2136 7F                 .byte   0x7f
+ 12013 2137 4021 0000          .4byte  0x2140
+ 12014 213b 01                 .byte   0x1
+ 12015 213c 03                 .byte   0x3
+ 12016 213d 03                 .byte   0x3
+ 12017 213e 0000               .2byte  cmdListBi2
+ 12018 2140 1B                 .uleb128 0x1b
+ 12019 2141 2021 0000          .4byte  0x2120
+ 12020 2145 0D                 .uleb128 0xd
+ 12021 2146 3A00 0000          .4byte  0x3a
+ 12022 214a 5521 0000          .4byte  0x2155
+ 12023 214e 0E                 .uleb128 0xe
+ 12024 214f A102 0000          .4byte  0x2a1
+ 12025 2153 03                 .byte   0x3
+ 12026 2154 00                 .byte   0x0
+ 12027 2155 40                 .uleb128 0x40
+ 12028 2156 0000 0000          .4byte  .LASF258
+ 12029 215a 01                 .byte   0x1
+ 12030 215b 83                 .byte   0x83
+ 12031 215c 6521 0000          .4byte  0x2165
+ 12032 2160 01                 .byte   0x1
+ 12033 2161 03                 .byte   0x3
+ 12034 2162 03                 .byte   0x3
+ 12035 2163 0000               .2byte  cmdList2N
+ 12036 2165 1B                 .uleb128 0x1b
+ 12037 2166 4521 0000          .4byte  0x2145
+ 12038 216a 0D                 .uleb128 0xd
+ 12039 216b 3A00 0000          .4byte  0x3a
+ 12040 216f 7A21 0000          .4byte  0x217a
+ 12041 2173 0E                 .uleb128 0xe
+ 12042 2174 A102 0000          .4byte  0x2a1
+ 12043 2178 0F                 .byte   0xf
+ 12044 2179 00                 .byte   0x0
+ 12045 217a 40                 .uleb128 0x40
+ 12046 217b 0000 0000          .4byte  .LASF259
+ 12047 217f 01                 .byte   0x1
+ 12048 2180 87                 .byte   0x87
+ 12049 2181 6A21 0000          .4byte  0x216a
+ 12050 2185 01                 .byte   0x1
+ 12051 2186 03                 .byte   0x3
+ 12052 2187 03                 .byte   0x3
+ 12053 2188 0000               .2byte  mathStack
+ 12054 218a 0D                 .uleb128 0xd
+ 12055 218b 3A00 0000          .4byte  0x3a
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 214
+
+
+ 12056 218f 9A21 0000          .4byte  0x219a
+ 12057 2193 0E                 .uleb128 0xe
+ 12058 2194 A102 0000          .4byte  0x2a1
+ 12059 2198 1F                 .byte   0x1f
+ 12060 2199 00                 .byte   0x0
+ 12061 219a 40                 .uleb128 0x40
+ 12062 219b 0000 0000          .4byte  .LASF260
+ 12063 219f 01                 .byte   0x1
+ 12064 21a0 89                 .byte   0x89
+ 12065 21a1 8A21 0000          .4byte  0x218a
+ 12066 21a5 01                 .byte   0x1
+ 12067 21a6 03                 .byte   0x3
+ 12068 21a7 03                 .byte   0x3
+ 12069 21a8 0000               .2byte  addrStack
+ 12070 21aa 40                 .uleb128 0x40
+ 12071 21ab 0000 0000          .4byte  .LASF261
+ 12072 21af 01                 .byte   0x1
+ 12073 21b0 8A                 .byte   0x8a
+ 12074 21b1 3A00 0000          .4byte  0x3a
+ 12075 21b5 01                 .byte   0x1
+ 12076 21b6 03                 .byte   0x3
+ 12077 21b7 03                 .byte   0x3
+ 12078 21b8 0000               .2byte  addrStackPtr
+ 12079 21ba 0D                 .uleb128 0xd
+ 12080 21bb 3A00 0000          .4byte  0x3a
+ 12081 21bf CA21 0000          .4byte  0x21ca
+ 12082 21c3 0E                 .uleb128 0xe
+ 12083 21c4 A102 0000          .4byte  0x2a1
+ 12084 21c8 FF                 .byte   0xff
+ 12085 21c9 00                 .byte   0x0
+ 12086 21ca 40                 .uleb128 0x40
+ 12087 21cb 0000 0000          .4byte  .LASF262
+ 12088 21cf 01                 .byte   0x1
+ 12089 21d0 8C                 .byte   0x8c
+ 12090 21d1 BA21 0000          .4byte  0x21ba
+ 12091 21d5 01                 .byte   0x1
+ 12092 21d6 03                 .byte   0x3
+ 12093 21d7 03                 .byte   0x3
+ 12094 21d8 0000               .2byte  prog
+ 12095 21da 40                 .uleb128 0x40
+ 12096 21db 0000 0000          .4byte  .LASF263
+ 12097 21df 01                 .byte   0x1
+ 12098 21e0 8D                 .byte   0x8d
+ 12099 21e1 3A00 0000          .4byte  0x3a
+ 12100 21e5 01                 .byte   0x1
+ 12101 21e6 03                 .byte   0x3
+ 12102 21e7 03                 .byte   0x3
+ 12103 21e8 0000               .2byte  progPtr
+ 12104 21ea 40                 .uleb128 0x40
+ 12105 21eb 0000 0000          .4byte  .LASF264
+ 12106 21ef 01                 .byte   0x1
+ 12107 21f0 8E                 .byte   0x8e
+ 12108 21f1 8A21 0000          .4byte  0x218a
+ 12109 21f5 01                 .byte   0x1
+ 12110 21f6 03                 .byte   0x3
+ 12111 21f7 03                 .byte   0x3
+ 12112 21f8 0000               .2byte  progOps
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 215
+
+
+ 12113 21fa 40                 .uleb128 0x40
+ 12114 21fb 0000 0000          .4byte  .LASF265
+ 12115 21ff 01                 .byte   0x1
+ 12116 2200 8F                 .byte   0x8f
+ 12117 2201 3A00 0000          .4byte  0x3a
+ 12118 2205 01                 .byte   0x1
+ 12119 2206 03                 .byte   0x3
+ 12120 2207 03                 .byte   0x3
+ 12121 2208 0000               .2byte  progOpsPtr
+ 12122 220a 0D                 .uleb128 0xd
+ 12123 220b 2800 0000          .4byte  0x28
+ 12124 220f 1A22 0000          .4byte  0x221a
+ 12125 2213 0E                 .uleb128 0xe
+ 12126 2214 A102 0000          .4byte  0x2a1
+ 12127 2218 7F                 .byte   0x7f
+ 12128 2219 00                 .byte   0x0
+ 12129 221a 40                 .uleb128 0x40
+ 12130 221b 0000 0000          .4byte  .LASF266
+ 12131 221f 01                 .byte   0x1
+ 12132 2220 90                 .byte   0x90
+ 12133 2221 0A22 0000          .4byte  0x220a
+ 12134 2225 01                 .byte   0x1
+ 12135 2226 03                 .byte   0x3
+ 12136 2227 03                 .byte   0x3
+ 12137 2228 0000               .2byte  cmdList
+ 12138 222a 40                 .uleb128 0x40
+ 12139 222b 0000 0000          .4byte  .LASF267
+ 12140 222f 01                 .byte   0x1
+ 12141 2230 91                 .byte   0x91
+ 12142 2231 3A00 0000          .4byte  0x3a
+ 12143 2235 01                 .byte   0x1
+ 12144 2236 03                 .byte   0x3
+ 12145 2237 03                 .byte   0x3
+ 12146 2238 0000               .2byte  cmdListPtr
+ 12147 223a 40                 .uleb128 0x40
+ 12148 223b 0000 0000          .4byte  .LASF268
+ 12149 223f 01                 .byte   0x1
+ 12150 2240 93                 .byte   0x93
+ 12151 2241 3A00 0000          .4byte  0x3a
+ 12152 2245 01                 .byte   0x1
+ 12153 2246 03                 .byte   0x3
+ 12154 2247 03                 .byte   0x3
+ 12155 2248 0000               .2byte  subSecondClock
+ 12156 224a 40                 .uleb128 0x40
+ 12157 224b 0000 0000          .4byte  .LASF269
+ 12158 224f 01                 .byte   0x1
+ 12159 2250 94                 .byte   0x94
+ 12160 2251 3A00 0000          .4byte  0x3a
+ 12161 2255 01                 .byte   0x1
+ 12162 2256 03                 .byte   0x3
+ 12163 2257 03                 .byte   0x3
+ 12164 2258 0000               .2byte  fastTimer
+ 12165 225a 40                 .uleb128 0x40
+ 12166 225b 0000 0000          .4byte  .LASF270
+ 12167 225f 01                 .byte   0x1
+ 12168 2260 95                 .byte   0x95
+ 12169 2261 3A00 0000          .4byte  0x3a
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 216
+
+
+ 12170 2265 01                 .byte   0x1
+ 12171 2266 03                 .byte   0x3
+ 12172 2267 03                 .byte   0x3
+ 12173 2268 0000               .2byte  slowTimer
+ 12174 226a 40                 .uleb128 0x40
+ 12175 226b 0000 0000          .4byte  .LASF271
+ 12176 226f 01                 .byte   0x1
+ 12177 2270 98                 .byte   0x98
+ 12178 2271 7A22 0000          .4byte  0x227a
+ 12179 2275 01                 .byte   0x1
+ 12180 2276 03                 .byte   0x3
+ 12181 2277 03                 .byte   0x3
+ 12182 2278 0000               .2byte  dirMemory
+ 12183 227a 1A                 .uleb128 0x1a
+ 12184 227b 02                 .byte   0x2
+ 12185 227c 3A00 0000          .4byte  0x3a
+ 12186 2280 0D                 .uleb128 0xd
+ 12187 2281 4C00 0000          .4byte  0x4c
+ 12188 2285 9122 0000          .4byte  0x2291
+ 12189 2289 3F                 .uleb128 0x3f
+ 12190 228a A102 0000          .4byte  0x2a1
+ 12191 228e 0301               .2byte  0x103
+ 12192 2290 00                 .byte   0x0
+ 12193 2291 40                 .uleb128 0x40
+ 12194 2292 0000 0000          .4byte  .LASF272
+ 12195 2296 01                 .byte   0x1
+ 12196 2297 9B                 .byte   0x9b
+ 12197 2298 8022 0000          .4byte  0x2280
+ 12198 229c 01                 .byte   0x1
+ 12199 229d 03                 .byte   0x3
+ 12200 229e 03                 .byte   0x3
+ 12201 229f 0000               .2byte  buckets
+ 12202 22a1 0D                 .uleb128 0xd
+ 12203 22a2 3A00 0000          .4byte  0x3a
+ 12204 22a6 B122 0000          .4byte  0x22b1
+ 12205 22aa 0E                 .uleb128 0xe
+ 12206 22ab A102 0000          .4byte  0x2a1
+ 12207 22af 93                 .byte   0x93
+ 12208 22b0 00                 .byte   0x0
+ 12209 22b1 40                 .uleb128 0x40
+ 12210 22b2 0000 0000          .4byte  .LASF273
+ 12211 22b6 01                 .byte   0x1
+ 12212 22b7 A0                 .byte   0xa0
+ 12213 22b8 C122 0000          .4byte  0x22c1
+ 12214 22bc 01                 .byte   0x1
+ 12215 22bd 03                 .byte   0x3
+ 12216 22be 03                 .byte   0x3
+ 12217 22bf 0000               .2byte  progBi
+ 12218 22c1 1B                 .uleb128 0x1b
+ 12219 22c2 A122 0000          .4byte  0x22a1
+ 12220 22c6 41                 .uleb128 0x41
+ 12221 22c7 0000 0000          .4byte  .LASF274
+ 12222 22cb 01                 .byte   0x1
+ 12223 22cc 6301               .2byte  0x163
+ 12224 22ce 3A00 0000          .4byte  0x3a
+ 12225 22d2 01                 .byte   0x1
+ 12226 22d3 03                 .byte   0x3
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 217
+
+
+ 12227 22d4 03                 .byte   0x3
+ 12228 22d5 0000               .2byte  progCounter
+ 12229 22d7 41                 .uleb128 0x41
+ 12230 22d8 0000 0000          .4byte  .LASF275
+ 12231 22dc 01                 .byte   0x1
+ 12232 22dd 6501               .2byte  0x165
+ 12233 22df 0A22 0000          .4byte  0x220a
+ 12234 22e3 01                 .byte   0x1
+ 12235 22e4 03                 .byte   0x3
+ 12236 22e5 03                 .byte   0x3
+ 12237 22e6 0000               .2byte  lineBuffer
+ 12238 22e8 41                 .uleb128 0x41
+ 12239 22e9 0000 0000          .4byte  .LASF276
+ 12240 22ed 01                 .byte   0x1
+ 12241 22ee 6701               .2byte  0x167
+ 12242 22f0 4C00 0000          .4byte  0x4c
+ 12243 22f4 01                 .byte   0x1
+ 12244 22f5 03                 .byte   0x3
+ 12245 22f6 03                 .byte   0x3
+ 12246 22f7 0000               .2byte  lineBufferPtr
+ 12247 22f9 0D                 .uleb128 0xd
+ 12248 22fa 2800 0000          .4byte  0x28
+ 12249 22fe 0923 0000          .4byte  0x2309
+ 12250 2302 0E                 .uleb128 0xe
+ 12251 2303 A102 0000          .4byte  0x2a1
+ 12252 2307 1F                 .byte   0x1f
+ 12253 2308 00                 .byte   0x0
+ 12254 2309 41                 .uleb128 0x41
+ 12255 230a 0000 0000          .4byte  .LASF277
+ 12256 230e 01                 .byte   0x1
+ 12257 230f 6A01               .2byte  0x16a
+ 12258 2311 F922 0000          .4byte  0x22f9
+ 12259 2315 01                 .byte   0x1
+ 12260 2316 03                 .byte   0x3
+ 12261 2317 03                 .byte   0x3
+ 12262 2318 0000               .2byte  wordBuffer
+ 12263 231a 41                 .uleb128 0x41
+ 12264 231b 0000 0000          .4byte  .LASF278
+ 12265 231f 01                 .byte   0x1
+ 12266 2320 7101               .2byte  0x171
+ 12267 2322 2B23 0000          .4byte  0x232b
+ 12268 2326 01                 .byte   0x1
+ 12269 2327 03                 .byte   0x3
+ 12270 2328 03                 .byte   0x3
+ 12271 2329 0000               .2byte  outputCharN
+ 12272 232b 07                 .uleb128 0x7
+ 12273 232c 4C00 0000          .4byte  0x4c
+ 12274 2330 41                 .uleb128 0x41
+ 12275 2331 0000 0000          .4byte  .LASF279
+ 12276 2335 01                 .byte   0x1
+ 12277 2336 7201               .2byte  0x172
+ 12278 2338 2B23 0000          .4byte  0x232b
+ 12279 233c 01                 .byte   0x1
+ 12280 233d 03                 .byte   0x3
+ 12281 233e 03                 .byte   0x3
+ 12282 233f 0000               .2byte  outputCharCntrN
+ 12283 2341 41                 .uleb128 0x41
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 218
+
+
+ 12284 2342 0000 0000          .4byte  .LASF280
+ 12285 2346 01                 .byte   0x1
+ 12286 2347 7601               .2byte  0x176
+ 12287 2349 2B23 0000          .4byte  0x232b
+ 12288 234d 01                 .byte   0x1
+ 12289 234e 03                 .byte   0x3
+ 12290 234f 03                 .byte   0x3
+ 12291 2350 0000               .2byte  outputChar
+ 12292 2352 41                 .uleb128 0x41
+ 12293 2353 0000 0000          .4byte  .LASF281
+ 12294 2357 01                 .byte   0x1
+ 12295 2358 7701               .2byte  0x177
+ 12296 235a 2B23 0000          .4byte  0x232b
+ 12297 235e 01                 .byte   0x1
+ 12298 235f 03                 .byte   0x3
+ 12299 2360 03                 .byte   0x3
+ 12300 2361 0000               .2byte  outputCharCntr
+ 12301 2363 41                 .uleb128 0x41
+ 12302 2364 0000 0000          .4byte  .LASF282
+ 12303 2368 01                 .byte   0x1
+ 12304 2369 7801               .2byte  0x178
+ 12305 236b 2B23 0000          .4byte  0x232b
+ 12306 236f 01                 .byte   0x1
+ 12307 2370 03                 .byte   0x3
+ 12308 2371 03                 .byte   0x3
+ 12309 2372 0000               .2byte  clicks
+ 12310 2374 0D                 .uleb128 0xd
+ 12311 2375 4C00 0000          .4byte  0x4c
+ 12312 2379 8423 0000          .4byte  0x2384
+ 12313 237d 0E                 .uleb128 0xe
+ 12314 237e A102 0000          .4byte  0x2a1
+ 12315 2382 0F                 .byte   0xf
+ 12316 2383 00                 .byte   0x0
+ 12317 2384 41                 .uleb128 0x41
+ 12318 2385 0000 0000          .4byte  .LASF283
+ 12319 2389 01                 .byte   0x1
+ 12320 238a 7A01               .2byte  0x17a
+ 12321 238c 7423 0000          .4byte  0x2374
+ 12322 2390 01                 .byte   0x1
+ 12323 2391 03                 .byte   0x3
+ 12324 2392 03                 .byte   0x3
+ 12325 2393 0000               .2byte  outputRing
+ 12326 2395 41                 .uleb128 0x41
+ 12327 2396 0000 0000          .4byte  .LASF284
+ 12328 239a 01                 .byte   0x1
+ 12329 239b 7B01               .2byte  0x17b
+ 12330 239d 2B23 0000          .4byte  0x232b
+ 12331 23a1 01                 .byte   0x1
+ 12332 23a2 03                 .byte   0x3
+ 12333 23a3 03                 .byte   0x3
+ 12334 23a4 0000               .2byte  outputRingPtrXin
+ 12335 23a6 41                 .uleb128 0x41
+ 12336 23a7 0000 0000          .4byte  .LASF285
+ 12337 23ab 01                 .byte   0x1
+ 12338 23ac 7C01               .2byte  0x17c
+ 12339 23ae 2B23 0000          .4byte  0x232b
+ 12340 23b2 01                 .byte   0x1
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 219
+
+
+ 12341 23b3 03                 .byte   0x3
+ 12342 23b4 03                 .byte   0x3
+ 12343 23b5 0000               .2byte  outputRingPtrXout
+ 12344 23b7 41                 .uleb128 0x41
+ 12345 23b8 0000 0000          .4byte  .LASF286
+ 12346 23bc 01                 .byte   0x1
+ 12347 23bd 7F01               .2byte  0x17f
+ 12348 23bf 2B23 0000          .4byte  0x232b
+ 12349 23c3 01                 .byte   0x1
+ 12350 23c4 03                 .byte   0x3
+ 12351 23c5 03                 .byte   0x3
+ 12352 23c6 0000               .2byte  inputChar
+ 12353 23c8 41                 .uleb128 0x41
+ 12354 23c9 0000 0000          .4byte  .LASF287
+ 12355 23cd 01                 .byte   0x1
+ 12356 23ce 8001               .2byte  0x180
+ 12357 23d0 2B23 0000          .4byte  0x232b
+ 12358 23d4 01                 .byte   0x1
+ 12359 23d5 03                 .byte   0x3
+ 12360 23d6 03                 .byte   0x3
+ 12361 23d7 0000               .2byte  inputCharX
+ 12362 23d9 41                 .uleb128 0x41
+ 12363 23da 0000 0000          .4byte  .LASF288
+ 12364 23de 01                 .byte   0x1
+ 12365 23df 8101               .2byte  0x181
+ 12366 23e1 2B23 0000          .4byte  0x232b
+ 12367 23e5 01                 .byte   0x1
+ 12368 23e6 03                 .byte   0x3
+ 12369 23e7 03                 .byte   0x3
+ 12370 23e8 0000               .2byte  inputCharCntr
+ 12371 23ea 41                 .uleb128 0x41
+ 12372 23eb 0000 0000          .4byte  .LASF289
+ 12373 23ef 01                 .byte   0x1
+ 12374 23f0 8301               .2byte  0x183
+ 12375 23f2 2B23 0000          .4byte  0x232b
+ 12376 23f6 01                 .byte   0x1
+ 12377 23f7 03                 .byte   0x3
+ 12378 23f8 03                 .byte   0x3
+ 12379 23f9 0000               .2byte  inputCharBit
+ 12380 23fb 0D                 .uleb128 0xd
+ 12381 23fc 2800 0000          .4byte  0x28
+ 12382 2400 0B24 0000          .4byte  0x240b
+ 12383 2404 0E                 .uleb128 0xe
+ 12384 2405 A102 0000          .4byte  0x2a1
+ 12385 2409 0F                 .byte   0xf
+ 12386 240a 00                 .byte   0x0
+ 12387 240b 41                 .uleb128 0x41
+ 12388 240c 0000 0000          .4byte  .LASF290
+ 12389 2410 01                 .byte   0x1
+ 12390 2411 8501               .2byte  0x185
+ 12391 2413 FB23 0000          .4byte  0x23fb
+ 12392 2417 01                 .byte   0x1
+ 12393 2418 03                 .byte   0x3
+ 12394 2419 03                 .byte   0x3
+ 12395 241a 0000               .2byte  inputRing
+ 12396 241c 41                 .uleb128 0x41
+ 12397 241d 0000 0000          .4byte  .LASF291
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 220
+
+
+ 12398 2421 01                 .byte   0x1
+ 12399 2422 8601               .2byte  0x186
+ 12400 2424 2B23 0000          .4byte  0x232b
+ 12401 2428 01                 .byte   0x1
+ 12402 2429 03                 .byte   0x3
+ 12403 242a 03                 .byte   0x3
+ 12404 242b 0000               .2byte  inputRingPtrXin
+ 12405 242d 41                 .uleb128 0x41
+ 12406 242e 0000 0000          .4byte  .LASF292
+ 12407 2432 01                 .byte   0x1
+ 12408 2433 8701               .2byte  0x187
+ 12409 2435 2B23 0000          .4byte  0x232b
+ 12410 2439 01                 .byte   0x1
+ 12411 243a 03                 .byte   0x3
+ 12412 243b 03                 .byte   0x3
+ 12413 243c 0000               .2byte  inputRingPtrXout
+ 12414 243e 41                 .uleb128 0x41
+ 12415 243f 0000 0000          .4byte  .LASF293
+ 12416 2443 01                 .byte   0x1
+ 12417 2444 8A01               .2byte  0x18a
+ 12418 2446 0A22 0000          .4byte  0x220a
+ 12419 244a 01                 .byte   0x1
+ 12420 244b 03                 .byte   0x3
+ 12421 244c 03                 .byte   0x3
+ 12422 244d 0000               .2byte  inputBuf
+ 12423 244f 41                 .uleb128 0x41
+ 12424 2450 0000 0000          .4byte  .LASF294
+ 12425 2454 01                 .byte   0x1
+ 12426 2455 8B01               .2byte  0x18b
+ 12427 2457 2800 0000          .4byte  0x28
+ 12428 245b 01                 .byte   0x1
+ 12429 245c 03                 .byte   0x3
+ 12430 245d 03                 .byte   0x3
+ 12431 245e 0000               .2byte  inputBufPtr
+ 12432 2460 0D                 .uleb128 0xd
+ 12433 2461 3A00 0000          .4byte  0x3a
+ 12434 2465 7024 0000          .4byte  0x2470
+ 12435 2469 0E                 .uleb128 0xe
+ 12436 246a A102 0000          .4byte  0x2a1
+ 12437 246e 02                 .byte   0x2
+ 12438 246f 00                 .byte   0x0
+ 12439 2470 41                 .uleb128 0x41
+ 12440 2471 0000 0000          .4byte  .LASF295
+ 12441 2475 01                 .byte   0x1
+ 12442 2476 8E01               .2byte  0x18e
+ 12443 2478 6024 0000          .4byte  0x2460
+ 12444 247c 01                 .byte   0x1
+ 12445 247d 03                 .byte   0x3
+ 12446 247e 03                 .byte   0x3
+ 12447 247f 0000               .2byte  fecShadow
+ 12448 2481 41                 .uleb128 0x41
+ 12449 2482 0000 0000          .4byte  .LASF296
+ 12450 2486 01                 .byte   0x1
+ 12451 2487 9101               .2byte  0x191
+ 12452 2489 9224 0000          .4byte  0x2492
+ 12453 248d 01                 .byte   0x1
+ 12454 248e 03                 .byte   0x3
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 221
+
+
+ 12455 248f 03                 .byte   0x3
+ 12456 2490 0000               .2byte  biasVoltage
+ 12457 2492 1B                 .uleb128 0x1b
+ 12458 2493 7423 0000          .4byte  0x2374
+ 12459 2497 41                 .uleb128 0x41
+ 12460 2498 0000 0000          .4byte  .LASF297
+ 12461 249c 01                 .byte   0x1
+ 12462 249d AF01               .2byte  0x1af
+ 12463 249f 4C00 0000          .4byte  0x4c
+ 12464 24a3 01                 .byte   0x1
+ 12465 24a4 03                 .byte   0x3
+ 12466 24a5 03                 .byte   0x3
+ 12467 24a6 0000               .2byte  ad_int_tmp
+ 12468 24a8 0D                 .uleb128 0xd
+ 12469 24a9 B824 0000          .4byte  0x24b8
+ 12470 24ad B824 0000          .4byte  0x24b8
+ 12471 24b1 0E                 .uleb128 0xe
+ 12472 24b2 A102 0000          .4byte  0x2a1
+ 12473 24b6 0F                 .byte   0xf
+ 12474 24b7 00                 .byte   0x0
+ 12475 24b8 42                 .uleb128 0x42
+ 12476 24b9 02                 .byte   0x2
+ 12477 24ba 41                 .uleb128 0x41
+ 12478 24bb 0000 0000          .4byte  .LASF298
+ 12479 24bf 01                 .byte   0x1
+ 12480 24c0 3106               .2byte  0x631
+ 12481 24c2 A824 0000          .4byte  0x24a8
+ 12482 24c6 01                 .byte   0x1
+ 12483 24c7 03                 .byte   0x3
+ 12484 24c8 03                 .byte   0x3
+ 12485 24c9 0000               .2byte  InterruptVectors
+ 12486 24cb 00                 .byte   0x0
+ 12487                         .section        .debug_abbrev
+ 12488 0000 01                 .uleb128 0x1
+ 12489 0001 11                 .uleb128 0x11
+ 12490 0002 01                 .byte   0x1
+ 12491 0003 25                 .uleb128 0x25
+ 12492 0004 0E                 .uleb128 0xe
+ 12493 0005 13                 .uleb128 0x13
+ 12494 0006 0B                 .uleb128 0xb
+ 12495 0007 03                 .uleb128 0x3
+ 12496 0008 08                 .uleb128 0x8
+ 12497 0009 1B                 .uleb128 0x1b
+ 12498 000a 0E                 .uleb128 0xe
+ 12499 000b 11                 .uleb128 0x11
+ 12500 000c 01                 .uleb128 0x1
+ 12501 000d 12                 .uleb128 0x12
+ 12502 000e 01                 .uleb128 0x1
+ 12503 000f 10                 .uleb128 0x10
+ 12504 0010 06                 .uleb128 0x6
+ 12505 0011 00                 .byte   0x0
+ 12506 0012 00                 .byte   0x0
+ 12507 0013 02                 .uleb128 0x2
+ 12508 0014 24                 .uleb128 0x24
+ 12509 0015 00                 .byte   0x0
+ 12510 0016 0B                 .uleb128 0xb
+ 12511 0017 0B                 .uleb128 0xb
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 222
+
+
+ 12512 0018 3E                 .uleb128 0x3e
+ 12513 0019 0B                 .uleb128 0xb
+ 12514 001a 03                 .uleb128 0x3
+ 12515 001b 0E                 .uleb128 0xe
+ 12516 001c 00                 .byte   0x0
+ 12517 001d 00                 .byte   0x0
+ 12518 001e 03                 .uleb128 0x3
+ 12519 001f 16                 .uleb128 0x16
+ 12520 0020 00                 .byte   0x0
+ 12521 0021 03                 .uleb128 0x3
+ 12522 0022 0E                 .uleb128 0xe
+ 12523 0023 3A                 .uleb128 0x3a
+ 12524 0024 0B                 .uleb128 0xb
+ 12525 0025 3B                 .uleb128 0x3b
+ 12526 0026 0B                 .uleb128 0xb
+ 12527 0027 49                 .uleb128 0x49
+ 12528 0028 13                 .uleb128 0x13
+ 12529 0029 00                 .byte   0x0
+ 12530 002a 00                 .byte   0x0
+ 12531 002b 04                 .uleb128 0x4
+ 12532 002c 24                 .uleb128 0x24
+ 12533 002d 00                 .byte   0x0
+ 12534 002e 0B                 .uleb128 0xb
+ 12535 002f 0B                 .uleb128 0xb
+ 12536 0030 3E                 .uleb128 0x3e
+ 12537 0031 0B                 .uleb128 0xb
+ 12538 0032 03                 .uleb128 0x3
+ 12539 0033 08                 .uleb128 0x8
+ 12540 0034 00                 .byte   0x0
+ 12541 0035 00                 .byte   0x0
+ 12542 0036 05                 .uleb128 0x5
+ 12543 0037 13                 .uleb128 0x13
+ 12544 0038 01                 .byte   0x1
+ 12545 0039 0B                 .uleb128 0xb
+ 12546 003a 0B                 .uleb128 0xb
+ 12547 003b 3A                 .uleb128 0x3a
+ 12548 003c 0B                 .uleb128 0xb
+ 12549 003d 3B                 .uleb128 0x3b
+ 12550 003e 05                 .uleb128 0x5
+ 12551 003f 01                 .uleb128 0x1
+ 12552 0040 13                 .uleb128 0x13
+ 12553 0041 00                 .byte   0x0
+ 12554 0042 00                 .byte   0x0
+ 12555 0043 06                 .uleb128 0x6
+ 12556 0044 0D                 .uleb128 0xd
+ 12557 0045 00                 .byte   0x0
+ 12558 0046 03                 .uleb128 0x3
+ 12559 0047 0E                 .uleb128 0xe
+ 12560 0048 3A                 .uleb128 0x3a
+ 12561 0049 0B                 .uleb128 0xb
+ 12562 004a 3B                 .uleb128 0x3b
+ 12563 004b 05                 .uleb128 0x5
+ 12564 004c 49                 .uleb128 0x49
+ 12565 004d 13                 .uleb128 0x13
+ 12566 004e 0B                 .uleb128 0xb
+ 12567 004f 0B                 .uleb128 0xb
+ 12568 0050 0D                 .uleb128 0xd
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 223
+
+
+ 12569 0051 0B                 .uleb128 0xb
+ 12570 0052 0C                 .uleb128 0xc
+ 12571 0053 0B                 .uleb128 0xb
+ 12572 0054 38                 .uleb128 0x38
+ 12573 0055 0A                 .uleb128 0xa
+ 12574 0056 00                 .byte   0x0
+ 12575 0057 00                 .byte   0x0
+ 12576 0058 07                 .uleb128 0x7
+ 12577 0059 35                 .uleb128 0x35
+ 12578 005a 00                 .byte   0x0
+ 12579 005b 49                 .uleb128 0x49
+ 12580 005c 13                 .uleb128 0x13
+ 12581 005d 00                 .byte   0x0
+ 12582 005e 00                 .byte   0x0
+ 12583 005f 08                 .uleb128 0x8
+ 12584 0060 16                 .uleb128 0x16
+ 12585 0061 00                 .byte   0x0
+ 12586 0062 03                 .uleb128 0x3
+ 12587 0063 0E                 .uleb128 0xe
+ 12588 0064 3A                 .uleb128 0x3a
+ 12589 0065 0B                 .uleb128 0xb
+ 12590 0066 3B                 .uleb128 0x3b
+ 12591 0067 05                 .uleb128 0x5
+ 12592 0068 49                 .uleb128 0x49
+ 12593 0069 13                 .uleb128 0x13
+ 12594 006a 00                 .byte   0x0
+ 12595 006b 00                 .byte   0x0
+ 12596 006c 09                 .uleb128 0x9
+ 12597 006d 0D                 .uleb128 0xd
+ 12598 006e 00                 .byte   0x0
+ 12599 006f 03                 .uleb128 0x3
+ 12600 0070 08                 .uleb128 0x8
+ 12601 0071 3A                 .uleb128 0x3a
+ 12602 0072 0B                 .uleb128 0xb
+ 12603 0073 3B                 .uleb128 0x3b
+ 12604 0074 05                 .uleb128 0x5
+ 12605 0075 49                 .uleb128 0x49
+ 12606 0076 13                 .uleb128 0x13
+ 12607 0077 0B                 .uleb128 0xb
+ 12608 0078 0B                 .uleb128 0xb
+ 12609 0079 0D                 .uleb128 0xd
+ 12610 007a 0B                 .uleb128 0xb
+ 12611 007b 0C                 .uleb128 0xc
+ 12612 007c 0B                 .uleb128 0xb
+ 12613 007d 38                 .uleb128 0x38
+ 12614 007e 0A                 .uleb128 0xa
+ 12615 007f 00                 .byte   0x0
+ 12616 0080 00                 .byte   0x0
+ 12617 0081 0A                 .uleb128 0xa
+ 12618 0082 13                 .uleb128 0x13
+ 12619 0083 01                 .byte   0x1
+ 12620 0084 03                 .uleb128 0x3
+ 12621 0085 0E                 .uleb128 0xe
+ 12622 0086 0B                 .uleb128 0xb
+ 12623 0087 0B                 .uleb128 0xb
+ 12624 0088 3A                 .uleb128 0x3a
+ 12625 0089 0B                 .uleb128 0xb
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 224
+
+
+ 12626 008a 3B                 .uleb128 0x3b
+ 12627 008b 05                 .uleb128 0x5
+ 12628 008c 01                 .uleb128 0x1
+ 12629 008d 13                 .uleb128 0x13
+ 12630 008e 00                 .byte   0x0
+ 12631 008f 00                 .byte   0x0
+ 12632 0090 0B                 .uleb128 0xb
+ 12633 0091 0D                 .uleb128 0xd
+ 12634 0092 00                 .byte   0x0
+ 12635 0093 03                 .uleb128 0x3
+ 12636 0094 08                 .uleb128 0x8
+ 12637 0095 3A                 .uleb128 0x3a
+ 12638 0096 0B                 .uleb128 0xb
+ 12639 0097 3B                 .uleb128 0x3b
+ 12640 0098 05                 .uleb128 0x5
+ 12641 0099 49                 .uleb128 0x49
+ 12642 009a 13                 .uleb128 0x13
+ 12643 009b 38                 .uleb128 0x38
+ 12644 009c 0A                 .uleb128 0xa
+ 12645 009d 00                 .byte   0x0
+ 12646 009e 00                 .byte   0x0
+ 12647 009f 0C                 .uleb128 0xc
+ 12648 00a0 0D                 .uleb128 0xd
+ 12649 00a1 00                 .byte   0x0
+ 12650 00a2 03                 .uleb128 0x3
+ 12651 00a3 0E                 .uleb128 0xe
+ 12652 00a4 3A                 .uleb128 0x3a
+ 12653 00a5 0B                 .uleb128 0xb
+ 12654 00a6 3B                 .uleb128 0x3b
+ 12655 00a7 05                 .uleb128 0x5
+ 12656 00a8 49                 .uleb128 0x49
+ 12657 00a9 13                 .uleb128 0x13
+ 12658 00aa 38                 .uleb128 0x38
+ 12659 00ab 0A                 .uleb128 0xa
+ 12660 00ac 00                 .byte   0x0
+ 12661 00ad 00                 .byte   0x0
+ 12662 00ae 0D                 .uleb128 0xd
+ 12663 00af 01                 .uleb128 0x1
+ 12664 00b0 01                 .byte   0x1
+ 12665 00b1 49                 .uleb128 0x49
+ 12666 00b2 13                 .uleb128 0x13
+ 12667 00b3 01                 .uleb128 0x1
+ 12668 00b4 13                 .uleb128 0x13
+ 12669 00b5 00                 .byte   0x0
+ 12670 00b6 00                 .byte   0x0
+ 12671 00b7 0E                 .uleb128 0xe
+ 12672 00b8 21                 .uleb128 0x21
+ 12673 00b9 00                 .byte   0x0
+ 12674 00ba 49                 .uleb128 0x49
+ 12675 00bb 13                 .uleb128 0x13
+ 12676 00bc 2F                 .uleb128 0x2f
+ 12677 00bd 0B                 .uleb128 0xb
+ 12678 00be 00                 .byte   0x0
+ 12679 00bf 00                 .byte   0x0
+ 12680 00c0 0F                 .uleb128 0xf
+ 12681 00c1 24                 .uleb128 0x24
+ 12682 00c2 00                 .byte   0x0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 225
+
+
+ 12683 00c3 0B                 .uleb128 0xb
+ 12684 00c4 0B                 .uleb128 0xb
+ 12685 00c5 3E                 .uleb128 0x3e
+ 12686 00c6 0B                 .uleb128 0xb
+ 12687 00c7 00                 .byte   0x0
+ 12688 00c8 00                 .byte   0x0
+ 12689 00c9 10                 .uleb128 0x10
+ 12690 00ca 13                 .uleb128 0x13
+ 12691 00cb 01                 .byte   0x1
+ 12692 00cc 0B                 .uleb128 0xb
+ 12693 00cd 0B                 .uleb128 0xb
+ 12694 00ce 3A                 .uleb128 0x3a
+ 12695 00cf 0B                 .uleb128 0xb
+ 12696 00d0 3B                 .uleb128 0x3b
+ 12697 00d1 0B                 .uleb128 0xb
+ 12698 00d2 01                 .uleb128 0x1
+ 12699 00d3 13                 .uleb128 0x13
+ 12700 00d4 00                 .byte   0x0
+ 12701 00d5 00                 .byte   0x0
+ 12702 00d6 11                 .uleb128 0x11
+ 12703 00d7 0D                 .uleb128 0xd
+ 12704 00d8 00                 .byte   0x0
+ 12705 00d9 03                 .uleb128 0x3
+ 12706 00da 0E                 .uleb128 0xe
+ 12707 00db 3A                 .uleb128 0x3a
+ 12708 00dc 0B                 .uleb128 0xb
+ 12709 00dd 3B                 .uleb128 0x3b
+ 12710 00de 0B                 .uleb128 0xb
+ 12711 00df 49                 .uleb128 0x49
+ 12712 00e0 13                 .uleb128 0x13
+ 12713 00e1 0B                 .uleb128 0xb
+ 12714 00e2 0B                 .uleb128 0xb
+ 12715 00e3 0D                 .uleb128 0xd
+ 12716 00e4 0B                 .uleb128 0xb
+ 12717 00e5 0C                 .uleb128 0xc
+ 12718 00e6 0B                 .uleb128 0xb
+ 12719 00e7 38                 .uleb128 0x38
+ 12720 00e8 0A                 .uleb128 0xa
+ 12721 00e9 00                 .byte   0x0
+ 12722 00ea 00                 .byte   0x0
+ 12723 00eb 12                 .uleb128 0x12
+ 12724 00ec 17                 .uleb128 0x17
+ 12725 00ed 01                 .byte   0x1
+ 12726 00ee 03                 .uleb128 0x3
+ 12727 00ef 0E                 .uleb128 0xe
+ 12728 00f0 0B                 .uleb128 0xb
+ 12729 00f1 0B                 .uleb128 0xb
+ 12730 00f2 3A                 .uleb128 0x3a
+ 12731 00f3 0B                 .uleb128 0xb
+ 12732 00f4 3B                 .uleb128 0x3b
+ 12733 00f5 0B                 .uleb128 0xb
+ 12734 00f6 01                 .uleb128 0x1
+ 12735 00f7 13                 .uleb128 0x13
+ 12736 00f8 00                 .byte   0x0
+ 12737 00f9 00                 .byte   0x0
+ 12738 00fa 13                 .uleb128 0x13
+ 12739 00fb 0D                 .uleb128 0xd
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 226
+
+
+ 12740 00fc 00                 .byte   0x0
+ 12741 00fd 03                 .uleb128 0x3
+ 12742 00fe 0E                 .uleb128 0xe
+ 12743 00ff 3A                 .uleb128 0x3a
+ 12744 0100 0B                 .uleb128 0xb
+ 12745 0101 3B                 .uleb128 0x3b
+ 12746 0102 0B                 .uleb128 0xb
+ 12747 0103 49                 .uleb128 0x49
+ 12748 0104 13                 .uleb128 0x13
+ 12749 0105 00                 .byte   0x0
+ 12750 0106 00                 .byte   0x0
+ 12751 0107 14                 .uleb128 0x14
+ 12752 0108 13                 .uleb128 0x13
+ 12753 0109 01                 .byte   0x1
+ 12754 010a 03                 .uleb128 0x3
+ 12755 010b 0E                 .uleb128 0xe
+ 12756 010c 0B                 .uleb128 0xb
+ 12757 010d 0B                 .uleb128 0xb
+ 12758 010e 3A                 .uleb128 0x3a
+ 12759 010f 0B                 .uleb128 0xb
+ 12760 0110 3B                 .uleb128 0x3b
+ 12761 0111 0B                 .uleb128 0xb
+ 12762 0112 01                 .uleb128 0x1
+ 12763 0113 13                 .uleb128 0x13
+ 12764 0114 00                 .byte   0x0
+ 12765 0115 00                 .byte   0x0
+ 12766 0116 15                 .uleb128 0x15
+ 12767 0117 0D                 .uleb128 0xd
+ 12768 0118 00                 .byte   0x0
+ 12769 0119 03                 .uleb128 0x3
+ 12770 011a 08                 .uleb128 0x8
+ 12771 011b 3A                 .uleb128 0x3a
+ 12772 011c 0B                 .uleb128 0xb
+ 12773 011d 3B                 .uleb128 0x3b
+ 12774 011e 0B                 .uleb128 0xb
+ 12775 011f 49                 .uleb128 0x49
+ 12776 0120 13                 .uleb128 0x13
+ 12777 0121 38                 .uleb128 0x38
+ 12778 0122 0A                 .uleb128 0xa
+ 12779 0123 00                 .byte   0x0
+ 12780 0124 00                 .byte   0x0
+ 12781 0125 16                 .uleb128 0x16
+ 12782 0126 2E                 .uleb128 0x2e
+ 12783 0127 01                 .byte   0x1
+ 12784 0128 3F                 .uleb128 0x3f
+ 12785 0129 0C                 .uleb128 0xc
+ 12786 012a 03                 .uleb128 0x3
+ 12787 012b 0E                 .uleb128 0xe
+ 12788 012c 3A                 .uleb128 0x3a
+ 12789 012d 0B                 .uleb128 0xb
+ 12790 012e 3B                 .uleb128 0x3b
+ 12791 012f 05                 .uleb128 0x5
+ 12792 0130 27                 .uleb128 0x27
+ 12793 0131 0C                 .uleb128 0xc
+ 12794 0132 20                 .uleb128 0x20
+ 12795 0133 0B                 .uleb128 0xb
+ 12796 0134 01                 .uleb128 0x1
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 227
+
+
+ 12797 0135 13                 .uleb128 0x13
+ 12798 0136 00                 .byte   0x0
+ 12799 0137 00                 .byte   0x0
+ 12800 0138 17                 .uleb128 0x17
+ 12801 0139 05                 .uleb128 0x5
+ 12802 013a 00                 .byte   0x0
+ 12803 013b 03                 .uleb128 0x3
+ 12804 013c 08                 .uleb128 0x8
+ 12805 013d 3A                 .uleb128 0x3a
+ 12806 013e 0B                 .uleb128 0xb
+ 12807 013f 3B                 .uleb128 0x3b
+ 12808 0140 05                 .uleb128 0x5
+ 12809 0141 49                 .uleb128 0x49
+ 12810 0142 13                 .uleb128 0x13
+ 12811 0143 00                 .byte   0x0
+ 12812 0144 00                 .byte   0x0
+ 12813 0145 18                 .uleb128 0x18
+ 12814 0146 34                 .uleb128 0x34
+ 12815 0147 00                 .byte   0x0
+ 12816 0148 03                 .uleb128 0x3
+ 12817 0149 08                 .uleb128 0x8
+ 12818 014a 3A                 .uleb128 0x3a
+ 12819 014b 0B                 .uleb128 0xb
+ 12820 014c 3B                 .uleb128 0x3b
+ 12821 014d 05                 .uleb128 0x5
+ 12822 014e 49                 .uleb128 0x49
+ 12823 014f 13                 .uleb128 0x13
+ 12824 0150 00                 .byte   0x0
+ 12825 0151 00                 .byte   0x0
+ 12826 0152 19                 .uleb128 0x19
+ 12827 0153 2E                 .uleb128 0x2e
+ 12828 0154 01                 .byte   0x1
+ 12829 0155 3F                 .uleb128 0x3f
+ 12830 0156 0C                 .uleb128 0xc
+ 12831 0157 03                 .uleb128 0x3
+ 12832 0158 0E                 .uleb128 0xe
+ 12833 0159 3A                 .uleb128 0x3a
+ 12834 015a 0B                 .uleb128 0xb
+ 12835 015b 3B                 .uleb128 0x3b
+ 12836 015c 05                 .uleb128 0x5
+ 12837 015d 49                 .uleb128 0x49
+ 12838 015e 13                 .uleb128 0x13
+ 12839 015f 20                 .uleb128 0x20
+ 12840 0160 0B                 .uleb128 0xb
+ 12841 0161 01                 .uleb128 0x1
+ 12842 0162 13                 .uleb128 0x13
+ 12843 0163 00                 .byte   0x0
+ 12844 0164 00                 .byte   0x0
+ 12845 0165 1A                 .uleb128 0x1a
+ 12846 0166 0F                 .uleb128 0xf
+ 12847 0167 00                 .byte   0x0
+ 12848 0168 0B                 .uleb128 0xb
+ 12849 0169 0B                 .uleb128 0xb
+ 12850 016a 49                 .uleb128 0x49
+ 12851 016b 13                 .uleb128 0x13
+ 12852 016c 00                 .byte   0x0
+ 12853 016d 00                 .byte   0x0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 228
+
+
+ 12854 016e 1B                 .uleb128 0x1b
+ 12855 016f 26                 .uleb128 0x26
+ 12856 0170 00                 .byte   0x0
+ 12857 0171 49                 .uleb128 0x49
+ 12858 0172 13                 .uleb128 0x13
+ 12859 0173 00                 .byte   0x0
+ 12860 0174 00                 .byte   0x0
+ 12861 0175 1C                 .uleb128 0x1c
+ 12862 0176 2E                 .uleb128 0x2e
+ 12863 0177 01                 .byte   0x1
+ 12864 0178 3F                 .uleb128 0x3f
+ 12865 0179 0C                 .uleb128 0xc
+ 12866 017a 03                 .uleb128 0x3
+ 12867 017b 0E                 .uleb128 0xe
+ 12868 017c 3A                 .uleb128 0x3a
+ 12869 017d 0B                 .uleb128 0xb
+ 12870 017e 3B                 .uleb128 0x3b
+ 12871 017f 05                 .uleb128 0x5
+ 12872 0180 20                 .uleb128 0x20
+ 12873 0181 0B                 .uleb128 0xb
+ 12874 0182 01                 .uleb128 0x1
+ 12875 0183 13                 .uleb128 0x13
+ 12876 0184 00                 .byte   0x0
+ 12877 0185 00                 .byte   0x0
+ 12878 0186 1D                 .uleb128 0x1d
+ 12879 0187 2E                 .uleb128 0x2e
+ 12880 0188 00                 .byte   0x0
+ 12881 0189 3F                 .uleb128 0x3f
+ 12882 018a 0C                 .uleb128 0xc
+ 12883 018b 03                 .uleb128 0x3
+ 12884 018c 0E                 .uleb128 0xe
+ 12885 018d 3A                 .uleb128 0x3a
+ 12886 018e 0B                 .uleb128 0xb
+ 12887 018f 3B                 .uleb128 0x3b
+ 12888 0190 05                 .uleb128 0x5
+ 12889 0191 20                 .uleb128 0x20
+ 12890 0192 0B                 .uleb128 0xb
+ 12891 0193 00                 .byte   0x0
+ 12892 0194 00                 .byte   0x0
+ 12893 0195 1E                 .uleb128 0x1e
+ 12894 0196 2E                 .uleb128 0x2e
+ 12895 0197 00                 .byte   0x0
+ 12896 0198 3F                 .uleb128 0x3f
+ 12897 0199 0C                 .uleb128 0xc
+ 12898 019a 03                 .uleb128 0x3
+ 12899 019b 0E                 .uleb128 0xe
+ 12900 019c 3A                 .uleb128 0x3a
+ 12901 019d 0B                 .uleb128 0xb
+ 12902 019e 3B                 .uleb128 0x3b
+ 12903 019f 05                 .uleb128 0x5
+ 12904 01a0 27                 .uleb128 0x27
+ 12905 01a1 0C                 .uleb128 0xc
+ 12906 01a2 11                 .uleb128 0x11
+ 12907 01a3 01                 .uleb128 0x1
+ 12908 01a4 12                 .uleb128 0x12
+ 12909 01a5 01                 .uleb128 0x1
+ 12910 01a6 40                 .uleb128 0x40
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 229
+
+
+ 12911 01a7 0A                 .uleb128 0xa
+ 12912 01a8 00                 .byte   0x0
+ 12913 01a9 00                 .byte   0x0
+ 12914 01aa 1F                 .uleb128 0x1f
+ 12915 01ab 2E                 .uleb128 0x2e
+ 12916 01ac 00                 .byte   0x0
+ 12917 01ad 3F                 .uleb128 0x3f
+ 12918 01ae 0C                 .uleb128 0xc
+ 12919 01af 03                 .uleb128 0x3
+ 12920 01b0 0E                 .uleb128 0xe
+ 12921 01b1 3A                 .uleb128 0x3a
+ 12922 01b2 0B                 .uleb128 0xb
+ 12923 01b3 3B                 .uleb128 0x3b
+ 12924 01b4 05                 .uleb128 0x5
+ 12925 01b5 27                 .uleb128 0x27
+ 12926 01b6 0C                 .uleb128 0xc
+ 12927 01b7 11                 .uleb128 0x11
+ 12928 01b8 01                 .uleb128 0x1
+ 12929 01b9 12                 .uleb128 0x12
+ 12930 01ba 01                 .uleb128 0x1
+ 12931 01bb 40                 .uleb128 0x40
+ 12932 01bc 06                 .uleb128 0x6
+ 12933 01bd 00                 .byte   0x0
+ 12934 01be 00                 .byte   0x0
+ 12935 01bf 20                 .uleb128 0x20
+ 12936 01c0 2E                 .uleb128 0x2e
+ 12937 01c1 01                 .byte   0x1
+ 12938 01c2 31                 .uleb128 0x31
+ 12939 01c3 13                 .uleb128 0x13
+ 12940 01c4 11                 .uleb128 0x11
+ 12941 01c5 01                 .uleb128 0x1
+ 12942 01c6 12                 .uleb128 0x12
+ 12943 01c7 01                 .uleb128 0x1
+ 12944 01c8 40                 .uleb128 0x40
+ 12945 01c9 0A                 .uleb128 0xa
+ 12946 01ca 01                 .uleb128 0x1
+ 12947 01cb 13                 .uleb128 0x13
+ 12948 01cc 00                 .byte   0x0
+ 12949 01cd 00                 .byte   0x0
+ 12950 01ce 21                 .uleb128 0x21
+ 12951 01cf 05                 .uleb128 0x5
+ 12952 01d0 00                 .byte   0x0
+ 12953 01d1 31                 .uleb128 0x31
+ 12954 01d2 13                 .uleb128 0x13
+ 12955 01d3 02                 .uleb128 0x2
+ 12956 01d4 06                 .uleb128 0x6
+ 12957 01d5 00                 .byte   0x0
+ 12958 01d6 00                 .byte   0x0
+ 12959 01d7 22                 .uleb128 0x22
+ 12960 01d8 34                 .uleb128 0x34
+ 12961 01d9 00                 .byte   0x0
+ 12962 01da 31                 .uleb128 0x31
+ 12963 01db 13                 .uleb128 0x13
+ 12964 01dc 00                 .byte   0x0
+ 12965 01dd 00                 .byte   0x0
+ 12966 01de 23                 .uleb128 0x23
+ 12967 01df 2E                 .uleb128 0x2e
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 230
+
+
+ 12968 01e0 01                 .byte   0x1
+ 12969 01e1 3F                 .uleb128 0x3f
+ 12970 01e2 0C                 .uleb128 0xc
+ 12971 01e3 03                 .uleb128 0x3
+ 12972 01e4 0E                 .uleb128 0xe
+ 12973 01e5 3A                 .uleb128 0x3a
+ 12974 01e6 0B                 .uleb128 0xb
+ 12975 01e7 3B                 .uleb128 0x3b
+ 12976 01e8 05                 .uleb128 0x5
+ 12977 01e9 27                 .uleb128 0x27
+ 12978 01ea 0C                 .uleb128 0xc
+ 12979 01eb 11                 .uleb128 0x11
+ 12980 01ec 01                 .uleb128 0x1
+ 12981 01ed 12                 .uleb128 0x12
+ 12982 01ee 01                 .uleb128 0x1
+ 12983 01ef 40                 .uleb128 0x40
+ 12984 01f0 0A                 .uleb128 0xa
+ 12985 01f1 01                 .uleb128 0x1
+ 12986 01f2 13                 .uleb128 0x13
+ 12987 01f3 00                 .byte   0x0
+ 12988 01f4 00                 .byte   0x0
+ 12989 01f5 24                 .uleb128 0x24
+ 12990 01f6 05                 .uleb128 0x5
+ 12991 01f7 00                 .byte   0x0
+ 12992 01f8 03                 .uleb128 0x3
+ 12993 01f9 08                 .uleb128 0x8
+ 12994 01fa 3A                 .uleb128 0x3a
+ 12995 01fb 0B                 .uleb128 0xb
+ 12996 01fc 3B                 .uleb128 0x3b
+ 12997 01fd 05                 .uleb128 0x5
+ 12998 01fe 49                 .uleb128 0x49
+ 12999 01ff 13                 .uleb128 0x13
+ 13000 0200 02                 .uleb128 0x2
+ 13001 0201 0A                 .uleb128 0xa
+ 13002 0202 00                 .byte   0x0
+ 13003 0203 00                 .byte   0x0
+ 13004 0204 25                 .uleb128 0x25
+ 13005 0205 05                 .uleb128 0x5
+ 13006 0206 00                 .byte   0x0
+ 13007 0207 03                 .uleb128 0x3
+ 13008 0208 08                 .uleb128 0x8
+ 13009 0209 3A                 .uleb128 0x3a
+ 13010 020a 0B                 .uleb128 0xb
+ 13011 020b 3B                 .uleb128 0x3b
+ 13012 020c 05                 .uleb128 0x5
+ 13013 020d 49                 .uleb128 0x49
+ 13014 020e 13                 .uleb128 0x13
+ 13015 020f 02                 .uleb128 0x2
+ 13016 0210 06                 .uleb128 0x6
+ 13017 0211 00                 .byte   0x0
+ 13018 0212 00                 .byte   0x0
+ 13019 0213 26                 .uleb128 0x26
+ 13020 0214 2E                 .uleb128 0x2e
+ 13021 0215 00                 .byte   0x0
+ 13022 0216 3F                 .uleb128 0x3f
+ 13023 0217 0C                 .uleb128 0xc
+ 13024 0218 03                 .uleb128 0x3
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 231
+
+
+ 13025 0219 0E                 .uleb128 0xe
+ 13026 021a 3A                 .uleb128 0x3a
+ 13027 021b 0B                 .uleb128 0xb
+ 13028 021c 3B                 .uleb128 0x3b
+ 13029 021d 05                 .uleb128 0x5
+ 13030 021e 11                 .uleb128 0x11
+ 13031 021f 01                 .uleb128 0x1
+ 13032 0220 12                 .uleb128 0x12
+ 13033 0221 01                 .uleb128 0x1
+ 13034 0222 40                 .uleb128 0x40
+ 13035 0223 06                 .uleb128 0x6
+ 13036 0224 00                 .byte   0x0
+ 13037 0225 00                 .byte   0x0
+ 13038 0226 27                 .uleb128 0x27
+ 13039 0227 2E                 .uleb128 0x2e
+ 13040 0228 01                 .byte   0x1
+ 13041 0229 3F                 .uleb128 0x3f
+ 13042 022a 0C                 .uleb128 0xc
+ 13043 022b 03                 .uleb128 0x3
+ 13044 022c 0E                 .uleb128 0xe
+ 13045 022d 3A                 .uleb128 0x3a
+ 13046 022e 0B                 .uleb128 0xb
+ 13047 022f 3B                 .uleb128 0x3b
+ 13048 0230 05                 .uleb128 0x5
+ 13049 0231 11                 .uleb128 0x11
+ 13050 0232 01                 .uleb128 0x1
+ 13051 0233 12                 .uleb128 0x12
+ 13052 0234 01                 .uleb128 0x1
+ 13053 0235 40                 .uleb128 0x40
+ 13054 0236 06                 .uleb128 0x6
+ 13055 0237 01                 .uleb128 0x1
+ 13056 0238 13                 .uleb128 0x13
+ 13057 0239 00                 .byte   0x0
+ 13058 023a 00                 .byte   0x0
+ 13059 023b 28                 .uleb128 0x28
+ 13060 023c 34                 .uleb128 0x34
+ 13061 023d 00                 .byte   0x0
+ 13062 023e 03                 .uleb128 0x3
+ 13063 023f 08                 .uleb128 0x8
+ 13064 0240 3A                 .uleb128 0x3a
+ 13065 0241 0B                 .uleb128 0xb
+ 13066 0242 3B                 .uleb128 0x3b
+ 13067 0243 05                 .uleb128 0x5
+ 13068 0244 49                 .uleb128 0x49
+ 13069 0245 13                 .uleb128 0x13
+ 13070 0246 02                 .uleb128 0x2
+ 13071 0247 06                 .uleb128 0x6
+ 13072 0248 00                 .byte   0x0
+ 13073 0249 00                 .byte   0x0
+ 13074 024a 29                 .uleb128 0x29
+ 13075 024b 34                 .uleb128 0x34
+ 13076 024c 00                 .byte   0x0
+ 13077 024d 03                 .uleb128 0x3
+ 13078 024e 08                 .uleb128 0x8
+ 13079 024f 3A                 .uleb128 0x3a
+ 13080 0250 0B                 .uleb128 0xb
+ 13081 0251 3B                 .uleb128 0x3b
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 232
+
+
+ 13082 0252 05                 .uleb128 0x5
+ 13083 0253 49                 .uleb128 0x49
+ 13084 0254 13                 .uleb128 0x13
+ 13085 0255 02                 .uleb128 0x2
+ 13086 0256 0A                 .uleb128 0xa
+ 13087 0257 00                 .byte   0x0
+ 13088 0258 00                 .byte   0x0
+ 13089 0259 2A                 .uleb128 0x2a
+ 13090 025a 2E                 .uleb128 0x2e
+ 13091 025b 00                 .byte   0x0
+ 13092 025c 31                 .uleb128 0x31
+ 13093 025d 13                 .uleb128 0x13
+ 13094 025e 11                 .uleb128 0x11
+ 13095 025f 01                 .uleb128 0x1
+ 13096 0260 12                 .uleb128 0x12
+ 13097 0261 01                 .uleb128 0x1
+ 13098 0262 40                 .uleb128 0x40
+ 13099 0263 0A                 .uleb128 0xa
+ 13100 0264 00                 .byte   0x0
+ 13101 0265 00                 .byte   0x0
+ 13102 0266 2B                 .uleb128 0x2b
+ 13103 0267 34                 .uleb128 0x34
+ 13104 0268 00                 .byte   0x0
+ 13105 0269 31                 .uleb128 0x31
+ 13106 026a 13                 .uleb128 0x13
+ 13107 026b 02                 .uleb128 0x2
+ 13108 026c 06                 .uleb128 0x6
+ 13109 026d 00                 .byte   0x0
+ 13110 026e 00                 .byte   0x0
+ 13111 026f 2C                 .uleb128 0x2c
+ 13112 0270 1D                 .uleb128 0x1d
+ 13113 0271 01                 .byte   0x1
+ 13114 0272 31                 .uleb128 0x31
+ 13115 0273 13                 .uleb128 0x13
+ 13116 0274 11                 .uleb128 0x11
+ 13117 0275 01                 .uleb128 0x1
+ 13118 0276 12                 .uleb128 0x12
+ 13119 0277 01                 .uleb128 0x1
+ 13120 0278 58                 .uleb128 0x58
+ 13121 0279 0B                 .uleb128 0xb
+ 13122 027a 59                 .uleb128 0x59
+ 13123 027b 05                 .uleb128 0x5
+ 13124 027c 01                 .uleb128 0x1
+ 13125 027d 13                 .uleb128 0x13
+ 13126 027e 00                 .byte   0x0
+ 13127 027f 00                 .byte   0x0
+ 13128 0280 2D                 .uleb128 0x2d
+ 13129 0281 05                 .uleb128 0x5
+ 13130 0282 00                 .byte   0x0
+ 13131 0283 31                 .uleb128 0x31
+ 13132 0284 13                 .uleb128 0x13
+ 13133 0285 00                 .byte   0x0
+ 13134 0286 00                 .byte   0x0
+ 13135 0287 2E                 .uleb128 0x2e
+ 13136 0288 0B                 .uleb128 0xb
+ 13137 0289 01                 .byte   0x1
+ 13138 028a 11                 .uleb128 0x11
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 233
+
+
+ 13139 028b 01                 .uleb128 0x1
+ 13140 028c 12                 .uleb128 0x12
+ 13141 028d 01                 .uleb128 0x1
+ 13142 028e 00                 .byte   0x0
+ 13143 028f 00                 .byte   0x0
+ 13144 0290 2F                 .uleb128 0x2f
+ 13145 0291 1D                 .uleb128 0x1d
+ 13146 0292 01                 .byte   0x1
+ 13147 0293 31                 .uleb128 0x31
+ 13148 0294 13                 .uleb128 0x13
+ 13149 0295 11                 .uleb128 0x11
+ 13150 0296 01                 .uleb128 0x1
+ 13151 0297 12                 .uleb128 0x12
+ 13152 0298 01                 .uleb128 0x1
+ 13153 0299 58                 .uleb128 0x58
+ 13154 029a 0B                 .uleb128 0xb
+ 13155 029b 59                 .uleb128 0x59
+ 13156 029c 05                 .uleb128 0x5
+ 13157 029d 00                 .byte   0x0
+ 13158 029e 00                 .byte   0x0
+ 13159 029f 30                 .uleb128 0x30
+ 13160 02a0 1D                 .uleb128 0x1d
+ 13161 02a1 01                 .byte   0x1
+ 13162 02a2 31                 .uleb128 0x31
+ 13163 02a3 13                 .uleb128 0x13
+ 13164 02a4 52                 .uleb128 0x52
+ 13165 02a5 01                 .uleb128 0x1
+ 13166 02a6 55                 .uleb128 0x55
+ 13167 02a7 06                 .uleb128 0x6
+ 13168 02a8 58                 .uleb128 0x58
+ 13169 02a9 0B                 .uleb128 0xb
+ 13170 02aa 59                 .uleb128 0x59
+ 13171 02ab 05                 .uleb128 0x5
+ 13172 02ac 01                 .uleb128 0x1
+ 13173 02ad 13                 .uleb128 0x13
+ 13174 02ae 00                 .byte   0x0
+ 13175 02af 00                 .byte   0x0
+ 13176 02b0 31                 .uleb128 0x31
+ 13177 02b1 0B                 .uleb128 0xb
+ 13178 02b2 01                 .byte   0x1
+ 13179 02b3 55                 .uleb128 0x55
+ 13180 02b4 06                 .uleb128 0x6
+ 13181 02b5 00                 .byte   0x0
+ 13182 02b6 00                 .byte   0x0
+ 13183 02b7 32                 .uleb128 0x32
+ 13184 02b8 2E                 .uleb128 0x2e
+ 13185 02b9 01                 .byte   0x1
+ 13186 02ba 31                 .uleb128 0x31
+ 13187 02bb 13                 .uleb128 0x13
+ 13188 02bc 11                 .uleb128 0x11
+ 13189 02bd 01                 .uleb128 0x1
+ 13190 02be 12                 .uleb128 0x12
+ 13191 02bf 01                 .uleb128 0x1
+ 13192 02c0 40                 .uleb128 0x40
+ 13193 02c1 06                 .uleb128 0x6
+ 13194 02c2 01                 .uleb128 0x1
+ 13195 02c3 13                 .uleb128 0x13
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 234
+
+
+ 13196 02c4 00                 .byte   0x0
+ 13197 02c5 00                 .byte   0x0
+ 13198 02c6 33                 .uleb128 0x33
+ 13199 02c7 05                 .uleb128 0x5
+ 13200 02c8 00                 .byte   0x0
+ 13201 02c9 31                 .uleb128 0x31
+ 13202 02ca 13                 .uleb128 0x13
+ 13203 02cb 02                 .uleb128 0x2
+ 13204 02cc 0A                 .uleb128 0xa
+ 13205 02cd 00                 .byte   0x0
+ 13206 02ce 00                 .byte   0x0
+ 13207 02cf 34                 .uleb128 0x34
+ 13208 02d0 2E                 .uleb128 0x2e
+ 13209 02d1 01                 .byte   0x1
+ 13210 02d2 3F                 .uleb128 0x3f
+ 13211 02d3 0C                 .uleb128 0xc
+ 13212 02d4 03                 .uleb128 0x3
+ 13213 02d5 08                 .uleb128 0x8
+ 13214 02d6 3A                 .uleb128 0x3a
+ 13215 02d7 0B                 .uleb128 0xb
+ 13216 02d8 3B                 .uleb128 0x3b
+ 13217 02d9 05                 .uleb128 0x5
+ 13218 02da 27                 .uleb128 0x27
+ 13219 02db 0C                 .uleb128 0xc
+ 13220 02dc 49                 .uleb128 0x49
+ 13221 02dd 13                 .uleb128 0x13
+ 13222 02de 11                 .uleb128 0x11
+ 13223 02df 01                 .uleb128 0x1
+ 13224 02e0 12                 .uleb128 0x12
+ 13225 02e1 01                 .uleb128 0x1
+ 13226 02e2 40                 .uleb128 0x40
+ 13227 02e3 06                 .uleb128 0x6
+ 13228 02e4 01                 .uleb128 0x1
+ 13229 02e5 13                 .uleb128 0x13
+ 13230 02e6 00                 .byte   0x0
+ 13231 02e7 00                 .byte   0x0
+ 13232 02e8 35                 .uleb128 0x35
+ 13233 02e9 34                 .uleb128 0x34
+ 13234 02ea 00                 .byte   0x0
+ 13235 02eb 31                 .uleb128 0x31
+ 13236 02ec 13                 .uleb128 0x13
+ 13237 02ed 02                 .uleb128 0x2
+ 13238 02ee 0A                 .uleb128 0xa
+ 13239 02ef 00                 .byte   0x0
+ 13240 02f0 00                 .byte   0x0
+ 13241 02f1 36                 .uleb128 0x36
+ 13242 02f2 2E                 .uleb128 0x2e
+ 13243 02f3 01                 .byte   0x1
+ 13244 02f4 3F                 .uleb128 0x3f
+ 13245 02f5 0C                 .uleb128 0xc
+ 13246 02f6 03                 .uleb128 0x3
+ 13247 02f7 0E                 .uleb128 0xe
+ 13248 02f8 3A                 .uleb128 0x3a
+ 13249 02f9 0B                 .uleb128 0xb
+ 13250 02fa 3B                 .uleb128 0x3b
+ 13251 02fb 05                 .uleb128 0x5
+ 13252 02fc 27                 .uleb128 0x27
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 235
+
+
+ 13253 02fd 0C                 .uleb128 0xc
+ 13254 02fe 49                 .uleb128 0x49
+ 13255 02ff 13                 .uleb128 0x13
+ 13256 0300 11                 .uleb128 0x11
+ 13257 0301 01                 .uleb128 0x1
+ 13258 0302 12                 .uleb128 0x12
+ 13259 0303 01                 .uleb128 0x1
+ 13260 0304 40                 .uleb128 0x40
+ 13261 0305 06                 .uleb128 0x6
+ 13262 0306 01                 .uleb128 0x1
+ 13263 0307 13                 .uleb128 0x13
+ 13264 0308 00                 .byte   0x0
+ 13265 0309 00                 .byte   0x0
+ 13266 030a 37                 .uleb128 0x37
+ 13267 030b 34                 .uleb128 0x34
+ 13268 030c 00                 .byte   0x0
+ 13269 030d 03                 .uleb128 0x3
+ 13270 030e 0E                 .uleb128 0xe
+ 13271 030f 3A                 .uleb128 0x3a
+ 13272 0310 0B                 .uleb128 0xb
+ 13273 0311 3B                 .uleb128 0x3b
+ 13274 0312 05                 .uleb128 0x5
+ 13275 0313 49                 .uleb128 0x49
+ 13276 0314 13                 .uleb128 0x13
+ 13277 0315 02                 .uleb128 0x2
+ 13278 0316 06                 .uleb128 0x6
+ 13279 0317 00                 .byte   0x0
+ 13280 0318 00                 .byte   0x0
+ 13281 0319 38                 .uleb128 0x38
+ 13282 031a 2E                 .uleb128 0x2e
+ 13283 031b 01                 .byte   0x1
+ 13284 031c 3F                 .uleb128 0x3f
+ 13285 031d 0C                 .uleb128 0xc
+ 13286 031e 03                 .uleb128 0x3
+ 13287 031f 0E                 .uleb128 0xe
+ 13288 0320 3A                 .uleb128 0x3a
+ 13289 0321 0B                 .uleb128 0xb
+ 13290 0322 3B                 .uleb128 0x3b
+ 13291 0323 05                 .uleb128 0x5
+ 13292 0324 27                 .uleb128 0x27
+ 13293 0325 0C                 .uleb128 0xc
+ 13294 0326 11                 .uleb128 0x11
+ 13295 0327 01                 .uleb128 0x1
+ 13296 0328 12                 .uleb128 0x12
+ 13297 0329 01                 .uleb128 0x1
+ 13298 032a 40                 .uleb128 0x40
+ 13299 032b 06                 .uleb128 0x6
+ 13300 032c 01                 .uleb128 0x1
+ 13301 032d 13                 .uleb128 0x13
+ 13302 032e 00                 .byte   0x0
+ 13303 032f 00                 .byte   0x0
+ 13304 0330 39                 .uleb128 0x39
+ 13305 0331 2E                 .uleb128 0x2e
+ 13306 0332 01                 .byte   0x1
+ 13307 0333 3F                 .uleb128 0x3f
+ 13308 0334 0C                 .uleb128 0xc
+ 13309 0335 03                 .uleb128 0x3
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 236
+
+
+ 13310 0336 0E                 .uleb128 0xe
+ 13311 0337 3A                 .uleb128 0x3a
+ 13312 0338 0B                 .uleb128 0xb
+ 13313 0339 3B                 .uleb128 0x3b
+ 13314 033a 05                 .uleb128 0x5
+ 13315 033b 11                 .uleb128 0x11
+ 13316 033c 01                 .uleb128 0x1
+ 13317 033d 12                 .uleb128 0x12
+ 13318 033e 01                 .uleb128 0x1
+ 13319 033f 40                 .uleb128 0x40
+ 13320 0340 0A                 .uleb128 0xa
+ 13321 0341 01                 .uleb128 0x1
+ 13322 0342 13                 .uleb128 0x13
+ 13323 0343 00                 .byte   0x0
+ 13324 0344 00                 .byte   0x0
+ 13325 0345 3A                 .uleb128 0x3a
+ 13326 0346 2E                 .uleb128 0x2e
+ 13327 0347 01                 .byte   0x1
+ 13328 0348 3F                 .uleb128 0x3f
+ 13329 0349 0C                 .uleb128 0xc
+ 13330 034a 03                 .uleb128 0x3
+ 13331 034b 0E                 .uleb128 0xe
+ 13332 034c 3A                 .uleb128 0x3a
+ 13333 034d 0B                 .uleb128 0xb
+ 13334 034e 3B                 .uleb128 0x3b
+ 13335 034f 05                 .uleb128 0x5
+ 13336 0350 27                 .uleb128 0x27
+ 13337 0351 0C                 .uleb128 0xc
+ 13338 0352 49                 .uleb128 0x49
+ 13339 0353 13                 .uleb128 0x13
+ 13340 0354 11                 .uleb128 0x11
+ 13341 0355 01                 .uleb128 0x1
+ 13342 0356 12                 .uleb128 0x12
+ 13343 0357 01                 .uleb128 0x1
+ 13344 0358 40                 .uleb128 0x40
+ 13345 0359 0A                 .uleb128 0xa
+ 13346 035a 01                 .uleb128 0x1
+ 13347 035b 13                 .uleb128 0x13
+ 13348 035c 00                 .byte   0x0
+ 13349 035d 00                 .byte   0x0
+ 13350 035e 3B                 .uleb128 0x3b
+ 13351 035f 1D                 .uleb128 0x1d
+ 13352 0360 00                 .byte   0x0
+ 13353 0361 31                 .uleb128 0x31
+ 13354 0362 13                 .uleb128 0x13
+ 13355 0363 11                 .uleb128 0x11
+ 13356 0364 01                 .uleb128 0x1
+ 13357 0365 12                 .uleb128 0x12
+ 13358 0366 01                 .uleb128 0x1
+ 13359 0367 58                 .uleb128 0x58
+ 13360 0368 0B                 .uleb128 0xb
+ 13361 0369 59                 .uleb128 0x59
+ 13362 036a 05                 .uleb128 0x5
+ 13363 036b 00                 .byte   0x0
+ 13364 036c 00                 .byte   0x0
+ 13365 036d 3C                 .uleb128 0x3c
+ 13366 036e 34                 .uleb128 0x34
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 237
+
+
+ 13367 036f 00                 .byte   0x0
+ 13368 0370 03                 .uleb128 0x3
+ 13369 0371 0E                 .uleb128 0xe
+ 13370 0372 3A                 .uleb128 0x3a
+ 13371 0373 0B                 .uleb128 0xb
+ 13372 0374 3B                 .uleb128 0x3b
+ 13373 0375 0B                 .uleb128 0xb
+ 13374 0376 8740               .uleb128 0x2007
+ 13375 0378 0E                 .uleb128 0xe
+ 13376 0379 49                 .uleb128 0x49
+ 13377 037a 13                 .uleb128 0x13
+ 13378 037b 3F                 .uleb128 0x3f
+ 13379 037c 0C                 .uleb128 0xc
+ 13380 037d 02                 .uleb128 0x2
+ 13381 037e 0A                 .uleb128 0xa
+ 13382 037f 00                 .byte   0x0
+ 13383 0380 00                 .byte   0x0
+ 13384 0381 3D                 .uleb128 0x3d
+ 13385 0382 34                 .uleb128 0x34
+ 13386 0383 00                 .byte   0x0
+ 13387 0384 03                 .uleb128 0x3
+ 13388 0385 0E                 .uleb128 0xe
+ 13389 0386 3A                 .uleb128 0x3a
+ 13390 0387 0B                 .uleb128 0xb
+ 13391 0388 3B                 .uleb128 0x3b
+ 13392 0389 05                 .uleb128 0x5
+ 13393 038a 8740               .uleb128 0x2007
+ 13394 038c 0E                 .uleb128 0xe
+ 13395 038d 49                 .uleb128 0x49
+ 13396 038e 13                 .uleb128 0x13
+ 13397 038f 3F                 .uleb128 0x3f
+ 13398 0390 0C                 .uleb128 0xc
+ 13399 0391 02                 .uleb128 0x2
+ 13400 0392 0A                 .uleb128 0xa
+ 13401 0393 00                 .byte   0x0
+ 13402 0394 00                 .byte   0x0
+ 13403 0395 3E                 .uleb128 0x3e
+ 13404 0396 34                 .uleb128 0x34
+ 13405 0397 00                 .byte   0x0
+ 13406 0398 03                 .uleb128 0x3
+ 13407 0399 08                 .uleb128 0x8
+ 13408 039a 3A                 .uleb128 0x3a
+ 13409 039b 0B                 .uleb128 0xb
+ 13410 039c 3B                 .uleb128 0x3b
+ 13411 039d 0B                 .uleb128 0xb
+ 13412 039e 8740               .uleb128 0x2007
+ 13413 03a0 0E                 .uleb128 0xe
+ 13414 03a1 49                 .uleb128 0x49
+ 13415 03a2 13                 .uleb128 0x13
+ 13416 03a3 3F                 .uleb128 0x3f
+ 13417 03a4 0C                 .uleb128 0xc
+ 13418 03a5 02                 .uleb128 0x2
+ 13419 03a6 0A                 .uleb128 0xa
+ 13420 03a7 00                 .byte   0x0
+ 13421 03a8 00                 .byte   0x0
+ 13422 03a9 3F                 .uleb128 0x3f
+ 13423 03aa 21                 .uleb128 0x21
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 238
+
+
+ 13424 03ab 00                 .byte   0x0
+ 13425 03ac 49                 .uleb128 0x49
+ 13426 03ad 13                 .uleb128 0x13
+ 13427 03ae 2F                 .uleb128 0x2f
+ 13428 03af 05                 .uleb128 0x5
+ 13429 03b0 00                 .byte   0x0
+ 13430 03b1 00                 .byte   0x0
+ 13431 03b2 40                 .uleb128 0x40
+ 13432 03b3 34                 .uleb128 0x34
+ 13433 03b4 00                 .byte   0x0
+ 13434 03b5 03                 .uleb128 0x3
+ 13435 03b6 0E                 .uleb128 0xe
+ 13436 03b7 3A                 .uleb128 0x3a
+ 13437 03b8 0B                 .uleb128 0xb
+ 13438 03b9 3B                 .uleb128 0x3b
+ 13439 03ba 0B                 .uleb128 0xb
+ 13440 03bb 49                 .uleb128 0x49
+ 13441 03bc 13                 .uleb128 0x13
+ 13442 03bd 3F                 .uleb128 0x3f
+ 13443 03be 0C                 .uleb128 0xc
+ 13444 03bf 02                 .uleb128 0x2
+ 13445 03c0 0A                 .uleb128 0xa
+ 13446 03c1 00                 .byte   0x0
+ 13447 03c2 00                 .byte   0x0
+ 13448 03c3 41                 .uleb128 0x41
+ 13449 03c4 34                 .uleb128 0x34
+ 13450 03c5 00                 .byte   0x0
+ 13451 03c6 03                 .uleb128 0x3
+ 13452 03c7 0E                 .uleb128 0xe
+ 13453 03c8 3A                 .uleb128 0x3a
+ 13454 03c9 0B                 .uleb128 0xb
+ 13455 03ca 3B                 .uleb128 0x3b
+ 13456 03cb 05                 .uleb128 0x5
+ 13457 03cc 49                 .uleb128 0x49
+ 13458 03cd 13                 .uleb128 0x13
+ 13459 03ce 3F                 .uleb128 0x3f
+ 13460 03cf 0C                 .uleb128 0xc
+ 13461 03d0 02                 .uleb128 0x2
+ 13462 03d1 0A                 .uleb128 0xa
+ 13463 03d2 00                 .byte   0x0
+ 13464 03d3 00                 .byte   0x0
+ 13465 03d4 42                 .uleb128 0x42
+ 13466 03d5 0F                 .uleb128 0xf
+ 13467 03d6 00                 .byte   0x0
+ 13468 03d7 0B                 .uleb128 0xb
+ 13469 03d8 0B                 .uleb128 0xb
+ 13470 03d9 00                 .byte   0x0
+ 13471 03da 00                 .byte   0x0
+ 13472 03db 00                 .byte   0x0
+ 13473                         .section        .debug_pubnames,"",@progbits
+ 13474 0000 F308 0000          .4byte  0x8f3
+ 13475 0004 0200               .2byte  0x2
+ 13476 0006 0000 0000          .4byte  .Ldebug_info0
+ 13477 000a CC24 0000          .4byte  0x24cc
+ 13478 000e 5D05 0000          .4byte  0x55d
+ 13479 0012 5F72 6573          .string "_reset_vector__"
+ 13479      6574 5F76 
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 239
+
+
+ 13479      6563 746F 
+ 13479      725F 5F00 
+ 13480 0022 6E05 0000          .4byte  0x56e
+ 13481 0026 6A75 6E6B          .string "junkInterrupt"
+ 13481      496E 7465 
+ 13481      7272 7570 
+ 13481      7400 
+ 13482 0034 7F05 0000          .4byte  0x57f
+ 13483 0038 6164 6349          .string "adcInterrupt"
+ 13483      6E74 6572 
+ 13483      7275 7074 
+ 13483      00
+ 13484 0045 9105 0000          .4byte  0x591
+ 13485 0049 7469 6D65          .string "timerInterrupt"
+ 13485      7249 6E74 
+ 13485      6572 7275 
+ 13485      7074 00
+ 13486 0058 A305 0000          .4byte  0x5a3
+ 13487 005c 656D 6974          .string "emit"
+ 13487      00
+ 13488 0061 C205 0000          .4byte  0x5c2
+ 13489 0065 6765 744B          .string "getKey"
+ 13489      6579 00
+ 13490 006c D805 0000          .4byte  0x5d8
+ 13491 0070 7365 6E64          .string "sendToFEC"
+ 13491      546F 4645 
+ 13491      4300 
+ 13492 007a 0A06 0000          .4byte  0x60a
+ 13493 007e 7365 6E64          .string "sendToDAC"
+ 13493      546F 4441 
+ 13493      4300 
+ 13494 0088 4206 0000          .4byte  0x642
+ 13495 008c 7365 7444          .string "setDAC"
+ 13495      4143 00
+ 13496 0093 8806 0000          .4byte  0x688
+ 13497 0097 7365 7475          .string "setupDACs"
+ 13497      7044 4143 
+ 13497      7300 
+ 13498 00a1 9906 0000          .4byte  0x699
+ 13499 00a5 7365 7441          .string "setAllDACs"
+ 13499      6C6C 4441 
+ 13499      4373 00
+ 13500 00b0 BD06 0000          .4byte  0x6bd
+ 13501 00b4 7365 7475          .string "setupADC"
+ 13501      7041 4443 
+ 13501      00
+ 13502 00bd F006 0000          .4byte  0x6f0
+ 13503 00c1 696E 6974          .string "initVars"
+ 13503      5661 7273 
+ 13503      00
+ 13504 00ca FC06 0000          .4byte  0x6fc
+ 13505 00ce 6765 744B          .string "getKeyB"
+ 13505      6579 4200 
+ 13506 00d6 1607 0000          .4byte  0x716
+ 13507 00da 6765 744C          .string "getLine"
+ 13507      696E 6500 
+ 13508 00e2 5E08 0000          .4byte  0x85e
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 240
+
+
+ 13509 00e6 6765 7457          .string "getWord"
+ 13509      6F72 6400 
+ 13510 00ee 1A09 0000          .4byte  0x91a
+ 13511 00f2 7072 696E          .string "printString"
+ 13511      7453 7472 
+ 13511      696E 6700 
+ 13512 00fe 5009 0000          .4byte  0x950
+ 13513 0102 7363 00            .string "sc"
+ 13514 0105 9809 0000          .4byte  0x998
+ 13515 0109 6C69 7374          .string "listFunction"
+ 13515      4675 6E63 
+ 13515      7469 6F6E 
+ 13515      00
+ 13516 0116 4D0A 0000          .4byte  0xa4d
+ 13517 011a 706F 704D          .string "popMathStack"
+ 13517      6174 6853 
+ 13517      7461 636B 
+ 13517      00
+ 13518 0127 6A0A 0000          .4byte  0xa6a
+ 13519 012b 7075 7368          .string "pushMathStack"
+ 13519      4D61 7468 
+ 13519      5374 6163 
+ 13519      6B00 
+ 13520 0139 870A 0000          .4byte  0xa87
+ 13521 013d 706F 7041          .string "popAddrStack"
+ 13521      6464 7253 
+ 13521      7461 636B 
+ 13521      00
+ 13522 014a 9D0A 0000          .4byte  0xa9d
+ 13523 014e 7075 7368          .string "pushAddrStack"
+ 13523      4164 6472 
+ 13523      5374 6163 
+ 13523      6B00 
+ 13524 015c B50A 0000          .4byte  0xab5
+ 13525 0160 6C6F 6F6B          .string "lookupToken"
+ 13525      7570 546F 
+ 13525      6B65 6E00 
+ 13526 016c 220B 0000          .4byte  0xb22
+ 13527 0170 6C75 4675          .string "luFunc"
+ 13527      6E63 00
+ 13528 0177 2B0C 0000          .4byte  0xc2b
+ 13529 017b 6E75 6D46          .string "numFunc"
+ 13529      756E 6300 
+ 13530 0183 A90C 0000          .4byte  0xca9
+ 13531 0187 6966 4675          .string "ifFunc"
+ 13531      6E63 00
+ 13532 018e 080D 0000          .4byte  0xd08
+ 13533 0192 7075 7368          .string "pushnFunc"
+ 13533      6E46 756E 
+ 13533      6300 
+ 13534 019c 3F0D 0000          .4byte  0xd3f
+ 13535 01a0 6F76 6572          .string "overFunc"
+ 13535      4675 6E63 
+ 13535      00
+ 13536 01a9 740D 0000          .4byte  0xd74
+ 13537 01ad 6466 6E46          .string "dfnFunc"
+ 13537      756E 6300 
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 241
+
+
+ 13538 01b5 980D 0000          .4byte  0xd98
+ 13539 01b9 7072 696E          .string "printNumber"
+ 13539      744E 756D 
+ 13539      6265 7200 
+ 13540 01c5 610E 0000          .4byte  0xe61
+ 13541 01c9 7072 696E          .string "printHexChar"
+ 13541      7448 6578 
+ 13541      4368 6172 
+ 13541      00
+ 13542 01d6 980E 0000          .4byte  0xe98
+ 13543 01da 7072 696E          .string "printHexByte"
+ 13543      7448 6578 
+ 13543      4279 7465 
+ 13543      00
+ 13544 01e7 250F 0000          .4byte  0xf25
+ 13545 01eb 7072 696E          .string "printHexWord"
+ 13545      7448 6578 
+ 13545      576F 7264 
+ 13545      00
+ 13546 01f8 4A0F 0000          .4byte  0xf4a
+ 13547 01fc 6578 6563          .string "execN"
+ 13547      4E00 
+ 13548 0202 D918 0000          .4byte  0x18d9
+ 13549 0206 6578 6563          .string "execFunc"
+ 13549      4675 6E63 
+ 13549      00
+ 13550 020f 4719 0000          .4byte  0x1947
+ 13551 0213 7072 6F63          .string "processLoop"
+ 13551      6573 734C 
+ 13551      6F6F 7000 
+ 13552 021f 7F19 0000          .4byte  0x197f
+ 13553 0223 6D61 696E          .string "main"
+ 13553      00
+ 13554 0228 CC19 0000          .4byte  0x19cc
+ 13555 022c 5F75 6E65          .string "_unexpected_"
+ 13555      7870 6563 
+ 13555      7465 645F 
+ 13555      00
+ 13556 0239 DD19 0000          .4byte  0x19dd
+ 13557 023d 4443 4F43          .string "DCOCTL"
+ 13557      544C 00
+ 13558 0244 F119 0000          .4byte  0x19f1
+ 13559 0248 4243 5343          .string "BCSCTL1"
+ 13559      544C 3100 
+ 13560 0250 051A 0000          .4byte  0x1a05
+ 13561 0254 4243 5343          .string "BCSCTL2"
+ 13561      544C 3200 
+ 13562 025c 191A 0000          .4byte  0x1a19
+ 13563 0260 4243 5343          .string "BCSCTL3"
+ 13563      544C 3300 
+ 13564 0268 2D1A 0000          .4byte  0x1a2d
+ 13565 026c 4643 544C          .string "FCTL1"
+ 13565      3100 
+ 13566 0272 411A 0000          .4byte  0x1a41
+ 13567 0276 4643 544C          .string "FCTL2"
+ 13567      3200 
+ 13568 027c 551A 0000          .4byte  0x1a55
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 242
+
+
+ 13569 0280 4643 544C          .string "FCTL3"
+ 13569      3300 
+ 13570 0286 691A 0000          .4byte  0x1a69
+ 13571 028a 4550 4354          .string "EPCTL"
+ 13571      4C00 
+ 13572 0290 7D1A 0000          .4byte  0x1a7d
+ 13573 0294 5441 3049          .string "TA0IV"
+ 13573      5600 
+ 13574 029a 911A 0000          .4byte  0x1a91
+ 13575 029e 5441 3043          .string "TA0CTL"
+ 13575      544C 00
+ 13576 02a5 A51A 0000          .4byte  0x1aa5
+ 13577 02a9 5441 3052          .string "TA0R"
+ 13577      00
+ 13578 02ae B91A 0000          .4byte  0x1ab9
+ 13579 02b2 5441 3043          .string "TA0CCTL0"
+ 13579      4354 4C30 
+ 13579      00
+ 13580 02bb CD1A 0000          .4byte  0x1acd
+ 13581 02bf 5441 3043          .string "TA0CCTL1"
+ 13581      4354 4C31 
+ 13581      00
+ 13582 02c8 E11A 0000          .4byte  0x1ae1
+ 13583 02cc 5441 3043          .string "TA0CCR0"
+ 13583      4352 3000 
+ 13584 02d4 F51A 0000          .4byte  0x1af5
+ 13585 02d8 5441 3043          .string "TA0CCR1"
+ 13585      4352 3100 
+ 13586 02e0 091B 0000          .4byte  0x1b09
+ 13587 02e4 7469 6D65          .string "timera"
+ 13587      7261 00
+ 13588 02eb 1E1B 0000          .4byte  0x1b1e
+ 13589 02ef 706F 7274          .string "port1"
+ 13589      3100 
+ 13590 02f5 321B 0000          .4byte  0x1b32
+ 13591 02f9 706F 7274          .string "port2"
+ 13591      3200 
+ 13592 02ff 461B 0000          .4byte  0x1b46
+ 13593 0303 5031 494E          .string "P1IN"
+ 13593      00
+ 13594 0308 5A1B 0000          .4byte  0x1b5a
+ 13595 030c 5031 4F55          .string "P1OUT"
+ 13595      5400 
+ 13596 0312 6E1B 0000          .4byte  0x1b6e
+ 13597 0316 5031 4449          .string "P1DIR"
+ 13597      5200 
+ 13598 031c 821B 0000          .4byte  0x1b82
+ 13599 0320 5031 4946          .string "P1IFG"
+ 13599      4700 
+ 13600 0326 961B 0000          .4byte  0x1b96
+ 13601 032a 5031 4945          .string "P1IES"
+ 13601      5300 
+ 13602 0330 AA1B 0000          .4byte  0x1baa
+ 13603 0334 5031 4945          .string "P1IE"
+ 13603      00
+ 13604 0339 BE1B 0000          .4byte  0x1bbe
+ 13605 033d 5031 5345          .string "P1SEL"
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 243
+
+
+ 13605      4C00 
+ 13606 0343 D21B 0000          .4byte  0x1bd2
+ 13607 0347 5031 5245          .string "P1REN"
+ 13607      4E00 
+ 13608 034d E61B 0000          .4byte  0x1be6
+ 13609 0351 5032 494E          .string "P2IN"
+ 13609      00
+ 13610 0356 FA1B 0000          .4byte  0x1bfa
+ 13611 035a 5032 4F55          .string "P2OUT"
+ 13611      5400 
+ 13612 0360 0E1C 0000          .4byte  0x1c0e
+ 13613 0364 5032 4449          .string "P2DIR"
+ 13613      5200 
+ 13614 036a 221C 0000          .4byte  0x1c22
+ 13615 036e 5032 4946          .string "P2IFG"
+ 13615      4700 
+ 13616 0374 361C 0000          .4byte  0x1c36
+ 13617 0378 5032 4945          .string "P2IES"
+ 13617      5300 
+ 13618 037e 4A1C 0000          .4byte  0x1c4a
+ 13619 0382 5032 4945          .string "P2IE"
+ 13619      00
+ 13620 0387 5E1C 0000          .4byte  0x1c5e
+ 13621 038b 5032 5345          .string "P2SEL"
+ 13621      4C00 
+ 13622 0391 721C 0000          .4byte  0x1c72
+ 13623 0395 5032 5245          .string "P2REN"
+ 13623      4E00 
+ 13624 039b 861C 0000          .4byte  0x1c86
+ 13625 039f 5344 3136          .string "SD16CTL"
+ 13625      4354 4C00 
+ 13626 03a7 9A1C 0000          .4byte  0x1c9a
+ 13627 03ab 5344 3136          .string "SD16IV"
+ 13627      4956 00
+ 13628 03b2 AE1C 0000          .4byte  0x1cae
+ 13629 03b6 5344 3136          .string "SD16AE"
+ 13629      4145 00
+ 13630 03bd C21C 0000          .4byte  0x1cc2
+ 13631 03c1 5344 3136          .string "SD16INCTL0"
+ 13631      494E 4354 
+ 13631      4C30 00
+ 13632 03cc D61C 0000          .4byte  0x1cd6
+ 13633 03d0 5344 3136          .string "SD16PRE0"
+ 13633      5052 4530 
+ 13633      00
+ 13634 03d9 EA1C 0000          .4byte  0x1cea
+ 13635 03dd 5344 3136          .string "SD16CCTL0"
+ 13635      4343 544C 
+ 13635      3000 
+ 13636 03e7 FE1C 0000          .4byte  0x1cfe
+ 13637 03eb 5344 3136          .string "SD16MEM0"
+ 13637      4D45 4D30 
+ 13637      00
+ 13638 03f4 121D 0000          .4byte  0x1d12
+ 13639 03f8 5344 3136          .string "SD16INCTL1"
+ 13639      494E 4354 
+ 13639      4C31 00
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 244
+
+
+ 13640 0403 261D 0000          .4byte  0x1d26
+ 13641 0407 5344 3136          .string "SD16PRE1"
+ 13641      5052 4531 
+ 13641      00
+ 13642 0410 3A1D 0000          .4byte  0x1d3a
+ 13643 0414 5344 3136          .string "SD16CCTL1"
+ 13643      4343 544C 
+ 13643      3100 
+ 13644 041e 4E1D 0000          .4byte  0x1d4e
+ 13645 0422 5344 3136          .string "SD16MEM1"
+ 13645      4D45 4D31 
+ 13645      00
+ 13646 042b 621D 0000          .4byte  0x1d62
+ 13647 042f 5553 4943          .string "USICTL0"
+ 13647      544C 3000 
+ 13648 0437 761D 0000          .4byte  0x1d76
+ 13649 043b 5553 4943          .string "USICTL1"
+ 13649      544C 3100 
+ 13650 0443 8A1D 0000          .4byte  0x1d8a
+ 13651 0447 5553 4943          .string "USICKCTL"
+ 13651      4B43 544C 
+ 13651      00
+ 13652 0450 9E1D 0000          .4byte  0x1d9e
+ 13653 0454 5553 4943          .string "USICNT"
+ 13653      4E54 00
+ 13654 045b B21D 0000          .4byte  0x1db2
+ 13655 045f 5553 4953          .string "USISRL"
+ 13655      524C 00
+ 13656 0466 C61D 0000          .4byte  0x1dc6
+ 13657 046a 5553 4953          .string "USISRH"
+ 13657      5248 00
+ 13658 0471 DA1D 0000          .4byte  0x1dda
+ 13659 0475 5553 4943          .string "USICTL"
+ 13659      544C 00
+ 13660 047c EE1D 0000          .4byte  0x1dee
+ 13661 0480 5553 4943          .string "USICCTL"
+ 13661      4354 4C00 
+ 13662 0488 021E 0000          .4byte  0x1e02
+ 13663 048c 5553 4953          .string "USISR"
+ 13663      5200 
+ 13664 0492 161E 0000          .4byte  0x1e16
+ 13665 0496 5744 5443          .string "WDTCTL"
+ 13665      544C 00
+ 13666 049d 2A1E 0000          .4byte  0x1e2a
+ 13667 04a1 4945 3100          .string "IE1"
+ 13668 04a5 3E1E 0000          .4byte  0x1e3e
+ 13669 04a9 4946 4731          .string "IFG1"
+ 13669      00
+ 13670 04ae 521E 0000          .4byte  0x1e52
+ 13671 04b2 4341 4C44          .string "CALDCO_16MHZ"
+ 13671      434F 5F31 
+ 13671      364D 485A 
+ 13671      00
+ 13672 04bf 661E 0000          .4byte  0x1e66
+ 13673 04c3 4341 4C42          .string "CALBC1_16MHZ"
+ 13673      4331 5F31 
+ 13673      364D 485A 
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 245
+
+
+ 13673      00
+ 13674 04d0 7A1E 0000          .4byte  0x1e7a
+ 13675 04d4 4341 4C44          .string "CALDCO_12MHZ"
+ 13675      434F 5F31 
+ 13675      324D 485A 
+ 13675      00
+ 13676 04e1 8E1E 0000          .4byte  0x1e8e
+ 13677 04e5 4341 4C42          .string "CALBC1_12MHZ"
+ 13677      4331 5F31 
+ 13677      324D 485A 
+ 13677      00
+ 13678 04f2 A21E 0000          .4byte  0x1ea2
+ 13679 04f6 4341 4C44          .string "CALDCO_8MHZ"
+ 13679      434F 5F38 
+ 13679      4D48 5A00 
+ 13680 0502 B61E 0000          .4byte  0x1eb6
+ 13681 0506 4341 4C42          .string "CALBC1_8MHZ"
+ 13681      4331 5F38 
+ 13681      4D48 5A00 
+ 13682 0512 CA1E 0000          .4byte  0x1eca
+ 13683 0516 4341 4C44          .string "CALDCO_1MHZ"
+ 13683      434F 5F31 
+ 13683      4D48 5A00 
+ 13684 0522 DE1E 0000          .4byte  0x1ede
+ 13685 0526 4341 4C42          .string "CALBC1_1MHZ"
+ 13685      4331 5F31 
+ 13685      4D48 5A00 
+ 13686 0532 F21E 0000          .4byte  0x1ef2
+ 13687 0536 5041 4453          .string "PADSR"
+ 13687      5200 
+ 13688 053c 061F 0000          .4byte  0x1f06
+ 13689 0540 5041 4449          .string "PADIR"
+ 13689      5200 
+ 13690 0546 1A1F 0000          .4byte  0x1f1a
+ 13691 054a 5041 4F55          .string "PAOUT"
+ 13691      5400 
+ 13692 0550 2E1F 0000          .4byte  0x1f2e
+ 13693 0554 5041 5045          .string "PAPER"
+ 13693      5200 
+ 13694 055a 421F 0000          .4byte  0x1f42
+ 13695 055e 5042 4453          .string "PBDSR"
+ 13695      5200 
+ 13696 0564 561F 0000          .4byte  0x1f56
+ 13697 0568 5042 4449          .string "PBDIR"
+ 13697      5200 
+ 13698 056e 6A1F 0000          .4byte  0x1f6a
+ 13699 0572 5042 4F55          .string "PBOUT"
+ 13699      5400 
+ 13700 0578 7E1F 0000          .4byte  0x1f7e
+ 13701 057c 5042 4945          .string "PBIER"
+ 13701      5200 
+ 13702 0582 921F 0000          .4byte  0x1f92
+ 13703 0586 5350 495F          .string "SPI_SCR"
+ 13703      5343 5200 
+ 13704 058e A61F 0000          .4byte  0x1fa6
+ 13705 0592 5350 495F          .string "SPI_RDR"
+ 13705      5244 5200 
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 246
+
+
+ 13706 059a BA1F 0000          .4byte  0x1fba
+ 13707 059e 5350 495F          .string "SPI_TDR"
+ 13707      5444 5200 
+ 13708 05a6 CE1F 0000          .4byte  0x1fce
+ 13709 05aa 5350 495F          .string "SPI_SR"
+ 13709      5352 00
+ 13710 05b1 E21F 0000          .4byte  0x1fe2
+ 13711 05b5 544D 5230          .string "TMR0_TCR"
+ 13711      5F54 4352 
+ 13711      00
+ 13712 05be F61F 0000          .4byte  0x1ff6
+ 13713 05c2 544D 5230          .string "TMR0_SR"
+ 13713      5F53 5200 
+ 13714 05ca 0A20 0000          .4byte  0x200a
+ 13715 05ce 544D 5230          .string "TMR0_CNT"
+ 13715      5F43 4E54 
+ 13715      00
+ 13716 05d7 1E20 0000          .4byte  0x201e
+ 13717 05db 544D 5230          .string "TMR0_RA"
+ 13717      5F52 4100 
+ 13718 05e3 3220 0000          .4byte  0x2032
+ 13719 05e7 544D 5230          .string "TMR0_RB"
+ 13719      5F52 4200 
+ 13720 05ef 4620 0000          .4byte  0x2046
+ 13721 05f3 544D 5230          .string "TMR0_RC"
+ 13721      5F52 4300 
+ 13722 05fb 5A20 0000          .4byte  0x205a
+ 13723 05ff 4144 4330          .string "ADC0_CR"
+ 13723      5F43 5200 
+ 13724 0607 6E20 0000          .4byte  0x206e
+ 13725 060b 4144 4330          .string "ADC0_DR"
+ 13725      5F44 5200 
+ 13726 0613 8220 0000          .4byte  0x2082
+ 13727 0617 4144 4331          .string "ADC1_CR"
+ 13727      5F43 5200 
+ 13728 061f 9620 0000          .4byte  0x2096
+ 13729 0623 4144 4331          .string "ADC1_DR"
+ 13729      5F44 5200 
+ 13730 062b AA20 0000          .4byte  0x20aa
+ 13731 062f 4144 4332          .string "ADC2_CR"
+ 13731      5F43 5200 
+ 13732 0637 BE20 0000          .4byte  0x20be
+ 13733 063b 4144 4332          .string "ADC2_DR"
+ 13733      5F44 5200 
+ 13734 0643 D220 0000          .4byte  0x20d2
+ 13735 0647 4144 4333          .string "ADC3_CR"
+ 13735      5F43 5200 
+ 13736 064f E620 0000          .4byte  0x20e6
+ 13737 0653 4144 4333          .string "ADC3_DR"
+ 13737      5F44 5200 
+ 13738 065b 0B21 0000          .4byte  0x210b
+ 13739 065f 636D 644C          .string "cmdListBi"
+ 13739      6973 7442 
+ 13739      6900 
+ 13740 0669 3021 0000          .4byte  0x2130
+ 13741 066d 636D 644C          .string "cmdListBi2"
+ 13741      6973 7442 
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 247
+
+
+ 13741      6932 00
+ 13742 0678 5521 0000          .4byte  0x2155
+ 13743 067c 636D 644C          .string "cmdList2N"
+ 13743      6973 7432 
+ 13743      4E00 
+ 13744 0686 7A21 0000          .4byte  0x217a
+ 13745 068a 6D61 7468          .string "mathStack"
+ 13745      5374 6163 
+ 13745      6B00 
+ 13746 0694 9A21 0000          .4byte  0x219a
+ 13747 0698 6164 6472          .string "addrStack"
+ 13747      5374 6163 
+ 13747      6B00 
+ 13748 06a2 AA21 0000          .4byte  0x21aa
+ 13749 06a6 6164 6472          .string "addrStackPtr"
+ 13749      5374 6163 
+ 13749      6B50 7472 
+ 13749      00
+ 13750 06b3 CA21 0000          .4byte  0x21ca
+ 13751 06b7 7072 6F67          .string "prog"
+ 13751      00
+ 13752 06bc DA21 0000          .4byte  0x21da
+ 13753 06c0 7072 6F67          .string "progPtr"
+ 13753      5074 7200 
+ 13754 06c8 EA21 0000          .4byte  0x21ea
+ 13755 06cc 7072 6F67          .string "progOps"
+ 13755      4F70 7300 
+ 13756 06d4 FA21 0000          .4byte  0x21fa
+ 13757 06d8 7072 6F67          .string "progOpsPtr"
+ 13757      4F70 7350 
+ 13757      7472 00
+ 13758 06e3 1A22 0000          .4byte  0x221a
+ 13759 06e7 636D 644C          .string "cmdList"
+ 13759      6973 7400 
+ 13760 06ef 2A22 0000          .4byte  0x222a
+ 13761 06f3 636D 644C          .string "cmdListPtr"
+ 13761      6973 7450 
+ 13761      7472 00
+ 13762 06fe 3A22 0000          .4byte  0x223a
+ 13763 0702 7375 6253          .string "subSecondClock"
+ 13763      6563 6F6E 
+ 13763      6443 6C6F 
+ 13763      636B 00
+ 13764 0711 4A22 0000          .4byte  0x224a
+ 13765 0715 6661 7374          .string "fastTimer"
+ 13765      5469 6D65 
+ 13765      7200 
+ 13766 071f 5A22 0000          .4byte  0x225a
+ 13767 0723 736C 6F77          .string "slowTimer"
+ 13767      5469 6D65 
+ 13767      7200 
+ 13768 072d 6A22 0000          .4byte  0x226a
+ 13769 0731 6469 724D          .string "dirMemory"
+ 13769      656D 6F72 
+ 13769      7900 
+ 13770 073b 9122 0000          .4byte  0x2291
+ 13771 073f 6275 636B          .string "buckets"
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 248
+
+
+ 13771      6574 7300 
+ 13772 0747 B122 0000          .4byte  0x22b1
+ 13773 074b 7072 6F67          .string "progBi"
+ 13773      4269 00
+ 13774 0752 C622 0000          .4byte  0x22c6
+ 13775 0756 7072 6F67          .string "progCounter"
+ 13775      436F 756E 
+ 13775      7465 7200 
+ 13776 0762 D722 0000          .4byte  0x22d7
+ 13777 0766 6C69 6E65          .string "lineBuffer"
+ 13777      4275 6666 
+ 13777      6572 00
+ 13778 0771 E822 0000          .4byte  0x22e8
+ 13779 0775 6C69 6E65          .string "lineBufferPtr"
+ 13779      4275 6666 
+ 13779      6572 5074 
+ 13779      7200 
+ 13780 0783 0923 0000          .4byte  0x2309
+ 13781 0787 776F 7264          .string "wordBuffer"
+ 13781      4275 6666 
+ 13781      6572 00
+ 13782 0792 1A23 0000          .4byte  0x231a
+ 13783 0796 6F75 7470          .string "outputCharN"
+ 13783      7574 4368 
+ 13783      6172 4E00 
+ 13784 07a2 3023 0000          .4byte  0x2330
+ 13785 07a6 6F75 7470          .string "outputCharCntrN"
+ 13785      7574 4368 
+ 13785      6172 436E 
+ 13785      7472 4E00 
+ 13786 07b6 4123 0000          .4byte  0x2341
+ 13787 07ba 6F75 7470          .string "outputChar"
+ 13787      7574 4368 
+ 13787      6172 00
+ 13788 07c5 5223 0000          .4byte  0x2352
+ 13789 07c9 6F75 7470          .string "outputCharCntr"
+ 13789      7574 4368 
+ 13789      6172 436E 
+ 13789      7472 00
+ 13790 07d8 6323 0000          .4byte  0x2363
+ 13791 07dc 636C 6963          .string "clicks"
+ 13791      6B73 00
+ 13792 07e3 8423 0000          .4byte  0x2384
+ 13793 07e7 6F75 7470          .string "outputRing"
+ 13793      7574 5269 
+ 13793      6E67 00
+ 13794 07f2 9523 0000          .4byte  0x2395
+ 13795 07f6 6F75 7470          .string "outputRingPtrXin"
+ 13795      7574 5269 
+ 13795      6E67 5074 
+ 13795      7258 696E 
+ 13795      00
+ 13796 0807 A623 0000          .4byte  0x23a6
+ 13797 080b 6F75 7470          .string "outputRingPtrXout"
+ 13797      7574 5269 
+ 13797      6E67 5074 
+ 13797      7258 6F75 
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 249
+
+
+ 13797      7400 
+ 13798 081d B723 0000          .4byte  0x23b7
+ 13799 0821 696E 7075          .string "inputChar"
+ 13799      7443 6861 
+ 13799      7200 
+ 13800 082b C823 0000          .4byte  0x23c8
+ 13801 082f 696E 7075          .string "inputCharX"
+ 13801      7443 6861 
+ 13801      7258 00
+ 13802 083a D923 0000          .4byte  0x23d9
+ 13803 083e 696E 7075          .string "inputCharCntr"
+ 13803      7443 6861 
+ 13803      7243 6E74 
+ 13803      7200 
+ 13804 084c EA23 0000          .4byte  0x23ea
+ 13805 0850 696E 7075          .string "inputCharBit"
+ 13805      7443 6861 
+ 13805      7242 6974 
+ 13805      00
+ 13806 085d 0B24 0000          .4byte  0x240b
+ 13807 0861 696E 7075          .string "inputRing"
+ 13807      7452 696E 
+ 13807      6700 
+ 13808 086b 1C24 0000          .4byte  0x241c
+ 13809 086f 696E 7075          .string "inputRingPtrXin"
+ 13809      7452 696E 
+ 13809      6750 7472 
+ 13809      5869 6E00 
+ 13810 087f 2D24 0000          .4byte  0x242d
+ 13811 0883 696E 7075          .string "inputRingPtrXout"
+ 13811      7452 696E 
+ 13811      6750 7472 
+ 13811      586F 7574 
+ 13811      00
+ 13812 0894 3E24 0000          .4byte  0x243e
+ 13813 0898 696E 7075          .string "inputBuf"
+ 13813      7442 7566 
+ 13813      00
+ 13814 08a1 4F24 0000          .4byte  0x244f
+ 13815 08a5 696E 7075          .string "inputBufPtr"
+ 13815      7442 7566 
+ 13815      5074 7200 
+ 13816 08b1 7024 0000          .4byte  0x2470
+ 13817 08b5 6665 6353          .string "fecShadow"
+ 13817      6861 646F 
+ 13817      7700 
+ 13818 08bf 8124 0000          .4byte  0x2481
+ 13819 08c3 6269 6173          .string "biasVoltage"
+ 13819      566F 6C74 
+ 13819      6167 6500 
+ 13820 08cf 9724 0000          .4byte  0x2497
+ 13821 08d3 6164 5F69          .string "ad_int_tmp"
+ 13821      6E74 5F74 
+ 13821      6D70 00
+ 13822 08de BA24 0000          .4byte  0x24ba
+ 13823 08e2 496E 7465          .string "InterruptVectors"
+ 13823      7272 7570 
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 250
+
+
+ 13823      7456 6563 
+ 13823      746F 7273 
+ 13823      00
+ 13824 08f3 0000 0000          .4byte  0x0
+ 13825                         .section        .debug_aranges,"",@progbits
+ 13826 0000 1000 0000          .4byte  0x10
+ 13827 0004 0200               .2byte  0x2
+ 13828 0006 0000 0000          .4byte  .Ldebug_info0
+ 13829 000a 02                 .byte   0x2
+ 13830 000b 00                 .byte   0x0
+ 13831 000c 0000               .2byte  .Ltext0
+ 13832 000e C21C               .2byte  .Letext0-.Ltext0
+ 13833 0010 0000               .2byte  0x0
+ 13834 0012 0000               .2byte  0x0
+ 13835                         .section        .debug_ranges,"",@progbits
+ 13836                 .Ldebug_ranges0:
+ 13837 0000 2606               .2byte  .LBB268-.Ltext0
+ 13838 0002 2E06               .2byte  .LBE268-.Ltext0
+ 13839 0004 3206               .2byte  .LBB271-.Ltext0
+ 13840 0006 3806               .2byte  .LBE271-.Ltext0
+ 13841 0008 0000               .2byte  0x0
+ 13842 000a 0000               .2byte  0x0
+ 13843 000c 2606               .2byte  .LBB269-.Ltext0
+ 13844 000e 2E06               .2byte  .LBE269-.Ltext0
+ 13845 0010 3206               .2byte  .LBB270-.Ltext0
+ 13846 0012 3806               .2byte  .LBE270-.Ltext0
+ 13847 0014 0000               .2byte  0x0
+ 13848 0016 0000               .2byte  0x0
+ 13849 0018 3C09               .2byte  .LBB292-.Ltext0
+ 13850 001a 4009               .2byte  .LBE292-.Ltext0
+ 13851 001c AC09               .2byte  .LBB301-.Ltext0
+ 13852 001e BC09               .2byte  .LBE301-.Ltext0
+ 13853 0020 0000               .2byte  0x0
+ 13854 0022 0000               .2byte  0x0
+ 13855 0024 3C09               .2byte  .LBB293-.Ltext0
+ 13856 0026 4009               .2byte  .LBE293-.Ltext0
+ 13857 0028 AC09               .2byte  .LBB294-.Ltext0
+ 13858 002a BC09               .2byte  .LBE294-.Ltext0
+ 13859 002c 0000               .2byte  0x0
+ 13860 002e 0000               .2byte  0x0
+ 13861 0030 F40B               .2byte  .LBB314-.Ltext0
+ 13862 0032 FE0B               .2byte  .LBE314-.Ltext0
+ 13863 0034 8E0C               .2byte  .LBB321-.Ltext0
+ 13864 0036 C80C               .2byte  .LBE321-.Ltext0
+ 13865 0038 0000               .2byte  0x0
+ 13866 003a 0000               .2byte  0x0
+ 13867 003c F40B               .2byte  .LBB315-.Ltext0
+ 13868 003e FE0B               .2byte  .LBE315-.Ltext0
+ 13869 0040 8E0C               .2byte  .LBB316-.Ltext0
+ 13870 0042 C80C               .2byte  .LBE316-.Ltext0
+ 13871 0044 0000               .2byte  0x0
+ 13872 0046 0000               .2byte  0x0
+ 13873 0048 140F               .2byte  .LBB340-.Ltext0
+ 13874 004a 420F               .2byte  .LBE340-.Ltext0
+ 13875 004c DA18               .2byte  .LBB499-.Ltext0
+ 13876 004e E618               .2byte  .LBE499-.Ltext0
+ 13877 0050 0000               .2byte  0x0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 251
+
+
+ 13878 0052 0000               .2byte  0x0
+ 13879 0054 140F               .2byte  .LBB341-.Ltext0
+ 13880 0056 420F               .2byte  .LBE341-.Ltext0
+ 13881 0058 DA18               .2byte  .LBB344-.Ltext0
+ 13882 005a E618               .2byte  .LBE344-.Ltext0
+ 13883 005c 0000               .2byte  0x0
+ 13884 005e 0000               .2byte  0x0
+ 13885 0060 420F               .2byte  .LBB345-.Ltext0
+ 13886 0062 460F               .2byte  .LBE345-.Ltext0
+ 13887 0064 F814               .2byte  .LBB448-.Ltext0
+ 13888 0066 0015               .2byte  .LBE448-.Ltext0
+ 13889 0068 C613               .2byte  .LBB417-.Ltext0
+ 13890 006a DA13               .2byte  .LBE417-.Ltext0
+ 13891 006c 6A13               .2byte  .LBB414-.Ltext0
+ 13892 006e 7213               .2byte  .LBE414-.Ltext0
+ 13893 0070 8612               .2byte  .LBB393-.Ltext0
+ 13894 0072 8E12               .2byte  .LBE393-.Ltext0
+ 13895 0074 1812               .2byte  .LBB384-.Ltext0
+ 13896 0076 2012               .2byte  .LBE384-.Ltext0
+ 13897 0078 0000               .2byte  0x0
+ 13898 007a 0000               .2byte  0x0
+ 13899 007c 420F               .2byte  .LBB348-.Ltext0
+ 13900 007e 460F               .2byte  .LBE348-.Ltext0
+ 13901 0080 F814               .2byte  .LBB351-.Ltext0
+ 13902 0082 0015               .2byte  .LBE351-.Ltext0
+ 13903 0084 C613               .2byte  .LBB346-.Ltext0
+ 13904 0086 DA13               .2byte  .LBE346-.Ltext0
+ 13905 0088 6A13               .2byte  .LBB350-.Ltext0
+ 13906 008a 7213               .2byte  .LBE350-.Ltext0
+ 13907 008c 8612               .2byte  .LBB347-.Ltext0
+ 13908 008e 8E12               .2byte  .LBE347-.Ltext0
+ 13909 0090 1812               .2byte  .LBB349-.Ltext0
+ 13910 0092 2012               .2byte  .LBE349-.Ltext0
+ 13911 0094 0000               .2byte  0x0
+ 13912 0096 0000               .2byte  0x0
+ 13913 0098 600F               .2byte  .LBB352-.Ltext0
+ 13914 009a 700F               .2byte  .LBE352-.Ltext0
+ 13915 009c 7418               .2byte  .LBB492-.Ltext0
+ 13916 009e 7C18               .2byte  .LBE492-.Ltext0
+ 13917 00a0 2E17               .2byte  .LBB475-.Ltext0
+ 13918 00a2 3617               .2byte  .LBE475-.Ltext0
+ 13919 00a4 0000               .2byte  0x0
+ 13920 00a6 0000               .2byte  0x0
+ 13921 00a8 600F               .2byte  .LBB354-.Ltext0
+ 13922 00aa 700F               .2byte  .LBE354-.Ltext0
+ 13923 00ac 7418               .2byte  .LBB355-.Ltext0
+ 13924 00ae 7C18               .2byte  .LBE355-.Ltext0
+ 13925 00b0 2E17               .2byte  .LBB353-.Ltext0
+ 13926 00b2 3617               .2byte  .LBE353-.Ltext0
+ 13927 00b4 0000               .2byte  0x0
+ 13928 00b6 0000               .2byte  0x0
+ 13929 00b8 E812               .2byte  .LBB400-.Ltext0
+ 13930 00ba F412               .2byte  .LBE400-.Ltext0
+ 13931 00bc F812               .2byte  .LBB405-.Ltext0
+ 13932 00be 3E13               .2byte  .LBE405-.Ltext0
+ 13933 00c0 0000               .2byte  0x0
+ 13934 00c2 0000               .2byte  0x0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 252
+
+
+ 13935 00c4 4213               .2byte  .LBB406-.Ltext0
+ 13936 00c6 5213               .2byte  .LBE406-.Ltext0
+ 13937 00c8 C018               .2byte  .LBB498-.Ltext0
+ 13938 00ca C818               .2byte  .LBE498-.Ltext0
+ 13939 00cc 9A18               .2byte  .LBB495-.Ltext0
+ 13940 00ce A218               .2byte  .LBE495-.Ltext0
+ 13941 00d0 0000               .2byte  0x0
+ 13942 00d2 0000               .2byte  0x0
+ 13943 00d4 4213               .2byte  .LBB408-.Ltext0
+ 13944 00d6 5213               .2byte  .LBE408-.Ltext0
+ 13945 00d8 C018               .2byte  .LBB409-.Ltext0
+ 13946 00da C818               .2byte  .LBE409-.Ltext0
+ 13947 00dc 9A18               .2byte  .LBB407-.Ltext0
+ 13948 00de A218               .2byte  .LBE407-.Ltext0
+ 13949 00e0 0000               .2byte  0x0
+ 13950 00e2 0000               .2byte  0x0
+ 13951                         .section        .debug_line
+ 13952 0000 3E1A 0000          .4byte  .LELT0-.LSLT0
+ 13953                 .LSLT0:
+ 13954 0004 0200               .2byte  0x2
+ 13955 0006 5201 0000          .4byte  .LELTP0-.LASLTP0
+ 13956                 .LASLTP0:
+ 13957 000a 01                 .byte   0x1
+ 13958 000b 01                 .byte   0x1
+ 13959 000c F6                 .byte   0xf6
+ 13960 000d F5                 .byte   0xf5
+ 13961 000e 0A                 .byte   0xa
+ 13962 000f 00                 .byte   0x0
+ 13963 0010 01                 .byte   0x1
+ 13964 0011 01                 .byte   0x1
+ 13965 0012 01                 .byte   0x1
+ 13966 0013 01                 .byte   0x1
+ 13967 0014 00                 .byte   0x0
+ 13968 0015 00                 .byte   0x0
+ 13969 0016 00                 .byte   0x0
+ 13970 0017 01                 .byte   0x1
+ 13971 0018 2F75 7372          .ascii  "/usr/lib/gcc/msp430/4.4.2/../../../../msp430/include"
+ 13971      2F6C 6962 
+ 13971      2F67 6363 
+ 13971      2F6D 7370 
+ 13971      3433 302F 
+ 13972 004c 00                 .byte   0
+ 13973 004d 2F75 7372          .ascii  "/usr/lib/gcc/msp430/4.4.2/../../../../msp430/include/msp430"
+ 13973      2F6C 6962 
+ 13973      2F67 6363 
+ 13973      2F6D 7370 
+ 13973      3433 302F 
+ 13974 0088 00                 .byte   0
+ 13975 0089 2F75 7372          .ascii  "/usr/lib/gcc/msp430/4.4.2/../../../../msp430/include/sys"
+ 13975      2F6C 6962 
+ 13975      2F67 6363 
+ 13975      2F6D 7370 
+ 13975      3433 302F 
+ 13976 00c1 00                 .byte   0
+ 13977 00c2 00                 .byte   0x0
+ 13978 00c3 782E 6300          .string "x.c"
+ 13979 00c7 00                 .uleb128 0x0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 253
+
+
+ 13980 00c8 00                 .uleb128 0x0
+ 13981 00c9 00                 .uleb128 0x0
+ 13982 00ca 7379 732F          .string "sys/inttypes.h"
+ 13982      696E 7474 
+ 13982      7970 6573 
+ 13982      2E68 00
+ 13983 00d9 01                 .uleb128 0x1
+ 13984 00da 00                 .uleb128 0x0
+ 13985 00db 00                 .uleb128 0x0
+ 13986 00dc 7469 6D65          .string "timera.h"
+ 13986      7261 2E68 
+ 13986      00
+ 13987 00e5 02                 .uleb128 0x2
+ 13988 00e6 00                 .uleb128 0x0
+ 13989 00e7 00                 .uleb128 0x0
+ 13990 00e8 696F 7374          .string "iostructures.h"
+ 13990      7275 6374 
+ 13990      7572 6573 
+ 13990      2E68 00
+ 13991 00f7 02                 .uleb128 0x2
+ 13992 00f8 00                 .uleb128 0x0
+ 13993 00f9 00                 .uleb128 0x0
+ 13994 00fa 6261 7369          .string "basic_clock.h"
+ 13994      635F 636C 
+ 13994      6F63 6B2E 
+ 13994      6800 
+ 13995 0108 02                 .uleb128 0x2
+ 13996 0109 00                 .uleb128 0x0
+ 13997 010a 00                 .uleb128 0x0
+ 13998 010b 666C 6173          .string "flash.h"
+ 13998      682E 6800 
+ 13999 0113 02                 .uleb128 0x2
+ 14000 0114 00                 .uleb128 0x0
+ 14001 0115 00                 .uleb128 0x0
+ 14002 0116 6570 726F          .string "eprom.h"
+ 14002      6D2E 6800 
+ 14003 011e 02                 .uleb128 0x2
+ 14004 011f 00                 .uleb128 0x0
+ 14005 0120 00                 .uleb128 0x0
+ 14006 0121 6770 696F          .string "gpio.h"
+ 14006      2E68 00
+ 14007 0128 02                 .uleb128 0x2
+ 14008 0129 00                 .uleb128 0x0
+ 14009 012a 00                 .uleb128 0x0
+ 14010 012b 7364 3136          .string "sd16.h"
+ 14010      2E68 00
+ 14011 0132 02                 .uleb128 0x2
+ 14012 0133 00                 .uleb128 0x0
+ 14013 0134 00                 .uleb128 0x0
+ 14014 0135 7573 692E          .string "usi.h"
+ 14014      6800 
+ 14015 013b 02                 .uleb128 0x2
+ 14016 013c 00                 .uleb128 0x0
+ 14017 013d 00                 .uleb128 0x0
+ 14018 013e 636F 6D6D          .string "common.h"
+ 14018      6F6E 2E68 
+ 14018      00
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 254
+
+
+ 14019 0147 02                 .uleb128 0x2
+ 14020 0148 00                 .uleb128 0x0
+ 14021 0149 00                 .uleb128 0x0
+ 14022 014a 6D73 7034          .string "msp430x20x3.h"
+ 14022      3330 7832 
+ 14022      3078 332E 
+ 14022      6800 
+ 14023 0158 01                 .uleb128 0x1
+ 14024 0159 00                 .uleb128 0x0
+ 14025 015a 00                 .uleb128 0x0
+ 14026 015b 00                 .byte   0x0
+ 14027                 .LELTP0:
+ 14028 015c 00                 .byte   0x0
+ 14029 015d 03                 .uleb128 0x3
+ 14030 015e 02                 .byte   0x2
+ 14031 015f 0000               .2byte  .LM1
+ 14032 0161 03                 .byte   0x3
+ 14033 0162 AA03               .sleb128 426
+ 14034 0164 01                 .byte   0x1
+ 14035 0165 00                 .byte   0x0
+ 14036 0166 03                 .uleb128 0x3
+ 14037 0167 02                 .byte   0x2
+ 14038 0168 0000               .2byte  .LM2
+ 14039 016a 15                 .byte   0x15
+ 14040 016b 00                 .byte   0x0
+ 14041 016c 03                 .uleb128 0x3
+ 14042 016d 02                 .byte   0x2
+ 14043 016e 0000               .2byte  .LM3
+ 14044 0170 15                 .byte   0x15
+ 14045 0171 00                 .byte   0x0
+ 14046 0172 03                 .uleb128 0x3
+ 14047 0173 02                 .byte   0x2
+ 14048 0174 0000               .2byte  .LM4
+ 14049 0176 1C                 .byte   0x1c
+ 14050 0177 00                 .byte   0x0
+ 14051 0178 03                 .uleb128 0x3
+ 14052 0179 02                 .byte   0x2
+ 14053 017a 0000               .2byte  .LM5
+ 14054 017c 17                 .byte   0x17
+ 14055 017d 00                 .byte   0x0
+ 14056 017e 03                 .uleb128 0x3
+ 14057 017f 02                 .byte   0x2
+ 14058 0180 0000               .2byte  .LM6
+ 14059 0182 16                 .byte   0x16
+ 14060 0183 00                 .byte   0x0
+ 14061 0184 03                 .uleb128 0x3
+ 14062 0185 02                 .byte   0x2
+ 14063 0186 0000               .2byte  .LM7
+ 14064 0188 17                 .byte   0x17
+ 14065 0189 00                 .byte   0x0
+ 14066 018a 03                 .uleb128 0x3
+ 14067 018b 02                 .byte   0x2
+ 14068 018c 0000               .2byte  .LM8
+ 14069 018e 15                 .byte   0x15
+ 14070 018f 00                 .byte   0x0
+ 14071 0190 03                 .uleb128 0x3
+ 14072 0191 02                 .byte   0x2
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 255
+
+
+ 14073 0192 0000               .2byte  .LM9
+ 14074 0194 15                 .byte   0x15
+ 14075 0195 00                 .byte   0x0
+ 14076 0196 03                 .uleb128 0x3
+ 14077 0197 02                 .byte   0x2
+ 14078 0198 0000               .2byte  .LM10
+ 14079 019a 15                 .byte   0x15
+ 14080 019b 00                 .byte   0x0
+ 14081 019c 03                 .uleb128 0x3
+ 14082 019d 02                 .byte   0x2
+ 14083 019e 0000               .2byte  .LM11
+ 14084 01a0 15                 .byte   0x15
+ 14085 01a1 00                 .byte   0x0
+ 14086 01a2 03                 .uleb128 0x3
+ 14087 01a3 02                 .byte   0x2
+ 14088 01a4 0000               .2byte  .LM12
+ 14089 01a6 15                 .byte   0x15
+ 14090 01a7 00                 .byte   0x0
+ 14091 01a8 03                 .uleb128 0x3
+ 14092 01a9 02                 .byte   0x2
+ 14093 01aa 0000               .2byte  .LM13
+ 14094 01ac 15                 .byte   0x15
+ 14095 01ad 00                 .byte   0x0
+ 14096 01ae 03                 .uleb128 0x3
+ 14097 01af 02                 .byte   0x2
+ 14098 01b0 0000               .2byte  .LM14
+ 14099 01b2 16                 .byte   0x16
+ 14100 01b3 00                 .byte   0x0
+ 14101 01b4 03                 .uleb128 0x3
+ 14102 01b5 02                 .byte   0x2
+ 14103 01b6 0000               .2byte  .LM15
+ 14104 01b8 15                 .byte   0x15
+ 14105 01b9 00                 .byte   0x0
+ 14106 01ba 03                 .uleb128 0x3
+ 14107 01bb 02                 .byte   0x2
+ 14108 01bc 0000               .2byte  .LM16
+ 14109 01be 15                 .byte   0x15
+ 14110 01bf 00                 .byte   0x0
+ 14111 01c0 03                 .uleb128 0x3
+ 14112 01c1 02                 .byte   0x2
+ 14113 01c2 0000               .2byte  .LM17
+ 14114 01c4 15                 .byte   0x15
+ 14115 01c5 00                 .byte   0x0
+ 14116 01c6 03                 .uleb128 0x3
+ 14117 01c7 02                 .byte   0x2
+ 14118 01c8 0000               .2byte  .LM18
+ 14119 01ca 15                 .byte   0x15
+ 14120 01cb 00                 .byte   0x0
+ 14121 01cc 03                 .uleb128 0x3
+ 14122 01cd 02                 .byte   0x2
+ 14123 01ce 0000               .2byte  .LM19
+ 14124 01d0 15                 .byte   0x15
+ 14125 01d1 00                 .byte   0x0
+ 14126 01d2 03                 .uleb128 0x3
+ 14127 01d3 02                 .byte   0x2
+ 14128 01d4 0000               .2byte  .LM20
+ 14129 01d6 15                 .byte   0x15
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 256
+
+
+ 14130 01d7 00                 .byte   0x0
+ 14131 01d8 03                 .uleb128 0x3
+ 14132 01d9 02                 .byte   0x2
+ 14133 01da 0000               .2byte  .LM21
+ 14134 01dc 16                 .byte   0x16
+ 14135 01dd 00                 .byte   0x0
+ 14136 01de 03                 .uleb128 0x3
+ 14137 01df 02                 .byte   0x2
+ 14138 01e0 0000               .2byte  .LM22
+ 14139 01e2 15                 .byte   0x15
+ 14140 01e3 00                 .byte   0x0
+ 14141 01e4 03                 .uleb128 0x3
+ 14142 01e5 02                 .byte   0x2
+ 14143 01e6 0000               .2byte  .LM23
+ 14144 01e8 15                 .byte   0x15
+ 14145 01e9 00                 .byte   0x0
+ 14146 01ea 03                 .uleb128 0x3
+ 14147 01eb 02                 .byte   0x2
+ 14148 01ec 0000               .2byte  .LM24
+ 14149 01ee 15                 .byte   0x15
+ 14150 01ef 00                 .byte   0x0
+ 14151 01f0 03                 .uleb128 0x3
+ 14152 01f1 02                 .byte   0x2
+ 14153 01f2 0000               .2byte  .LM25
+ 14154 01f4 15                 .byte   0x15
+ 14155 01f5 00                 .byte   0x0
+ 14156 01f6 03                 .uleb128 0x3
+ 14157 01f7 02                 .byte   0x2
+ 14158 01f8 0000               .2byte  .LM26
+ 14159 01fa 15                 .byte   0x15
+ 14160 01fb 00                 .byte   0x0
+ 14161 01fc 03                 .uleb128 0x3
+ 14162 01fd 02                 .byte   0x2
+ 14163 01fe 0000               .2byte  .LM27
+ 14164 0200 15                 .byte   0x15
+ 14165 0201 00                 .byte   0x0
+ 14166 0202 03                 .uleb128 0x3
+ 14167 0203 02                 .byte   0x2
+ 14168 0204 0000               .2byte  .LM28
+ 14169 0206 16                 .byte   0x16
+ 14170 0207 00                 .byte   0x0
+ 14171 0208 03                 .uleb128 0x3
+ 14172 0209 02                 .byte   0x2
+ 14173 020a 0000               .2byte  .LM29
+ 14174 020c 15                 .byte   0x15
+ 14175 020d 00                 .byte   0x0
+ 14176 020e 03                 .uleb128 0x3
+ 14177 020f 02                 .byte   0x2
+ 14178 0210 0000               .2byte  .LM30
+ 14179 0212 15                 .byte   0x15
+ 14180 0213 00                 .byte   0x0
+ 14181 0214 03                 .uleb128 0x3
+ 14182 0215 02                 .byte   0x2
+ 14183 0216 0000               .2byte  .LM31
+ 14184 0218 15                 .byte   0x15
+ 14185 0219 00                 .byte   0x0
+ 14186 021a 03                 .uleb128 0x3
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 257
+
+
+ 14187 021b 02                 .byte   0x2
+ 14188 021c 0000               .2byte  .LM32
+ 14189 021e 15                 .byte   0x15
+ 14190 021f 00                 .byte   0x0
+ 14191 0220 03                 .uleb128 0x3
+ 14192 0221 02                 .byte   0x2
+ 14193 0222 0000               .2byte  .LM33
+ 14194 0224 15                 .byte   0x15
+ 14195 0225 00                 .byte   0x0
+ 14196 0226 03                 .uleb128 0x3
+ 14197 0227 02                 .byte   0x2
+ 14198 0228 0000               .2byte  .LM34
+ 14199 022a 15                 .byte   0x15
+ 14200 022b 00                 .byte   0x0
+ 14201 022c 03                 .uleb128 0x3
+ 14202 022d 02                 .byte   0x2
+ 14203 022e 0000               .2byte  .LM35
+ 14204 0230 16                 .byte   0x16
+ 14205 0231 00                 .byte   0x0
+ 14206 0232 03                 .uleb128 0x3
+ 14207 0233 02                 .byte   0x2
+ 14208 0234 0000               .2byte  .LM36
+ 14209 0236 17                 .byte   0x17
+ 14210 0237 00                 .byte   0x0
+ 14211 0238 03                 .uleb128 0x3
+ 14212 0239 02                 .byte   0x2
+ 14213 023a 0000               .2byte  .LM37
+ 14214 023c 1B                 .byte   0x1b
+ 14215 023d 00                 .byte   0x0
+ 14216 023e 03                 .uleb128 0x3
+ 14217 023f 02                 .byte   0x2
+ 14218 0240 0000               .2byte  .LM38
+ 14219 0242 16                 .byte   0x16
+ 14220 0243 00                 .byte   0x0
+ 14221 0244 03                 .uleb128 0x3
+ 14222 0245 02                 .byte   0x2
+ 14223 0246 0000               .2byte  .LM39
+ 14224 0248 16                 .byte   0x16
+ 14225 0249 00                 .byte   0x0
+ 14226 024a 03                 .uleb128 0x3
+ 14227 024b 02                 .byte   0x2
+ 14228 024c 0000               .2byte  .LM40
+ 14229 024e 16                 .byte   0x16
+ 14230 024f 00                 .byte   0x0
+ 14231 0250 03                 .uleb128 0x3
+ 14232 0251 02                 .byte   0x2
+ 14233 0252 0000               .2byte  .LM41
+ 14234 0254 16                 .byte   0x16
+ 14235 0255 00                 .byte   0x0
+ 14236 0256 03                 .uleb128 0x3
+ 14237 0257 02                 .byte   0x2
+ 14238 0258 0000               .2byte  .LM42
+ 14239 025a 17                 .byte   0x17
+ 14240 025b 00                 .byte   0x0
+ 14241 025c 03                 .uleb128 0x3
+ 14242 025d 02                 .byte   0x2
+ 14243 025e 0000               .2byte  .LM43
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 258
+
+
+ 14244 0260 15                 .byte   0x15
+ 14245 0261 00                 .byte   0x0
+ 14246 0262 03                 .uleb128 0x3
+ 14247 0263 02                 .byte   0x2
+ 14248 0264 0000               .2byte  .LM44
+ 14249 0266 15                 .byte   0x15
+ 14250 0267 00                 .byte   0x0
+ 14251 0268 03                 .uleb128 0x3
+ 14252 0269 02                 .byte   0x2
+ 14253 026a 0000               .2byte  .LM45
+ 14254 026c 18                 .byte   0x18
+ 14255 026d 00                 .byte   0x0
+ 14256 026e 03                 .uleb128 0x3
+ 14257 026f 02                 .byte   0x2
+ 14258 0270 0000               .2byte  .LM46
+ 14259 0272 15                 .byte   0x15
+ 14260 0273 00                 .byte   0x0
+ 14261 0274 03                 .uleb128 0x3
+ 14262 0275 02                 .byte   0x2
+ 14263 0276 0000               .2byte  .LM47
+ 14264 0278 22                 .byte   0x22
+ 14265 0279 00                 .byte   0x0
+ 14266 027a 03                 .uleb128 0x3
+ 14267 027b 02                 .byte   0x2
+ 14268 027c 0000               .2byte  .LM48
+ 14269 027e 15                 .byte   0x15
+ 14270 027f 00                 .byte   0x0
+ 14271 0280 03                 .uleb128 0x3
+ 14272 0281 02                 .byte   0x2
+ 14273 0282 0000               .2byte  .LM49
+ 14274 0284 16                 .byte   0x16
+ 14275 0285 00                 .byte   0x0
+ 14276 0286 03                 .uleb128 0x3
+ 14277 0287 02                 .byte   0x2
+ 14278 0288 0000               .2byte  .LM50
+ 14279 028a 15                 .byte   0x15
+ 14280 028b 00                 .byte   0x0
+ 14281 028c 03                 .uleb128 0x3
+ 14282 028d 02                 .byte   0x2
+ 14283 028e 0000               .2byte  .LM51
+ 14284 0290 15                 .byte   0x15
+ 14285 0291 00                 .byte   0x0
+ 14286 0292 03                 .uleb128 0x3
+ 14287 0293 02                 .byte   0x2
+ 14288 0294 0000               .2byte  .LM52
+ 14289 0296 15                 .byte   0x15
+ 14290 0297 00                 .byte   0x0
+ 14291 0298 03                 .uleb128 0x3
+ 14292 0299 02                 .byte   0x2
+ 14293 029a 0000               .2byte  .LM53
+ 14294 029c 1A                 .byte   0x1a
+ 14295 029d 00                 .byte   0x0
+ 14296 029e 03                 .uleb128 0x3
+ 14297 029f 02                 .byte   0x2
+ 14298 02a0 0000               .2byte  .LM54
+ 14299 02a2 16                 .byte   0x16
+ 14300 02a3 00                 .byte   0x0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 259
+
+
+ 14301 02a4 03                 .uleb128 0x3
+ 14302 02a5 02                 .byte   0x2
+ 14303 02a6 0000               .2byte  .LM55
+ 14304 02a8 16                 .byte   0x16
+ 14305 02a9 00                 .byte   0x0
+ 14306 02aa 03                 .uleb128 0x3
+ 14307 02ab 02                 .byte   0x2
+ 14308 02ac 0000               .2byte  .LM56
+ 14309 02ae 15                 .byte   0x15
+ 14310 02af 00                 .byte   0x0
+ 14311 02b0 03                 .uleb128 0x3
+ 14312 02b1 02                 .byte   0x2
+ 14313 02b2 0000               .2byte  .LM57
+ 14314 02b4 16                 .byte   0x16
+ 14315 02b5 00                 .byte   0x0
+ 14316 02b6 03                 .uleb128 0x3
+ 14317 02b7 02                 .byte   0x2
+ 14318 02b8 0000               .2byte  .LM58
+ 14319 02ba 16                 .byte   0x16
+ 14320 02bb 00                 .byte   0x0
+ 14321 02bc 03                 .uleb128 0x3
+ 14322 02bd 02                 .byte   0x2
+ 14323 02be 0000               .2byte  .LM59
+ 14324 02c0 16                 .byte   0x16
+ 14325 02c1 00                 .byte   0x0
+ 14326 02c2 03                 .uleb128 0x3
+ 14327 02c3 02                 .byte   0x2
+ 14328 02c4 0000               .2byte  .LM60
+ 14329 02c6 15                 .byte   0x15
+ 14330 02c7 00                 .byte   0x0
+ 14331 02c8 03                 .uleb128 0x3
+ 14332 02c9 02                 .byte   0x2
+ 14333 02ca 0000               .2byte  .LM61
+ 14334 02cc 15                 .byte   0x15
+ 14335 02cd 00                 .byte   0x0
+ 14336 02ce 03                 .uleb128 0x3
+ 14337 02cf 02                 .byte   0x2
+ 14338 02d0 0000               .2byte  .LM62
+ 14339 02d2 26                 .byte   0x26
+ 14340 02d3 00                 .byte   0x0
+ 14341 02d4 03                 .uleb128 0x3
+ 14342 02d5 02                 .byte   0x2
+ 14343 02d6 0000               .2byte  .LM63
+ 14344 02d8 03                 .byte   0x3
+ 14345 02d9 75                 .sleb128 -11
+ 14346 02da 01                 .byte   0x1
+ 14347 02db 00                 .byte   0x0
+ 14348 02dc 03                 .uleb128 0x3
+ 14349 02dd 02                 .byte   0x2
+ 14350 02de 0000               .2byte  .LM64
+ 14351 02e0 16                 .byte   0x16
+ 14352 02e1 00                 .byte   0x0
+ 14353 02e2 03                 .uleb128 0x3
+ 14354 02e3 02                 .byte   0x2
+ 14355 02e4 0000               .2byte  .LM65
+ 14356 02e6 15                 .byte   0x15
+ 14357 02e7 00                 .byte   0x0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 260
+
+
+ 14358 02e8 03                 .uleb128 0x3
+ 14359 02e9 02                 .byte   0x2
+ 14360 02ea 0000               .2byte  .LM66
+ 14361 02ec 1C                 .byte   0x1c
+ 14362 02ed 00                 .byte   0x0
+ 14363 02ee 03                 .uleb128 0x3
+ 14364 02ef 02                 .byte   0x2
+ 14365 02f0 0000               .2byte  .LM67
+ 14366 02f2 03                 .byte   0x3
+ 14367 02f3 4A                 .sleb128 -54
+ 14368 02f4 01                 .byte   0x1
+ 14369 02f5 00                 .byte   0x0
+ 14370 02f6 03                 .uleb128 0x3
+ 14371 02f7 02                 .byte   0x2
+ 14372 02f8 0000               .2byte  .LM68
+ 14373 02fa 15                 .byte   0x15
+ 14374 02fb 00                 .byte   0x0
+ 14375 02fc 03                 .uleb128 0x3
+ 14376 02fd 02                 .byte   0x2
+ 14377 02fe 0000               .2byte  .LM69
+ 14378 0300 15                 .byte   0x15
+ 14379 0301 00                 .byte   0x0
+ 14380 0302 03                 .uleb128 0x3
+ 14381 0303 02                 .byte   0x2
+ 14382 0304 0000               .2byte  .LM70
+ 14383 0306 15                 .byte   0x15
+ 14384 0307 00                 .byte   0x0
+ 14385 0308 03                 .uleb128 0x3
+ 14386 0309 02                 .byte   0x2
+ 14387 030a 0000               .2byte  .LM71
+ 14388 030c 15                 .byte   0x15
+ 14389 030d 00                 .byte   0x0
+ 14390 030e 03                 .uleb128 0x3
+ 14391 030f 02                 .byte   0x2
+ 14392 0310 0000               .2byte  .LM72
+ 14393 0312 15                 .byte   0x15
+ 14394 0313 00                 .byte   0x0
+ 14395 0314 03                 .uleb128 0x3
+ 14396 0315 02                 .byte   0x2
+ 14397 0316 0000               .2byte  .LM73
+ 14398 0318 15                 .byte   0x15
+ 14399 0319 00                 .byte   0x0
+ 14400 031a 03                 .uleb128 0x3
+ 14401 031b 02                 .byte   0x2
+ 14402 031c 0000               .2byte  .LM74
+ 14403 031e 20                 .byte   0x20
+ 14404 031f 00                 .byte   0x0
+ 14405 0320 03                 .uleb128 0x3
+ 14406 0321 02                 .byte   0x2
+ 14407 0322 0000               .2byte  .LM75
+ 14408 0324 03                 .byte   0x3
+ 14409 0325 68                 .sleb128 -24
+ 14410 0326 01                 .byte   0x1
+ 14411 0327 00                 .byte   0x0
+ 14412 0328 03                 .uleb128 0x3
+ 14413 0329 02                 .byte   0x2
+ 14414 032a 0000               .2byte  .LM76
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 261
+
+
+ 14415 032c 5A                 .byte   0x5a
+ 14416 032d 00                 .byte   0x0
+ 14417 032e 03                 .uleb128 0x3
+ 14418 032f 02                 .byte   0x2
+ 14419 0330 0000               .2byte  .LM77
+ 14420 0332 16                 .byte   0x16
+ 14421 0333 00                 .byte   0x0
+ 14422 0334 03                 .uleb128 0x3
+ 14423 0335 02                 .byte   0x2
+ 14424 0336 0000               .2byte  .LM78
+ 14425 0338 16                 .byte   0x16
+ 14426 0339 00                 .byte   0x0
+ 14427 033a 03                 .uleb128 0x3
+ 14428 033b 02                 .byte   0x2
+ 14429 033c 0000               .2byte  .LM79
+ 14430 033e 15                 .byte   0x15
+ 14431 033f 00                 .byte   0x0
+ 14432 0340 03                 .uleb128 0x3
+ 14433 0341 02                 .byte   0x2
+ 14434 0342 0000               .2byte  .LM80
+ 14435 0344 13                 .byte   0x13
+ 14436 0345 00                 .byte   0x0
+ 14437 0346 03                 .uleb128 0x3
+ 14438 0347 02                 .byte   0x2
+ 14439 0348 0000               .2byte  .LM81
+ 14440 034a 17                 .byte   0x17
+ 14441 034b 00                 .byte   0x0
+ 14442 034c 03                 .uleb128 0x3
+ 14443 034d 02                 .byte   0x2
+ 14444 034e 0000               .2byte  .LM82
+ 14445 0350 10                 .byte   0x10
+ 14446 0351 00                 .byte   0x0
+ 14447 0352 03                 .uleb128 0x3
+ 14448 0353 02                 .byte   0x2
+ 14449 0354 0000               .2byte  .LM83
+ 14450 0356 19                 .byte   0x19
+ 14451 0357 00                 .byte   0x0
+ 14452 0358 03                 .uleb128 0x3
+ 14453 0359 02                 .byte   0x2
+ 14454 035a 0000               .2byte  .LM84
+ 14455 035c 15                 .byte   0x15
+ 14456 035d 00                 .byte   0x0
+ 14457 035e 03                 .uleb128 0x3
+ 14458 035f 02                 .byte   0x2
+ 14459 0360 0000               .2byte  .LM85
+ 14460 0362 17                 .byte   0x17
+ 14461 0363 00                 .byte   0x0
+ 14462 0364 03                 .uleb128 0x3
+ 14463 0365 02                 .byte   0x2
+ 14464 0366 0000               .2byte  .LM86
+ 14465 0368 18                 .byte   0x18
+ 14466 0369 00                 .byte   0x0
+ 14467 036a 03                 .uleb128 0x3
+ 14468 036b 02                 .byte   0x2
+ 14469 036c 0000               .2byte  .LM87
+ 14470 036e 13                 .byte   0x13
+ 14471 036f 00                 .byte   0x0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 262
+
+
+ 14472 0370 03                 .uleb128 0x3
+ 14473 0371 02                 .byte   0x2
+ 14474 0372 0000               .2byte  .LM88
+ 14475 0374 17                 .byte   0x17
+ 14476 0375 00                 .byte   0x0
+ 14477 0376 03                 .uleb128 0x3
+ 14478 0377 02                 .byte   0x2
+ 14479 0378 0000               .2byte  .LM89
+ 14480 037a 15                 .byte   0x15
+ 14481 037b 00                 .byte   0x0
+ 14482 037c 03                 .uleb128 0x3
+ 14483 037d 02                 .byte   0x2
+ 14484 037e 0000               .2byte  .LM90
+ 14485 0380 16                 .byte   0x16
+ 14486 0381 00                 .byte   0x0
+ 14487 0382 03                 .uleb128 0x3
+ 14488 0383 02                 .byte   0x2
+ 14489 0384 0000               .2byte  .LM91
+ 14490 0386 18                 .byte   0x18
+ 14491 0387 00                 .byte   0x0
+ 14492 0388 03                 .uleb128 0x3
+ 14493 0389 02                 .byte   0x2
+ 14494 038a 0000               .2byte  .LM92
+ 14495 038c 17                 .byte   0x17
+ 14496 038d 00                 .byte   0x0
+ 14497 038e 03                 .uleb128 0x3
+ 14498 038f 02                 .byte   0x2
+ 14499 0390 0000               .2byte  .LM93
+ 14500 0392 15                 .byte   0x15
+ 14501 0393 00                 .byte   0x0
+ 14502 0394 03                 .uleb128 0x3
+ 14503 0395 02                 .byte   0x2
+ 14504 0396 0000               .2byte  .LM94
+ 14505 0398 15                 .byte   0x15
+ 14506 0399 00                 .byte   0x0
+ 14507 039a 03                 .uleb128 0x3
+ 14508 039b 02                 .byte   0x2
+ 14509 039c 0000               .2byte  .LM95
+ 14510 039e 17                 .byte   0x17
+ 14511 039f 00                 .byte   0x0
+ 14512 03a0 03                 .uleb128 0x3
+ 14513 03a1 02                 .byte   0x2
+ 14514 03a2 0000               .2byte  .LM96
+ 14515 03a4 15                 .byte   0x15
+ 14516 03a5 00                 .byte   0x0
+ 14517 03a6 03                 .uleb128 0x3
+ 14518 03a7 02                 .byte   0x2
+ 14519 03a8 0000               .2byte  .LM97
+ 14520 03aa 12                 .byte   0x12
+ 14521 03ab 00                 .byte   0x0
+ 14522 03ac 03                 .uleb128 0x3
+ 14523 03ad 02                 .byte   0x2
+ 14524 03ae 0000               .2byte  .LM98
+ 14525 03b0 19                 .byte   0x19
+ 14526 03b1 00                 .byte   0x0
+ 14527 03b2 03                 .uleb128 0x3
+ 14528 03b3 02                 .byte   0x2
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 263
+
+
+ 14529 03b4 0000               .2byte  .LM99
+ 14530 03b6 16                 .byte   0x16
+ 14531 03b7 00                 .byte   0x0
+ 14532 03b8 03                 .uleb128 0x3
+ 14533 03b9 02                 .byte   0x2
+ 14534 03ba 0000               .2byte  .LM100
+ 14535 03bc 17                 .byte   0x17
+ 14536 03bd 00                 .byte   0x0
+ 14537 03be 03                 .uleb128 0x3
+ 14538 03bf 02                 .byte   0x2
+ 14539 03c0 0000               .2byte  .LM101
+ 14540 03c2 1B                 .byte   0x1b
+ 14541 03c3 00                 .byte   0x0
+ 14542 03c4 03                 .uleb128 0x3
+ 14543 03c5 02                 .byte   0x2
+ 14544 03c6 0000               .2byte  .LM102
+ 14545 03c8 18                 .byte   0x18
+ 14546 03c9 00                 .byte   0x0
+ 14547 03ca 03                 .uleb128 0x3
+ 14548 03cb 02                 .byte   0x2
+ 14549 03cc 0000               .2byte  .LM103
+ 14550 03ce 16                 .byte   0x16
+ 14551 03cf 00                 .byte   0x0
+ 14552 03d0 03                 .uleb128 0x3
+ 14553 03d1 02                 .byte   0x2
+ 14554 03d2 0000               .2byte  .LM104
+ 14555 03d4 16                 .byte   0x16
+ 14556 03d5 00                 .byte   0x0
+ 14557 03d6 03                 .uleb128 0x3
+ 14558 03d7 02                 .byte   0x2
+ 14559 03d8 0000               .2byte  .LM105
+ 14560 03da 15                 .byte   0x15
+ 14561 03db 00                 .byte   0x0
+ 14562 03dc 03                 .uleb128 0x3
+ 14563 03dd 02                 .byte   0x2
+ 14564 03de 0000               .2byte  .LM106
+ 14565 03e0 19                 .byte   0x19
+ 14566 03e1 00                 .byte   0x0
+ 14567 03e2 03                 .uleb128 0x3
+ 14568 03e3 02                 .byte   0x2
+ 14569 03e4 0000               .2byte  .LM107
+ 14570 03e6 16                 .byte   0x16
+ 14571 03e7 00                 .byte   0x0
+ 14572 03e8 03                 .uleb128 0x3
+ 14573 03e9 02                 .byte   0x2
+ 14574 03ea 0000               .2byte  .LM108
+ 14575 03ec 16                 .byte   0x16
+ 14576 03ed 00                 .byte   0x0
+ 14577 03ee 03                 .uleb128 0x3
+ 14578 03ef 02                 .byte   0x2
+ 14579 03f0 0000               .2byte  .LM109
+ 14580 03f2 0D                 .byte   0xd
+ 14581 03f3 00                 .byte   0x0
+ 14582 03f4 03                 .uleb128 0x3
+ 14583 03f5 02                 .byte   0x2
+ 14584 03f6 0000               .2byte  .LM110
+ 14585 03f8 15                 .byte   0x15
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 264
+
+
+ 14586 03f9 00                 .byte   0x0
+ 14587 03fa 03                 .uleb128 0x3
+ 14588 03fb 02                 .byte   0x2
+ 14589 03fc 0000               .2byte  .LM111
+ 14590 03fe 1D                 .byte   0x1d
+ 14591 03ff 00                 .byte   0x0
+ 14592 0400 03                 .uleb128 0x3
+ 14593 0401 02                 .byte   0x2
+ 14594 0402 0000               .2byte  .LM112
+ 14595 0404 21                 .byte   0x21
+ 14596 0405 00                 .byte   0x0
+ 14597 0406 03                 .uleb128 0x3
+ 14598 0407 02                 .byte   0x2
+ 14599 0408 0000               .2byte  .LM113
+ 14600 040a 16                 .byte   0x16
+ 14601 040b 00                 .byte   0x0
+ 14602 040c 03                 .uleb128 0x3
+ 14603 040d 02                 .byte   0x2
+ 14604 040e 0000               .2byte  .LM114
+ 14605 0410 16                 .byte   0x16
+ 14606 0411 00                 .byte   0x0
+ 14607 0412 03                 .uleb128 0x3
+ 14608 0413 02                 .byte   0x2
+ 14609 0414 0000               .2byte  .LM115
+ 14610 0416 17                 .byte   0x17
+ 14611 0417 00                 .byte   0x0
+ 14612 0418 03                 .uleb128 0x3
+ 14613 0419 02                 .byte   0x2
+ 14614 041a 0000               .2byte  .LM116
+ 14615 041c 16                 .byte   0x16
+ 14616 041d 00                 .byte   0x0
+ 14617 041e 03                 .uleb128 0x3
+ 14618 041f 02                 .byte   0x2
+ 14619 0420 0000               .2byte  .LM117
+ 14620 0422 15                 .byte   0x15
+ 14621 0423 00                 .byte   0x0
+ 14622 0424 03                 .uleb128 0x3
+ 14623 0425 02                 .byte   0x2
+ 14624 0426 0000               .2byte  .LM118
+ 14625 0428 15                 .byte   0x15
+ 14626 0429 00                 .byte   0x0
+ 14627 042a 03                 .uleb128 0x3
+ 14628 042b 02                 .byte   0x2
+ 14629 042c 0000               .2byte  .LM119
+ 14630 042e 15                 .byte   0x15
+ 14631 042f 00                 .byte   0x0
+ 14632 0430 03                 .uleb128 0x3
+ 14633 0431 02                 .byte   0x2
+ 14634 0432 0000               .2byte  .LM120
+ 14635 0434 16                 .byte   0x16
+ 14636 0435 00                 .byte   0x0
+ 14637 0436 03                 .uleb128 0x3
+ 14638 0437 02                 .byte   0x2
+ 14639 0438 0000               .2byte  .LM121
+ 14640 043a 17                 .byte   0x17
+ 14641 043b 00                 .byte   0x0
+ 14642 043c 03                 .uleb128 0x3
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 265
+
+
+ 14643 043d 02                 .byte   0x2
+ 14644 043e 0000               .2byte  .LM122
+ 14645 0440 01                 .byte   0x1
+ 14646 0441 00                 .byte   0x0
+ 14647 0442 03                 .uleb128 0x3
+ 14648 0443 02                 .byte   0x2
+ 14649 0444 0000               .2byte  .LM123
+ 14650 0446 17                 .byte   0x17
+ 14651 0447 00                 .byte   0x0
+ 14652 0448 03                 .uleb128 0x3
+ 14653 0449 02                 .byte   0x2
+ 14654 044a 0000               .2byte  .LM124
+ 14655 044c 13                 .byte   0x13
+ 14656 044d 00                 .byte   0x0
+ 14657 044e 03                 .uleb128 0x3
+ 14658 044f 02                 .byte   0x2
+ 14659 0450 0000               .2byte  .LM125
+ 14660 0452 17                 .byte   0x17
+ 14661 0453 00                 .byte   0x0
+ 14662 0454 03                 .uleb128 0x3
+ 14663 0455 02                 .byte   0x2
+ 14664 0456 0000               .2byte  .LM126
+ 14665 0458 37                 .byte   0x37
+ 14666 0459 00                 .byte   0x0
+ 14667 045a 03                 .uleb128 0x3
+ 14668 045b 02                 .byte   0x2
+ 14669 045c 0000               .2byte  .LM127
+ 14670 045e 16                 .byte   0x16
+ 14671 045f 00                 .byte   0x0
+ 14672 0460 03                 .uleb128 0x3
+ 14673 0461 02                 .byte   0x2
+ 14674 0462 0000               .2byte  .LM128
+ 14675 0464 15                 .byte   0x15
+ 14676 0465 00                 .byte   0x0
+ 14677 0466 03                 .uleb128 0x3
+ 14678 0467 02                 .byte   0x2
+ 14679 0468 0000               .2byte  .LM129
+ 14680 046a 15                 .byte   0x15
+ 14681 046b 00                 .byte   0x0
+ 14682 046c 03                 .uleb128 0x3
+ 14683 046d 02                 .byte   0x2
+ 14684 046e 0000               .2byte  .LM130
+ 14685 0470 15                 .byte   0x15
+ 14686 0471 00                 .byte   0x0
+ 14687 0472 03                 .uleb128 0x3
+ 14688 0473 02                 .byte   0x2
+ 14689 0474 0000               .2byte  .LM131
+ 14690 0476 15                 .byte   0x15
+ 14691 0477 00                 .byte   0x0
+ 14692 0478 03                 .uleb128 0x3
+ 14693 0479 02                 .byte   0x2
+ 14694 047a 0000               .2byte  .LM132
+ 14695 047c 15                 .byte   0x15
+ 14696 047d 00                 .byte   0x0
+ 14697 047e 03                 .uleb128 0x3
+ 14698 047f 02                 .byte   0x2
+ 14699 0480 0000               .2byte  .LM133
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 266
+
+
+ 14700 0482 15                 .byte   0x15
+ 14701 0483 00                 .byte   0x0
+ 14702 0484 03                 .uleb128 0x3
+ 14703 0485 02                 .byte   0x2
+ 14704 0486 0000               .2byte  .LM134
+ 14705 0488 15                 .byte   0x15
+ 14706 0489 00                 .byte   0x0
+ 14707 048a 03                 .uleb128 0x3
+ 14708 048b 02                 .byte   0x2
+ 14709 048c 0000               .2byte  .LM135
+ 14710 048e 16                 .byte   0x16
+ 14711 048f 00                 .byte   0x0
+ 14712 0490 03                 .uleb128 0x3
+ 14713 0491 02                 .byte   0x2
+ 14714 0492 0000               .2byte  .LM136
+ 14715 0494 17                 .byte   0x17
+ 14716 0495 00                 .byte   0x0
+ 14717 0496 03                 .uleb128 0x3
+ 14718 0497 02                 .byte   0x2
+ 14719 0498 0000               .2byte  .LM137
+ 14720 049a 18                 .byte   0x18
+ 14721 049b 00                 .byte   0x0
+ 14722 049c 03                 .uleb128 0x3
+ 14723 049d 02                 .byte   0x2
+ 14724 049e 0000               .2byte  .LM138
+ 14725 04a0 16                 .byte   0x16
+ 14726 04a1 00                 .byte   0x0
+ 14727 04a2 03                 .uleb128 0x3
+ 14728 04a3 02                 .byte   0x2
+ 14729 04a4 0000               .2byte  .LM139
+ 14730 04a6 15                 .byte   0x15
+ 14731 04a7 00                 .byte   0x0
+ 14732 04a8 03                 .uleb128 0x3
+ 14733 04a9 02                 .byte   0x2
+ 14734 04aa 0000               .2byte  .LM140
+ 14735 04ac 16                 .byte   0x16
+ 14736 04ad 00                 .byte   0x0
+ 14737 04ae 03                 .uleb128 0x3
+ 14738 04af 02                 .byte   0x2
+ 14739 04b0 0000               .2byte  .LM141
+ 14740 04b2 15                 .byte   0x15
+ 14741 04b3 00                 .byte   0x0
+ 14742 04b4 03                 .uleb128 0x3
+ 14743 04b5 02                 .byte   0x2
+ 14744 04b6 0000               .2byte  .LM142
+ 14745 04b8 16                 .byte   0x16
+ 14746 04b9 00                 .byte   0x0
+ 14747 04ba 03                 .uleb128 0x3
+ 14748 04bb 02                 .byte   0x2
+ 14749 04bc 0000               .2byte  .LM143
+ 14750 04be 15                 .byte   0x15
+ 14751 04bf 00                 .byte   0x0
+ 14752 04c0 03                 .uleb128 0x3
+ 14753 04c1 02                 .byte   0x2
+ 14754 04c2 0000               .2byte  .LM144
+ 14755 04c4 16                 .byte   0x16
+ 14756 04c5 00                 .byte   0x0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 267
+
+
+ 14757 04c6 03                 .uleb128 0x3
+ 14758 04c7 02                 .byte   0x2
+ 14759 04c8 0000               .2byte  .LM145
+ 14760 04ca 18                 .byte   0x18
+ 14761 04cb 00                 .byte   0x0
+ 14762 04cc 03                 .uleb128 0x3
+ 14763 04cd 02                 .byte   0x2
+ 14764 04ce 0000               .2byte  .LM146
+ 14765 04d0 17                 .byte   0x17
+ 14766 04d1 00                 .byte   0x0
+ 14767 04d2 03                 .uleb128 0x3
+ 14768 04d3 02                 .byte   0x2
+ 14769 04d4 0000               .2byte  .LM147
+ 14770 04d6 16                 .byte   0x16
+ 14771 04d7 00                 .byte   0x0
+ 14772 04d8 03                 .uleb128 0x3
+ 14773 04d9 02                 .byte   0x2
+ 14774 04da 0000               .2byte  .LM148
+ 14775 04dc 15                 .byte   0x15
+ 14776 04dd 00                 .byte   0x0
+ 14777 04de 03                 .uleb128 0x3
+ 14778 04df 02                 .byte   0x2
+ 14779 04e0 0000               .2byte  .LM149
+ 14780 04e2 16                 .byte   0x16
+ 14781 04e3 00                 .byte   0x0
+ 14782 04e4 03                 .uleb128 0x3
+ 14783 04e5 02                 .byte   0x2
+ 14784 04e6 0000               .2byte  .LM150
+ 14785 04e8 1D                 .byte   0x1d
+ 14786 04e9 00                 .byte   0x0
+ 14787 04ea 03                 .uleb128 0x3
+ 14788 04eb 02                 .byte   0x2
+ 14789 04ec 0000               .2byte  .LM151
+ 14790 04ee 16                 .byte   0x16
+ 14791 04ef 00                 .byte   0x0
+ 14792 04f0 03                 .uleb128 0x3
+ 14793 04f1 02                 .byte   0x2
+ 14794 04f2 0000               .2byte  .LM152
+ 14795 04f4 03                 .byte   0x3
+ 14796 04f5 C27E               .sleb128 -190
+ 14797 04f7 01                 .byte   0x1
+ 14798 04f8 00                 .byte   0x0
+ 14799 04f9 03                 .uleb128 0x3
+ 14800 04fa 02                 .byte   0x2
+ 14801 04fb 0000               .2byte  .LM153
+ 14802 04fd 16                 .byte   0x16
+ 14803 04fe 00                 .byte   0x0
+ 14804 04ff 03                 .uleb128 0x3
+ 14805 0500 02                 .byte   0x2
+ 14806 0501 0000               .2byte  .LM154
+ 14807 0503 15                 .byte   0x15
+ 14808 0504 00                 .byte   0x0
+ 14809 0505 03                 .uleb128 0x3
+ 14810 0506 02                 .byte   0x2
+ 14811 0507 0000               .2byte  .LM155
+ 14812 0509 13                 .byte   0x13
+ 14813 050a 00                 .byte   0x0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 268
+
+
+ 14814 050b 03                 .uleb128 0x3
+ 14815 050c 02                 .byte   0x2
+ 14816 050d 0000               .2byte  .LM156
+ 14817 050f 17                 .byte   0x17
+ 14818 0510 00                 .byte   0x0
+ 14819 0511 03                 .uleb128 0x3
+ 14820 0512 02                 .byte   0x2
+ 14821 0513 0000               .2byte  .LM157
+ 14822 0515 10                 .byte   0x10
+ 14823 0516 00                 .byte   0x0
+ 14824 0517 03                 .uleb128 0x3
+ 14825 0518 02                 .byte   0x2
+ 14826 0519 0000               .2byte  .LM158
+ 14827 051b 19                 .byte   0x19
+ 14828 051c 00                 .byte   0x0
+ 14829 051d 03                 .uleb128 0x3
+ 14830 051e 02                 .byte   0x2
+ 14831 051f 0000               .2byte  .LM159
+ 14832 0521 0E                 .byte   0xe
+ 14833 0522 00                 .byte   0x0
+ 14834 0523 03                 .uleb128 0x3
+ 14835 0524 02                 .byte   0x2
+ 14836 0525 0000               .2byte  .LM160
+ 14837 0527 16                 .byte   0x16
+ 14838 0528 00                 .byte   0x0
+ 14839 0529 03                 .uleb128 0x3
+ 14840 052a 02                 .byte   0x2
+ 14841 052b 0000               .2byte  .LM161
+ 14842 052d 15                 .byte   0x15
+ 14843 052e 00                 .byte   0x0
+ 14844 052f 03                 .uleb128 0x3
+ 14845 0530 02                 .byte   0x2
+ 14846 0531 0000               .2byte  .LM162
+ 14847 0533 13                 .byte   0x13
+ 14848 0534 00                 .byte   0x0
+ 14849 0535 03                 .uleb128 0x3
+ 14850 0536 02                 .byte   0x2
+ 14851 0537 0000               .2byte  .LM163
+ 14852 0539 17                 .byte   0x17
+ 14853 053a 00                 .byte   0x0
+ 14854 053b 03                 .uleb128 0x3
+ 14855 053c 02                 .byte   0x2
+ 14856 053d 0000               .2byte  .LM164
+ 14857 053f 10                 .byte   0x10
+ 14858 0540 00                 .byte   0x0
+ 14859 0541 03                 .uleb128 0x3
+ 14860 0542 02                 .byte   0x2
+ 14861 0543 0000               .2byte  .LM165
+ 14862 0545 19                 .byte   0x19
+ 14863 0546 00                 .byte   0x0
+ 14864 0547 03                 .uleb128 0x3
+ 14865 0548 02                 .byte   0x2
+ 14866 0549 0000               .2byte  .LM166
+ 14867 054b 0E                 .byte   0xe
+ 14868 054c 00                 .byte   0x0
+ 14869 054d 03                 .uleb128 0x3
+ 14870 054e 02                 .byte   0x2
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 269
+
+
+ 14871 054f 0000               .2byte  .LM167
+ 14872 0551 16                 .byte   0x16
+ 14873 0552 00                 .byte   0x0
+ 14874 0553 03                 .uleb128 0x3
+ 14875 0554 02                 .byte   0x2
+ 14876 0555 0000               .2byte  .LM168
+ 14877 0557 15                 .byte   0x15
+ 14878 0558 00                 .byte   0x0
+ 14879 0559 03                 .uleb128 0x3
+ 14880 055a 02                 .byte   0x2
+ 14881 055b 0000               .2byte  .LM169
+ 14882 055d 13                 .byte   0x13
+ 14883 055e 00                 .byte   0x0
+ 14884 055f 03                 .uleb128 0x3
+ 14885 0560 02                 .byte   0x2
+ 14886 0561 0000               .2byte  .LM170
+ 14887 0563 17                 .byte   0x17
+ 14888 0564 00                 .byte   0x0
+ 14889 0565 03                 .uleb128 0x3
+ 14890 0566 02                 .byte   0x2
+ 14891 0567 0000               .2byte  .LM171
+ 14892 0569 10                 .byte   0x10
+ 14893 056a 00                 .byte   0x0
+ 14894 056b 03                 .uleb128 0x3
+ 14895 056c 02                 .byte   0x2
+ 14896 056d 0000               .2byte  .LM172
+ 14897 056f 19                 .byte   0x19
+ 14898 0570 00                 .byte   0x0
+ 14899 0571 03                 .uleb128 0x3
+ 14900 0572 02                 .byte   0x2
+ 14901 0573 0000               .2byte  .LM173
+ 14902 0575 E7                 .byte   0xe7
+ 14903 0576 00                 .byte   0x0
+ 14904 0577 03                 .uleb128 0x3
+ 14905 0578 02                 .byte   0x2
+ 14906 0579 0000               .2byte  .LM174
+ 14907 057b 03                 .byte   0x3
+ 14908 057c B57E               .sleb128 -203
+ 14909 057e 01                 .byte   0x1
+ 14910 057f 00                 .byte   0x0
+ 14911 0580 03                 .uleb128 0x3
+ 14912 0581 02                 .byte   0x2
+ 14913 0582 0000               .2byte  .LM175
+ 14914 0584 13                 .byte   0x13
+ 14915 0585 00                 .byte   0x0
+ 14916 0586 03                 .uleb128 0x3
+ 14917 0587 02                 .byte   0x2
+ 14918 0588 0000               .2byte  .LM176
+ 14919 058a 17                 .byte   0x17
+ 14920 058b 00                 .byte   0x0
+ 14921 058c 03                 .uleb128 0x3
+ 14922 058d 02                 .byte   0x2
+ 14923 058e 0000               .2byte  .LM177
+ 14924 0590 15                 .byte   0x15
+ 14925 0591 00                 .byte   0x0
+ 14926 0592 03                 .uleb128 0x3
+ 14927 0593 02                 .byte   0x2
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 270
+
+
+ 14928 0594 0000               .2byte  .LM178
+ 14929 0596 C9                 .byte   0xc9
+ 14930 0597 00                 .byte   0x0
+ 14931 0598 03                 .uleb128 0x3
+ 14932 0599 02                 .byte   0x2
+ 14933 059a 0000               .2byte  .LM179
+ 14934 059c 15                 .byte   0x15
+ 14935 059d 00                 .byte   0x0
+ 14936 059e 03                 .uleb128 0x3
+ 14937 059f 02                 .byte   0x2
+ 14938 05a0 0000               .2byte  .LM180
+ 14939 05a2 03                 .byte   0x3
+ 14940 05a3 B97E               .sleb128 -199
+ 14941 05a5 01                 .byte   0x1
+ 14942 05a6 00                 .byte   0x0
+ 14943 05a7 03                 .uleb128 0x3
+ 14944 05a8 02                 .byte   0x2
+ 14945 05a9 0000               .2byte  .LM181
+ 14946 05ab 16                 .byte   0x16
+ 14947 05ac 00                 .byte   0x0
+ 14948 05ad 03                 .uleb128 0x3
+ 14949 05ae 02                 .byte   0x2
+ 14950 05af 0000               .2byte  .LM182
+ 14951 05b1 15                 .byte   0x15
+ 14952 05b2 00                 .byte   0x0
+ 14953 05b3 03                 .uleb128 0x3
+ 14954 05b4 02                 .byte   0x2
+ 14955 05b5 0000               .2byte  .LM183
+ 14956 05b7 13                 .byte   0x13
+ 14957 05b8 00                 .byte   0x0
+ 14958 05b9 03                 .uleb128 0x3
+ 14959 05ba 02                 .byte   0x2
+ 14960 05bb 0000               .2byte  .LM184
+ 14961 05bd 17                 .byte   0x17
+ 14962 05be 00                 .byte   0x0
+ 14963 05bf 03                 .uleb128 0x3
+ 14964 05c0 02                 .byte   0x2
+ 14965 05c1 0000               .2byte  .LM185
+ 14966 05c3 10                 .byte   0x10
+ 14967 05c4 00                 .byte   0x0
+ 14968 05c5 03                 .uleb128 0x3
+ 14969 05c6 02                 .byte   0x2
+ 14970 05c7 0000               .2byte  .LM186
+ 14971 05c9 19                 .byte   0x19
+ 14972 05ca 00                 .byte   0x0
+ 14973 05cb 03                 .uleb128 0x3
+ 14974 05cc 02                 .byte   0x2
+ 14975 05cd 0000               .2byte  .LM187
+ 14976 05cf DE                 .byte   0xde
+ 14977 05d0 00                 .byte   0x0
+ 14978 05d1 03                 .uleb128 0x3
+ 14979 05d2 02                 .byte   0x2
+ 14980 05d3 0000               .2byte  .LM188
+ 14981 05d5 1A                 .byte   0x1a
+ 14982 05d6 00                 .byte   0x0
+ 14983 05d7 03                 .uleb128 0x3
+ 14984 05d8 02                 .byte   0x2
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 271
+
+
+ 14985 05d9 0000               .2byte  .LM189
+ 14986 05db 15                 .byte   0x15
+ 14987 05dc 00                 .byte   0x0
+ 14988 05dd 03                 .uleb128 0x3
+ 14989 05de 02                 .byte   0x2
+ 14990 05df 0000               .2byte  .LM190
+ 14991 05e1 16                 .byte   0x16
+ 14992 05e2 00                 .byte   0x0
+ 14993 05e3 03                 .uleb128 0x3
+ 14994 05e4 02                 .byte   0x2
+ 14995 05e5 0000               .2byte  .LM191
+ 14996 05e7 03                 .byte   0x3
+ 14997 05e8 6C                 .sleb128 -20
+ 14998 05e9 01                 .byte   0x1
+ 14999 05ea 00                 .byte   0x0
+ 15000 05eb 03                 .uleb128 0x3
+ 15001 05ec 02                 .byte   0x2
+ 15002 05ed 0000               .2byte  .LM192
+ 15003 05ef 03                 .byte   0x3
+ 15004 05f0 BB7E               .sleb128 -197
+ 15005 05f2 01                 .byte   0x1
+ 15006 05f3 00                 .byte   0x0
+ 15007 05f4 03                 .uleb128 0x3
+ 15008 05f5 02                 .byte   0x2
+ 15009 05f6 0000               .2byte  .LM193
+ 15010 05f8 16                 .byte   0x16
+ 15011 05f9 00                 .byte   0x0
+ 15012 05fa 03                 .uleb128 0x3
+ 15013 05fb 02                 .byte   0x2
+ 15014 05fc 0000               .2byte  .LM194
+ 15015 05fe 15                 .byte   0x15
+ 15016 05ff 00                 .byte   0x0
+ 15017 0600 03                 .uleb128 0x3
+ 15018 0601 02                 .byte   0x2
+ 15019 0602 0000               .2byte  .LM195
+ 15020 0604 13                 .byte   0x13
+ 15021 0605 00                 .byte   0x0
+ 15022 0606 03                 .uleb128 0x3
+ 15023 0607 02                 .byte   0x2
+ 15024 0608 0000               .2byte  .LM196
+ 15025 060a 17                 .byte   0x17
+ 15026 060b 00                 .byte   0x0
+ 15027 060c 03                 .uleb128 0x3
+ 15028 060d 02                 .byte   0x2
+ 15029 060e 0000               .2byte  .LM197
+ 15030 0610 10                 .byte   0x10
+ 15031 0611 00                 .byte   0x0
+ 15032 0612 03                 .uleb128 0x3
+ 15033 0613 02                 .byte   0x2
+ 15034 0614 0000               .2byte  .LM198
+ 15035 0616 19                 .byte   0x19
+ 15036 0617 00                 .byte   0x0
+ 15037 0618 03                 .uleb128 0x3
+ 15038 0619 02                 .byte   0x2
+ 15039 061a 0000               .2byte  .LM199
+ 15040 061c EE                 .byte   0xee
+ 15041 061d 00                 .byte   0x0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 272
+
+
+ 15042 061e 03                 .uleb128 0x3
+ 15043 061f 02                 .byte   0x2
+ 15044 0620 0000               .2byte  .LM200
+ 15045 0622 15                 .byte   0x15
+ 15046 0623 00                 .byte   0x0
+ 15047 0624 03                 .uleb128 0x3
+ 15048 0625 02                 .byte   0x2
+ 15049 0626 0000               .2byte  .LM201
+ 15050 0628 03                 .byte   0x3
+ 15051 0629 67                 .sleb128 -25
+ 15052 062a 01                 .byte   0x1
+ 15053 062b 00                 .byte   0x0
+ 15054 062c 03                 .uleb128 0x3
+ 15055 062d 02                 .byte   0x2
+ 15056 062e 0000               .2byte  .LM202
+ 15057 0630 03                 .byte   0x3
+ 15058 0631 B87E               .sleb128 -200
+ 15059 0633 01                 .byte   0x1
+ 15060 0634 00                 .byte   0x0
+ 15061 0635 03                 .uleb128 0x3
+ 15062 0636 02                 .byte   0x2
+ 15063 0637 0000               .2byte  .LM203
+ 15064 0639 16                 .byte   0x16
+ 15065 063a 00                 .byte   0x0
+ 15066 063b 03                 .uleb128 0x3
+ 15067 063c 02                 .byte   0x2
+ 15068 063d 0000               .2byte  .LM204
+ 15069 063f 15                 .byte   0x15
+ 15070 0640 00                 .byte   0x0
+ 15071 0641 03                 .uleb128 0x3
+ 15072 0642 02                 .byte   0x2
+ 15073 0643 0000               .2byte  .LM205
+ 15074 0645 13                 .byte   0x13
+ 15075 0646 00                 .byte   0x0
+ 15076 0647 03                 .uleb128 0x3
+ 15077 0648 02                 .byte   0x2
+ 15078 0649 0000               .2byte  .LM206
+ 15079 064b 17                 .byte   0x17
+ 15080 064c 00                 .byte   0x0
+ 15081 064d 03                 .uleb128 0x3
+ 15082 064e 02                 .byte   0x2
+ 15083 064f 0000               .2byte  .LM207
+ 15084 0651 10                 .byte   0x10
+ 15085 0652 00                 .byte   0x0
+ 15086 0653 03                 .uleb128 0x3
+ 15087 0654 02                 .byte   0x2
+ 15088 0655 0000               .2byte  .LM208
+ 15089 0657 19                 .byte   0x19
+ 15090 0658 00                 .byte   0x0
+ 15091 0659 03                 .uleb128 0x3
+ 15092 065a 02                 .byte   0x2
+ 15093 065b 0000               .2byte  .LM209
+ 15094 065d 0E                 .byte   0xe
+ 15095 065e 00                 .byte   0x0
+ 15096 065f 03                 .uleb128 0x3
+ 15097 0660 02                 .byte   0x2
+ 15098 0661 0000               .2byte  .LM210
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 273
+
+
+ 15099 0663 16                 .byte   0x16
+ 15100 0664 00                 .byte   0x0
+ 15101 0665 03                 .uleb128 0x3
+ 15102 0666 02                 .byte   0x2
+ 15103 0667 0000               .2byte  .LM211
+ 15104 0669 15                 .byte   0x15
+ 15105 066a 00                 .byte   0x0
+ 15106 066b 03                 .uleb128 0x3
+ 15107 066c 02                 .byte   0x2
+ 15108 066d 0000               .2byte  .LM212
+ 15109 066f 13                 .byte   0x13
+ 15110 0670 00                 .byte   0x0
+ 15111 0671 03                 .uleb128 0x3
+ 15112 0672 02                 .byte   0x2
+ 15113 0673 0000               .2byte  .LM213
+ 15114 0675 17                 .byte   0x17
+ 15115 0676 00                 .byte   0x0
+ 15116 0677 03                 .uleb128 0x3
+ 15117 0678 02                 .byte   0x2
+ 15118 0679 0000               .2byte  .LM214
+ 15119 067b 10                 .byte   0x10
+ 15120 067c 00                 .byte   0x0
+ 15121 067d 03                 .uleb128 0x3
+ 15122 067e 02                 .byte   0x2
+ 15123 067f 0000               .2byte  .LM215
+ 15124 0681 19                 .byte   0x19
+ 15125 0682 00                 .byte   0x0
+ 15126 0683 03                 .uleb128 0x3
+ 15127 0684 02                 .byte   0x2
+ 15128 0685 0000               .2byte  .LM216
+ 15129 0687 0E                 .byte   0xe
+ 15130 0688 00                 .byte   0x0
+ 15131 0689 03                 .uleb128 0x3
+ 15132 068a 02                 .byte   0x2
+ 15133 068b 0000               .2byte  .LM217
+ 15134 068d 16                 .byte   0x16
+ 15135 068e 00                 .byte   0x0
+ 15136 068f 03                 .uleb128 0x3
+ 15137 0690 02                 .byte   0x2
+ 15138 0691 0000               .2byte  .LM218
+ 15139 0693 15                 .byte   0x15
+ 15140 0694 00                 .byte   0x0
+ 15141 0695 03                 .uleb128 0x3
+ 15142 0696 02                 .byte   0x2
+ 15143 0697 0000               .2byte  .LM219
+ 15144 0699 13                 .byte   0x13
+ 15145 069a 00                 .byte   0x0
+ 15146 069b 03                 .uleb128 0x3
+ 15147 069c 02                 .byte   0x2
+ 15148 069d 0000               .2byte  .LM220
+ 15149 069f 17                 .byte   0x17
+ 15150 06a0 00                 .byte   0x0
+ 15151 06a1 03                 .uleb128 0x3
+ 15152 06a2 02                 .byte   0x2
+ 15153 06a3 0000               .2byte  .LM221
+ 15154 06a5 10                 .byte   0x10
+ 15155 06a6 00                 .byte   0x0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 274
+
+
+ 15156 06a7 03                 .uleb128 0x3
+ 15157 06a8 02                 .byte   0x2
+ 15158 06a9 0000               .2byte  .LM222
+ 15159 06ab 19                 .byte   0x19
+ 15160 06ac 00                 .byte   0x0
+ 15161 06ad 03                 .uleb128 0x3
+ 15162 06ae 02                 .byte   0x2
+ 15163 06af 0000               .2byte  .LM223
+ 15164 06b1 DA                 .byte   0xda
+ 15165 06b2 00                 .byte   0x0
+ 15166 06b3 03                 .uleb128 0x3
+ 15167 06b4 02                 .byte   0x2
+ 15168 06b5 0000               .2byte  .LM224
+ 15169 06b7 18                 .byte   0x18
+ 15170 06b8 00                 .byte   0x0
+ 15171 06b9 03                 .uleb128 0x3
+ 15172 06ba 02                 .byte   0x2
+ 15173 06bb 0000               .2byte  .LM225
+ 15174 06bd 16                 .byte   0x16
+ 15175 06be 00                 .byte   0x0
+ 15176 06bf 03                 .uleb128 0x3
+ 15177 06c0 02                 .byte   0x2
+ 15178 06c1 0000               .2byte  .LM226
+ 15179 06c3 26                 .byte   0x26
+ 15180 06c4 00                 .byte   0x0
+ 15181 06c5 03                 .uleb128 0x3
+ 15182 06c6 02                 .byte   0x2
+ 15183 06c7 0000               .2byte  .LM227
+ 15184 06c9 17                 .byte   0x17
+ 15185 06ca 00                 .byte   0x0
+ 15186 06cb 03                 .uleb128 0x3
+ 15187 06cc 02                 .byte   0x2
+ 15188 06cd 0000               .2byte  .LM228
+ 15189 06cf 18                 .byte   0x18
+ 15190 06d0 00                 .byte   0x0
+ 15191 06d1 03                 .uleb128 0x3
+ 15192 06d2 02                 .byte   0x2
+ 15193 06d3 0000               .2byte  .LM229
+ 15194 06d5 1D                 .byte   0x1d
+ 15195 06d6 00                 .byte   0x0
+ 15196 06d7 03                 .uleb128 0x3
+ 15197 06d8 02                 .byte   0x2
+ 15198 06d9 0000               .2byte  .LM230
+ 15199 06db 03                 .byte   0x3
+ 15200 06dc BC7F               .sleb128 -68
+ 15201 06de 01                 .byte   0x1
+ 15202 06df 00                 .byte   0x0
+ 15203 06e0 03                 .uleb128 0x3
+ 15204 06e1 02                 .byte   0x2
+ 15205 06e2 0000               .2byte  .LM231
+ 15206 06e4 15                 .byte   0x15
+ 15207 06e5 00                 .byte   0x0
+ 15208 06e6 03                 .uleb128 0x3
+ 15209 06e7 02                 .byte   0x2
+ 15210 06e8 0000               .2byte  .LM232
+ 15211 06ea 4E                 .byte   0x4e
+ 15212 06eb 00                 .byte   0x0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 275
+
+
+ 15213 06ec 03                 .uleb128 0x3
+ 15214 06ed 02                 .byte   0x2
+ 15215 06ee 0000               .2byte  .LM233
+ 15216 06f0 03                 .byte   0x3
+ 15217 06f1 45                 .sleb128 -59
+ 15218 06f2 01                 .byte   0x1
+ 15219 06f3 00                 .byte   0x0
+ 15220 06f4 03                 .uleb128 0x3
+ 15221 06f5 02                 .byte   0x2
+ 15222 06f6 0000               .2byte  .LM234
+ 15223 06f8 15                 .byte   0x15
+ 15224 06f9 00                 .byte   0x0
+ 15225 06fa 03                 .uleb128 0x3
+ 15226 06fb 02                 .byte   0x2
+ 15227 06fc 0000               .2byte  .LM235
+ 15228 06fe 4E                 .byte   0x4e
+ 15229 06ff 00                 .byte   0x0
+ 15230 0700 03                 .uleb128 0x3
+ 15231 0701 02                 .byte   0x2
+ 15232 0702 0000               .2byte  .LM236
+ 15233 0704 15                 .byte   0x15
+ 15234 0705 00                 .byte   0x0
+ 15235 0706 03                 .uleb128 0x3
+ 15236 0707 02                 .byte   0x2
+ 15237 0708 0000               .2byte  .LM237
+ 15238 070a 15                 .byte   0x15
+ 15239 070b 00                 .byte   0x0
+ 15240 070c 03                 .uleb128 0x3
+ 15241 070d 02                 .byte   0x2
+ 15242 070e 0000               .2byte  .LM238
+ 15243 0710 1B                 .byte   0x1b
+ 15244 0711 00                 .byte   0x0
+ 15245 0712 03                 .uleb128 0x3
+ 15246 0713 02                 .byte   0x2
+ 15247 0714 0000               .2byte  .LM239
+ 15248 0716 0F                 .byte   0xf
+ 15249 0717 00                 .byte   0x0
+ 15250 0718 03                 .uleb128 0x3
+ 15251 0719 02                 .byte   0x2
+ 15252 071a 0000               .2byte  .LM240
+ 15253 071c 1A                 .byte   0x1a
+ 15254 071d 00                 .byte   0x0
+ 15255 071e 03                 .uleb128 0x3
+ 15256 071f 02                 .byte   0x2
+ 15257 0720 0000               .2byte  .LM241
+ 15258 0722 03                 .byte   0x3
+ 15259 0723 BB7F               .sleb128 -69
+ 15260 0725 01                 .byte   0x1
+ 15261 0726 00                 .byte   0x0
+ 15262 0727 03                 .uleb128 0x3
+ 15263 0728 02                 .byte   0x2
+ 15264 0729 0000               .2byte  .LM242
+ 15265 072b 15                 .byte   0x15
+ 15266 072c 00                 .byte   0x0
+ 15267 072d 03                 .uleb128 0x3
+ 15268 072e 02                 .byte   0x2
+ 15269 072f 0000               .2byte  .LM243
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 276
+
+
+ 15270 0731 57                 .byte   0x57
+ 15271 0732 00                 .byte   0x0
+ 15272 0733 03                 .uleb128 0x3
+ 15273 0734 02                 .byte   0x2
+ 15274 0735 0000               .2byte  .LM244
+ 15275 0737 19                 .byte   0x19
+ 15276 0738 00                 .byte   0x0
+ 15277 0739 03                 .uleb128 0x3
+ 15278 073a 02                 .byte   0x2
+ 15279 073b 0000               .2byte  .LM245
+ 15280 073d 03                 .byte   0x3
+ 15281 073e 6F                 .sleb128 -17
+ 15282 073f 01                 .byte   0x1
+ 15283 0740 00                 .byte   0x0
+ 15284 0741 03                 .uleb128 0x3
+ 15285 0742 02                 .byte   0x2
+ 15286 0743 0000               .2byte  .LM246
+ 15287 0745 2B                 .byte   0x2b
+ 15288 0746 00                 .byte   0x0
+ 15289 0747 03                 .uleb128 0x3
+ 15290 0748 02                 .byte   0x2
+ 15291 0749 0000               .2byte  .LM247
+ 15292 074b 10                 .byte   0x10
+ 15293 074c 00                 .byte   0x0
+ 15294 074d 03                 .uleb128 0x3
+ 15295 074e 02                 .byte   0x2
+ 15296 074f 0000               .2byte  .LM248
+ 15297 0751 15                 .byte   0x15
+ 15298 0752 00                 .byte   0x0
+ 15299 0753 03                 .uleb128 0x3
+ 15300 0754 02                 .byte   0x2
+ 15301 0755 0000               .2byte  .LM249
+ 15302 0757 03                 .byte   0x3
+ 15303 0758 B47F               .sleb128 -76
+ 15304 075a 01                 .byte   0x1
+ 15305 075b 00                 .byte   0x0
+ 15306 075c 03                 .uleb128 0x3
+ 15307 075d 02                 .byte   0x2
+ 15308 075e 0000               .2byte  .LM250
+ 15309 0760 15                 .byte   0x15
+ 15310 0761 00                 .byte   0x0
+ 15311 0762 03                 .uleb128 0x3
+ 15312 0763 02                 .byte   0x2
+ 15313 0764 0000               .2byte  .LM251
+ 15314 0766 57                 .byte   0x57
+ 15315 0767 00                 .byte   0x0
+ 15316 0768 03                 .uleb128 0x3
+ 15317 0769 02                 .byte   0x2
+ 15318 076a 0000               .2byte  .LM252
+ 15319 076c 03                 .byte   0x3
+ 15320 076d BD7F               .sleb128 -67
+ 15321 076f 01                 .byte   0x1
+ 15322 0770 00                 .byte   0x0
+ 15323 0771 03                 .uleb128 0x3
+ 15324 0772 02                 .byte   0x2
+ 15325 0773 0000               .2byte  .LM253
+ 15326 0775 52                 .byte   0x52
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 277
+
+
+ 15327 0776 00                 .byte   0x0
+ 15328 0777 03                 .uleb128 0x3
+ 15329 0778 02                 .byte   0x2
+ 15330 0779 0000               .2byte  .LM254
+ 15331 077b 15                 .byte   0x15
+ 15332 077c 00                 .byte   0x0
+ 15333 077d 03                 .uleb128 0x3
+ 15334 077e 02                 .byte   0x2
+ 15335 077f 0000               .2byte  .LM255
+ 15336 0781 03                 .byte   0x3
+ 15337 0782 40                 .sleb128 -64
+ 15338 0783 01                 .byte   0x1
+ 15339 0784 00                 .byte   0x0
+ 15340 0785 03                 .uleb128 0x3
+ 15341 0786 02                 .byte   0x2
+ 15342 0787 0000               .2byte  .LM256
+ 15343 0789 15                 .byte   0x15
+ 15344 078a 00                 .byte   0x0
+ 15345 078b 03                 .uleb128 0x3
+ 15346 078c 02                 .byte   0x2
+ 15347 078d 0000               .2byte  .LM257
+ 15348 078f 52                 .byte   0x52
+ 15349 0790 00                 .byte   0x0
+ 15350 0791 03                 .uleb128 0x3
+ 15351 0792 02                 .byte   0x2
+ 15352 0793 0000               .2byte  .LM258
+ 15353 0795 24                 .byte   0x24
+ 15354 0796 00                 .byte   0x0
+ 15355 0797 03                 .uleb128 0x3
+ 15356 0798 02                 .byte   0x2
+ 15357 0799 0000               .2byte  .LM259
+ 15358 079b 16                 .byte   0x16
+ 15359 079c 00                 .byte   0x0
+ 15360 079d 03                 .uleb128 0x3
+ 15361 079e 02                 .byte   0x2
+ 15362 079f 0000               .2byte  .LM260
+ 15363 07a1 15                 .byte   0x15
+ 15364 07a2 00                 .byte   0x0
+ 15365 07a3 03                 .uleb128 0x3
+ 15366 07a4 02                 .byte   0x2
+ 15367 07a5 0000               .2byte  .LM261
+ 15368 07a7 03                 .byte   0x3
+ 15369 07a8 FE7D               .sleb128 -258
+ 15370 07aa 01                 .byte   0x1
+ 15371 07ab 00                 .byte   0x0
+ 15372 07ac 03                 .uleb128 0x3
+ 15373 07ad 02                 .byte   0x2
+ 15374 07ae 0000               .2byte  .LM262
+ 15375 07b0 16                 .byte   0x16
+ 15376 07b1 00                 .byte   0x0
+ 15377 07b2 03                 .uleb128 0x3
+ 15378 07b3 02                 .byte   0x2
+ 15379 07b4 0000               .2byte  .LM263
+ 15380 07b6 15                 .byte   0x15
+ 15381 07b7 00                 .byte   0x0
+ 15382 07b8 03                 .uleb128 0x3
+ 15383 07b9 02                 .byte   0x2
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 278
+
+
+ 15384 07ba 0000               .2byte  .LM264
+ 15385 07bc 13                 .byte   0x13
+ 15386 07bd 00                 .byte   0x0
+ 15387 07be 03                 .uleb128 0x3
+ 15388 07bf 02                 .byte   0x2
+ 15389 07c0 0000               .2byte  .LM265
+ 15390 07c2 17                 .byte   0x17
+ 15391 07c3 00                 .byte   0x0
+ 15392 07c4 03                 .uleb128 0x3
+ 15393 07c5 02                 .byte   0x2
+ 15394 07c6 0000               .2byte  .LM266
+ 15395 07c8 10                 .byte   0x10
+ 15396 07c9 00                 .byte   0x0
+ 15397 07ca 03                 .uleb128 0x3
+ 15398 07cb 02                 .byte   0x2
+ 15399 07cc 0000               .2byte  .LM267
+ 15400 07ce 19                 .byte   0x19
+ 15401 07cf 00                 .byte   0x0
+ 15402 07d0 03                 .uleb128 0x3
+ 15403 07d1 02                 .byte   0x2
+ 15404 07d2 0000               .2byte  .LM268
+ 15405 07d4 03                 .byte   0x3
+ 15406 07d5 FE01               .sleb128 254
+ 15407 07d7 01                 .byte   0x1
+ 15408 07d8 00                 .byte   0x0
+ 15409 07d9 03                 .uleb128 0x3
+ 15410 07da 02                 .byte   0x2
+ 15411 07db 0000               .2byte  .LM269
+ 15412 07dd 12                 .byte   0x12
+ 15413 07de 00                 .byte   0x0
+ 15414 07df 03                 .uleb128 0x3
+ 15415 07e0 02                 .byte   0x2
+ 15416 07e1 0000               .2byte  .LM270
+ 15417 07e3 18                 .byte   0x18
+ 15418 07e4 00                 .byte   0x0
+ 15419 07e5 03                 .uleb128 0x3
+ 15420 07e6 02                 .byte   0x2
+ 15421 07e7 0000               .2byte  .LM271
+ 15422 07e9 17                 .byte   0x17
+ 15423 07ea 00                 .byte   0x0
+ 15424 07eb 03                 .uleb128 0x3
+ 15425 07ec 02                 .byte   0x2
+ 15426 07ed 0000               .2byte  .LM272
+ 15427 07ef 17                 .byte   0x17
+ 15428 07f0 00                 .byte   0x0
+ 15429 07f1 03                 .uleb128 0x3
+ 15430 07f2 02                 .byte   0x2
+ 15431 07f3 0000               .2byte  .LM273
+ 15432 07f5 19                 .byte   0x19
+ 15433 07f6 00                 .byte   0x0
+ 15434 07f7 03                 .uleb128 0x3
+ 15435 07f8 02                 .byte   0x2
+ 15436 07f9 0000               .2byte  .LM274
+ 15437 07fb 0F                 .byte   0xf
+ 15438 07fc 00                 .byte   0x0
+ 15439 07fd 03                 .uleb128 0x3
+ 15440 07fe 02                 .byte   0x2
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 279
+
+
+ 15441 07ff 0000               .2byte  .LM275
+ 15442 0801 15                 .byte   0x15
+ 15443 0802 00                 .byte   0x0
+ 15444 0803 03                 .uleb128 0x3
+ 15445 0804 02                 .byte   0x2
+ 15446 0805 0000               .2byte  .LM276
+ 15447 0807 17                 .byte   0x17
+ 15448 0808 00                 .byte   0x0
+ 15449 0809 03                 .uleb128 0x3
+ 15450 080a 02                 .byte   0x2
+ 15451 080b 0000               .2byte  .LM277
+ 15452 080d 10                 .byte   0x10
+ 15453 080e 00                 .byte   0x0
+ 15454 080f 03                 .uleb128 0x3
+ 15455 0810 02                 .byte   0x2
+ 15456 0811 0000               .2byte  .LM278
+ 15457 0813 1C                 .byte   0x1c
+ 15458 0814 00                 .byte   0x0
+ 15459 0815 03                 .uleb128 0x3
+ 15460 0816 02                 .byte   0x2
+ 15461 0817 0000               .2byte  .LM279
+ 15462 0819 0C                 .byte   0xc
+ 15463 081a 00                 .byte   0x0
+ 15464 081b 03                 .uleb128 0x3
+ 15465 081c 02                 .byte   0x2
+ 15466 081d 0000               .2byte  .LM280
+ 15467 081f 1E                 .byte   0x1e
+ 15468 0820 00                 .byte   0x0
+ 15469 0821 03                 .uleb128 0x3
+ 15470 0822 02                 .byte   0x2
+ 15471 0823 0000               .2byte  .LM281
+ 15472 0825 01                 .byte   0x1
+ 15473 0826 00                 .byte   0x0
+ 15474 0827 03                 .uleb128 0x3
+ 15475 0828 02                 .byte   0x2
+ 15476 0829 0000               .2byte  .LM282
+ 15477 082b 03                 .byte   0x3
+ 15478 082c EA7D               .sleb128 -278
+ 15479 082e 01                 .byte   0x1
+ 15480 082f 00                 .byte   0x0
+ 15481 0830 03                 .uleb128 0x3
+ 15482 0831 02                 .byte   0x2
+ 15483 0832 0000               .2byte  .LM283
+ 15484 0834 16                 .byte   0x16
+ 15485 0835 00                 .byte   0x0
+ 15486 0836 03                 .uleb128 0x3
+ 15487 0837 02                 .byte   0x2
+ 15488 0838 0000               .2byte  .LM284
+ 15489 083a 15                 .byte   0x15
+ 15490 083b 00                 .byte   0x0
+ 15491 083c 03                 .uleb128 0x3
+ 15492 083d 02                 .byte   0x2
+ 15493 083e 0000               .2byte  .LM285
+ 15494 0840 13                 .byte   0x13
+ 15495 0841 00                 .byte   0x0
+ 15496 0842 03                 .uleb128 0x3
+ 15497 0843 02                 .byte   0x2
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 280
+
+
+ 15498 0844 0000               .2byte  .LM286
+ 15499 0846 17                 .byte   0x17
+ 15500 0847 00                 .byte   0x0
+ 15501 0848 03                 .uleb128 0x3
+ 15502 0849 02                 .byte   0x2
+ 15503 084a 0000               .2byte  .LM287
+ 15504 084c 10                 .byte   0x10
+ 15505 084d 00                 .byte   0x0
+ 15506 084e 03                 .uleb128 0x3
+ 15507 084f 02                 .byte   0x2
+ 15508 0850 0000               .2byte  .LM288
+ 15509 0852 19                 .byte   0x19
+ 15510 0853 00                 .byte   0x0
+ 15511 0854 03                 .uleb128 0x3
+ 15512 0855 02                 .byte   0x2
+ 15513 0856 0000               .2byte  .LM289
+ 15514 0858 03                 .byte   0x3
+ 15515 0859 FE01               .sleb128 254
+ 15516 085b 01                 .byte   0x1
+ 15517 085c 00                 .byte   0x0
+ 15518 085d 03                 .uleb128 0x3
+ 15519 085e 02                 .byte   0x2
+ 15520 085f 0000               .2byte  .LM290
+ 15521 0861 12                 .byte   0x12
+ 15522 0862 00                 .byte   0x0
+ 15523 0863 03                 .uleb128 0x3
+ 15524 0864 02                 .byte   0x2
+ 15525 0865 0000               .2byte  .LM291
+ 15526 0867 03                 .byte   0x3
+ 15527 0868 FE7D               .sleb128 -258
+ 15528 086a 01                 .byte   0x1
+ 15529 086b 00                 .byte   0x0
+ 15530 086c 03                 .uleb128 0x3
+ 15531 086d 02                 .byte   0x2
+ 15532 086e 0000               .2byte  .LM292
+ 15533 0870 16                 .byte   0x16
+ 15534 0871 00                 .byte   0x0
+ 15535 0872 03                 .uleb128 0x3
+ 15536 0873 02                 .byte   0x2
+ 15537 0874 0000               .2byte  .LM293
+ 15538 0876 15                 .byte   0x15
+ 15539 0877 00                 .byte   0x0
+ 15540 0878 03                 .uleb128 0x3
+ 15541 0879 02                 .byte   0x2
+ 15542 087a 0000               .2byte  .LM294
+ 15543 087c 13                 .byte   0x13
+ 15544 087d 00                 .byte   0x0
+ 15545 087e 03                 .uleb128 0x3
+ 15546 087f 02                 .byte   0x2
+ 15547 0880 0000               .2byte  .LM295
+ 15548 0882 17                 .byte   0x17
+ 15549 0883 00                 .byte   0x0
+ 15550 0884 03                 .uleb128 0x3
+ 15551 0885 02                 .byte   0x2
+ 15552 0886 0000               .2byte  .LM296
+ 15553 0888 10                 .byte   0x10
+ 15554 0889 00                 .byte   0x0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 281
+
+
+ 15555 088a 03                 .uleb128 0x3
+ 15556 088b 02                 .byte   0x2
+ 15557 088c 0000               .2byte  .LM297
+ 15558 088e 19                 .byte   0x19
+ 15559 088f 00                 .byte   0x0
+ 15560 0890 03                 .uleb128 0x3
+ 15561 0891 02                 .byte   0x2
+ 15562 0892 0000               .2byte  .LM298
+ 15563 0894 03                 .byte   0x3
+ 15564 0895 FE01               .sleb128 254
+ 15565 0897 01                 .byte   0x1
+ 15566 0898 00                 .byte   0x0
+ 15567 0899 03                 .uleb128 0x3
+ 15568 089a 02                 .byte   0x2
+ 15569 089b 0000               .2byte  .LM299
+ 15570 089d 12                 .byte   0x12
+ 15571 089e 00                 .byte   0x0
+ 15572 089f 03                 .uleb128 0x3
+ 15573 08a0 02                 .byte   0x2
+ 15574 08a1 0000               .2byte  .LM300
+ 15575 08a3 03                 .byte   0x3
+ 15576 08a4 FE7D               .sleb128 -258
+ 15577 08a6 01                 .byte   0x1
+ 15578 08a7 00                 .byte   0x0
+ 15579 08a8 03                 .uleb128 0x3
+ 15580 08a9 02                 .byte   0x2
+ 15581 08aa 0000               .2byte  .LM301
+ 15582 08ac 16                 .byte   0x16
+ 15583 08ad 00                 .byte   0x0
+ 15584 08ae 03                 .uleb128 0x3
+ 15585 08af 02                 .byte   0x2
+ 15586 08b0 0000               .2byte  .LM302
+ 15587 08b2 15                 .byte   0x15
+ 15588 08b3 00                 .byte   0x0
+ 15589 08b4 03                 .uleb128 0x3
+ 15590 08b5 02                 .byte   0x2
+ 15591 08b6 0000               .2byte  .LM303
+ 15592 08b8 13                 .byte   0x13
+ 15593 08b9 00                 .byte   0x0
+ 15594 08ba 03                 .uleb128 0x3
+ 15595 08bb 02                 .byte   0x2
+ 15596 08bc 0000               .2byte  .LM304
+ 15597 08be 17                 .byte   0x17
+ 15598 08bf 00                 .byte   0x0
+ 15599 08c0 03                 .uleb128 0x3
+ 15600 08c1 02                 .byte   0x2
+ 15601 08c2 0000               .2byte  .LM305
+ 15602 08c4 10                 .byte   0x10
+ 15603 08c5 00                 .byte   0x0
+ 15604 08c6 03                 .uleb128 0x3
+ 15605 08c7 02                 .byte   0x2
+ 15606 08c8 0000               .2byte  .LM306
+ 15607 08ca 19                 .byte   0x19
+ 15608 08cb 00                 .byte   0x0
+ 15609 08cc 03                 .uleb128 0x3
+ 15610 08cd 02                 .byte   0x2
+ 15611 08ce 0000               .2byte  .LM307
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 282
+
+
+ 15612 08d0 03                 .byte   0x3
+ 15613 08d1 FE01               .sleb128 254
+ 15614 08d3 01                 .byte   0x1
+ 15615 08d4 00                 .byte   0x0
+ 15616 08d5 03                 .uleb128 0x3
+ 15617 08d6 02                 .byte   0x2
+ 15618 08d7 0000               .2byte  .LM308
+ 15619 08d9 12                 .byte   0x12
+ 15620 08da 00                 .byte   0x0
+ 15621 08db 03                 .uleb128 0x3
+ 15622 08dc 02                 .byte   0x2
+ 15623 08dd 0000               .2byte  .LM309
+ 15624 08df 2C                 .byte   0x2c
+ 15625 08e0 00                 .byte   0x0
+ 15626 08e1 03                 .uleb128 0x3
+ 15627 08e2 02                 .byte   0x2
+ 15628 08e3 0000               .2byte  .LM310
+ 15629 08e5 16                 .byte   0x16
+ 15630 08e6 00                 .byte   0x0
+ 15631 08e7 03                 .uleb128 0x3
+ 15632 08e8 02                 .byte   0x2
+ 15633 08e9 0000               .2byte  .LM311
+ 15634 08eb 17                 .byte   0x17
+ 15635 08ec 00                 .byte   0x0
+ 15636 08ed 03                 .uleb128 0x3
+ 15637 08ee 02                 .byte   0x2
+ 15638 08ef 0000               .2byte  .LM312
+ 15639 08f1 16                 .byte   0x16
+ 15640 08f2 00                 .byte   0x0
+ 15641 08f3 03                 .uleb128 0x3
+ 15642 08f4 02                 .byte   0x2
+ 15643 08f5 0000               .2byte  .LM313
+ 15644 08f7 13                 .byte   0x13
+ 15645 08f8 00                 .byte   0x0
+ 15646 08f9 03                 .uleb128 0x3
+ 15647 08fa 02                 .byte   0x2
+ 15648 08fb 0000               .2byte  .LM314
+ 15649 08fd 19                 .byte   0x19
+ 15650 08fe 00                 .byte   0x0
+ 15651 08ff 03                 .uleb128 0x3
+ 15652 0900 02                 .byte   0x2
+ 15653 0901 0000               .2byte  .LM315
+ 15654 0903 16                 .byte   0x16
+ 15655 0904 00                 .byte   0x0
+ 15656 0905 03                 .uleb128 0x3
+ 15657 0906 02                 .byte   0x2
+ 15658 0907 0000               .2byte  .LM316
+ 15659 0909 01                 .byte   0x1
+ 15660 090a 00                 .byte   0x0
+ 15661 090b 03                 .uleb128 0x3
+ 15662 090c 02                 .byte   0x2
+ 15663 090d 0000               .2byte  .LM317
+ 15664 090f 17                 .byte   0x17
+ 15665 0910 00                 .byte   0x0
+ 15666 0911 03                 .uleb128 0x3
+ 15667 0912 02                 .byte   0x2
+ 15668 0913 0000               .2byte  .LM318
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 283
+
+
+ 15669 0915 13                 .byte   0x13
+ 15670 0916 00                 .byte   0x0
+ 15671 0917 03                 .uleb128 0x3
+ 15672 0918 02                 .byte   0x2
+ 15673 0919 0000               .2byte  .LM319
+ 15674 091b 17                 .byte   0x17
+ 15675 091c 00                 .byte   0x0
+ 15676 091d 03                 .uleb128 0x3
+ 15677 091e 02                 .byte   0x2
+ 15678 091f 0000               .2byte  .LM320
+ 15679 0921 15                 .byte   0x15
+ 15680 0922 00                 .byte   0x0
+ 15681 0923 03                 .uleb128 0x3
+ 15682 0924 02                 .byte   0x2
+ 15683 0925 0000               .2byte  .LM321
+ 15684 0927 16                 .byte   0x16
+ 15685 0928 00                 .byte   0x0
+ 15686 0929 03                 .uleb128 0x3
+ 15687 092a 02                 .byte   0x2
+ 15688 092b 0000               .2byte  .LM322
+ 15689 092d 16                 .byte   0x16
+ 15690 092e 00                 .byte   0x0
+ 15691 092f 03                 .uleb128 0x3
+ 15692 0930 02                 .byte   0x2
+ 15693 0931 0000               .2byte  .LM323
+ 15694 0933 15                 .byte   0x15
+ 15695 0934 00                 .byte   0x0
+ 15696 0935 03                 .uleb128 0x3
+ 15697 0936 02                 .byte   0x2
+ 15698 0937 0000               .2byte  .LM324
+ 15699 0939 16                 .byte   0x16
+ 15700 093a 00                 .byte   0x0
+ 15701 093b 03                 .uleb128 0x3
+ 15702 093c 02                 .byte   0x2
+ 15703 093d 0000               .2byte  .LM325
+ 15704 093f 16                 .byte   0x16
+ 15705 0940 00                 .byte   0x0
+ 15706 0941 03                 .uleb128 0x3
+ 15707 0942 02                 .byte   0x2
+ 15708 0943 0000               .2byte  .LM326
+ 15709 0945 15                 .byte   0x15
+ 15710 0946 00                 .byte   0x0
+ 15711 0947 03                 .uleb128 0x3
+ 15712 0948 02                 .byte   0x2
+ 15713 0949 0000               .2byte  .LM327
+ 15714 094b 15                 .byte   0x15
+ 15715 094c 00                 .byte   0x0
+ 15716 094d 03                 .uleb128 0x3
+ 15717 094e 02                 .byte   0x2
+ 15718 094f 0000               .2byte  .LM328
+ 15719 0951 15                 .byte   0x15
+ 15720 0952 00                 .byte   0x0
+ 15721 0953 03                 .uleb128 0x3
+ 15722 0954 02                 .byte   0x2
+ 15723 0955 0000               .2byte  .LM329
+ 15724 0957 16                 .byte   0x16
+ 15725 0958 00                 .byte   0x0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 284
+
+
+ 15726 0959 03                 .uleb128 0x3
+ 15727 095a 02                 .byte   0x2
+ 15728 095b 0000               .2byte  .LM330
+ 15729 095d 1A                 .byte   0x1a
+ 15730 095e 00                 .byte   0x0
+ 15731 095f 03                 .uleb128 0x3
+ 15732 0960 02                 .byte   0x2
+ 15733 0961 0000               .2byte  .LM331
+ 15734 0963 27                 .byte   0x27
+ 15735 0964 00                 .byte   0x0
+ 15736 0965 03                 .uleb128 0x3
+ 15737 0966 02                 .byte   0x2
+ 15738 0967 0000               .2byte  .LM332
+ 15739 0969 03                 .byte   0x3
+ 15740 096a 6E                 .sleb128 -18
+ 15741 096b 01                 .byte   0x1
+ 15742 096c 00                 .byte   0x0
+ 15743 096d 03                 .uleb128 0x3
+ 15744 096e 02                 .byte   0x2
+ 15745 096f 0000               .2byte  .LM333
+ 15746 0971 16                 .byte   0x16
+ 15747 0972 00                 .byte   0x0
+ 15748 0973 03                 .uleb128 0x3
+ 15749 0974 02                 .byte   0x2
+ 15750 0975 0000               .2byte  .LM334
+ 15751 0977 1A                 .byte   0x1a
+ 15752 0978 00                 .byte   0x0
+ 15753 0979 03                 .uleb128 0x3
+ 15754 097a 02                 .byte   0x2
+ 15755 097b 0000               .2byte  .LM335
+ 15756 097d 18                 .byte   0x18
+ 15757 097e 00                 .byte   0x0
+ 15758 097f 03                 .uleb128 0x3
+ 15759 0980 02                 .byte   0x2
+ 15760 0981 0000               .2byte  .LM336
+ 15761 0983 11                 .byte   0x11
+ 15762 0984 00                 .byte   0x0
+ 15763 0985 03                 .uleb128 0x3
+ 15764 0986 02                 .byte   0x2
+ 15765 0987 0000               .2byte  .LM337
+ 15766 0989 17                 .byte   0x17
+ 15767 098a 00                 .byte   0x0
+ 15768 098b 03                 .uleb128 0x3
+ 15769 098c 02                 .byte   0x2
+ 15770 098d 0000               .2byte  .LM338
+ 15771 098f 15                 .byte   0x15
+ 15772 0990 00                 .byte   0x0
+ 15773 0991 03                 .uleb128 0x3
+ 15774 0992 02                 .byte   0x2
+ 15775 0993 0000               .2byte  .LM339
+ 15776 0995 27                 .byte   0x27
+ 15777 0996 00                 .byte   0x0
+ 15778 0997 03                 .uleb128 0x3
+ 15779 0998 02                 .byte   0x2
+ 15780 0999 0000               .2byte  .LM340
+ 15781 099b 03                 .byte   0x3
+ 15782 099c 5F                 .sleb128 -33
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 285
+
+
+ 15783 099d 01                 .byte   0x1
+ 15784 099e 00                 .byte   0x0
+ 15785 099f 03                 .uleb128 0x3
+ 15786 09a0 02                 .byte   0x2
+ 15787 09a1 0000               .2byte  .LM341
+ 15788 09a3 39                 .byte   0x39
+ 15789 09a4 00                 .byte   0x0
+ 15790 09a5 03                 .uleb128 0x3
+ 15791 09a6 02                 .byte   0x2
+ 15792 09a7 0000               .2byte  .LM342
+ 15793 09a9 03                 .byte   0x3
+ 15794 09aa 6E                 .sleb128 -18
+ 15795 09ab 01                 .byte   0x1
+ 15796 09ac 00                 .byte   0x0
+ 15797 09ad 03                 .uleb128 0x3
+ 15798 09ae 02                 .byte   0x2
+ 15799 09af 0000               .2byte  .LM343
+ 15800 09b1 1D                 .byte   0x1d
+ 15801 09b2 00                 .byte   0x0
+ 15802 09b3 03                 .uleb128 0x3
+ 15803 09b4 02                 .byte   0x2
+ 15804 09b5 0000               .2byte  .LM344
+ 15805 09b7 15                 .byte   0x15
+ 15806 09b8 00                 .byte   0x0
+ 15807 09b9 03                 .uleb128 0x3
+ 15808 09ba 02                 .byte   0x2
+ 15809 09bb 0000               .2byte  .LM345
+ 15810 09bd 0D                 .byte   0xd
+ 15811 09be 00                 .byte   0x0
+ 15812 09bf 03                 .uleb128 0x3
+ 15813 09c0 02                 .byte   0x2
+ 15814 09c1 0000               .2byte  .LM346
+ 15815 09c3 15                 .byte   0x15
+ 15816 09c4 00                 .byte   0x0
+ 15817 09c5 03                 .uleb128 0x3
+ 15818 09c6 02                 .byte   0x2
+ 15819 09c7 0000               .2byte  .LM347
+ 15820 09c9 13                 .byte   0x13
+ 15821 09ca 00                 .byte   0x0
+ 15822 09cb 03                 .uleb128 0x3
+ 15823 09cc 02                 .byte   0x2
+ 15824 09cd 0000               .2byte  .LM348
+ 15825 09cf 1B                 .byte   0x1b
+ 15826 09d0 00                 .byte   0x0
+ 15827 09d1 03                 .uleb128 0x3
+ 15828 09d2 02                 .byte   0x2
+ 15829 09d3 0000               .2byte  .LM349
+ 15830 09d5 03                 .byte   0x3
+ 15831 09d6 69                 .sleb128 -23
+ 15832 09d7 01                 .byte   0x1
+ 15833 09d8 00                 .byte   0x0
+ 15834 09d9 03                 .uleb128 0x3
+ 15835 09da 02                 .byte   0x2
+ 15836 09db 0000               .2byte  .LM350
+ 15837 09dd 15                 .byte   0x15
+ 15838 09de 00                 .byte   0x0
+ 15839 09df 03                 .uleb128 0x3
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 286
+
+
+ 15840 09e0 02                 .byte   0x2
+ 15841 09e1 0000               .2byte  .LM351
+ 15842 09e3 2A                 .byte   0x2a
+ 15843 09e4 00                 .byte   0x0
+ 15844 09e5 03                 .uleb128 0x3
+ 15845 09e6 02                 .byte   0x2
+ 15846 09e7 0000               .2byte  .LM352
+ 15847 09e9 03                 .byte   0x3
+ 15848 09ea 6D                 .sleb128 -19
+ 15849 09eb 01                 .byte   0x1
+ 15850 09ec 00                 .byte   0x0
+ 15851 09ed 03                 .uleb128 0x3
+ 15852 09ee 02                 .byte   0x2
+ 15853 09ef 0000               .2byte  .LM353
+ 15854 09f1 0A                 .byte   0xa
+ 15855 09f2 00                 .byte   0x0
+ 15856 09f3 03                 .uleb128 0x3
+ 15857 09f4 02                 .byte   0x2
+ 15858 09f5 0000               .2byte  .LM354
+ 15859 09f7 3B                 .byte   0x3b
+ 15860 09f8 00                 .byte   0x0
+ 15861 09f9 03                 .uleb128 0x3
+ 15862 09fa 02                 .byte   0x2
+ 15863 09fb 0000               .2byte  .LM355
+ 15864 09fd 17                 .byte   0x17
+ 15865 09fe 00                 .byte   0x0
+ 15866 09ff 03                 .uleb128 0x3
+ 15867 0a00 02                 .byte   0x2
+ 15868 0a01 0000               .2byte  .LM356
+ 15869 0a03 16                 .byte   0x16
+ 15870 0a04 00                 .byte   0x0
+ 15871 0a05 03                 .uleb128 0x3
+ 15872 0a06 02                 .byte   0x2
+ 15873 0a07 0000               .2byte  .LM357
+ 15874 0a09 0F                 .byte   0xf
+ 15875 0a0a 00                 .byte   0x0
+ 15876 0a0b 03                 .uleb128 0x3
+ 15877 0a0c 02                 .byte   0x2
+ 15878 0a0d 0000               .2byte  .LM358
+ 15879 0a0f 03                 .byte   0x3
+ 15880 0a10 42                 .sleb128 -62
+ 15881 0a11 01                 .byte   0x1
+ 15882 0a12 00                 .byte   0x0
+ 15883 0a13 03                 .uleb128 0x3
+ 15884 0a14 02                 .byte   0x2
+ 15885 0a15 0000               .2byte  .LM359
+ 15886 0a17 13                 .byte   0x13
+ 15887 0a18 00                 .byte   0x0
+ 15888 0a19 03                 .uleb128 0x3
+ 15889 0a1a 02                 .byte   0x2
+ 15890 0a1b 0000               .2byte  .LM360
+ 15891 0a1d 17                 .byte   0x17
+ 15892 0a1e 00                 .byte   0x0
+ 15893 0a1f 03                 .uleb128 0x3
+ 15894 0a20 02                 .byte   0x2
+ 15895 0a21 0000               .2byte  .LM361
+ 15896 0a23 12                 .byte   0x12
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 287
+
+
+ 15897 0a24 00                 .byte   0x0
+ 15898 0a25 03                 .uleb128 0x3
+ 15899 0a26 02                 .byte   0x2
+ 15900 0a27 0000               .2byte  .LM362
+ 15901 0a29 13                 .byte   0x13
+ 15902 0a2a 00                 .byte   0x0
+ 15903 0a2b 03                 .uleb128 0x3
+ 15904 0a2c 02                 .byte   0x2
+ 15905 0a2d 0000               .2byte  .LM363
+ 15906 0a2f 17                 .byte   0x17
+ 15907 0a30 00                 .byte   0x0
+ 15908 0a31 03                 .uleb128 0x3
+ 15909 0a32 02                 .byte   0x2
+ 15910 0a33 0000               .2byte  .LM364
+ 15911 0a35 6A                 .byte   0x6a
+ 15912 0a36 00                 .byte   0x0
+ 15913 0a37 03                 .uleb128 0x3
+ 15914 0a38 02                 .byte   0x2
+ 15915 0a39 0000               .2byte  .LM365
+ 15916 0a3b 03                 .byte   0x3
+ 15917 0a3c 71                 .sleb128 -15
+ 15918 0a3d 01                 .byte   0x1
+ 15919 0a3e 00                 .byte   0x0
+ 15920 0a3f 03                 .uleb128 0x3
+ 15921 0a40 02                 .byte   0x2
+ 15922 0a41 0000               .2byte  .LM366
+ 15923 0a43 15                 .byte   0x15
+ 15924 0a44 00                 .byte   0x0
+ 15925 0a45 03                 .uleb128 0x3
+ 15926 0a46 02                 .byte   0x2
+ 15927 0a47 0000               .2byte  .LM367
+ 15928 0a49 03                 .byte   0x3
+ 15929 0a4a 74                 .sleb128 -12
+ 15930 0a4b 01                 .byte   0x1
+ 15931 0a4c 00                 .byte   0x0
+ 15932 0a4d 03                 .uleb128 0x3
+ 15933 0a4e 02                 .byte   0x2
+ 15934 0a4f 0000               .2byte  .LM368
+ 15935 0a51 03                 .byte   0x3
+ 15936 0a52 42                 .sleb128 -62
+ 15937 0a53 01                 .byte   0x1
+ 15938 0a54 00                 .byte   0x0
+ 15939 0a55 03                 .uleb128 0x3
+ 15940 0a56 02                 .byte   0x2
+ 15941 0a57 0000               .2byte  .LM369
+ 15942 0a59 13                 .byte   0x13
+ 15943 0a5a 00                 .byte   0x0
+ 15944 0a5b 03                 .uleb128 0x3
+ 15945 0a5c 02                 .byte   0x2
+ 15946 0a5d 0000               .2byte  .LM370
+ 15947 0a5f 17                 .byte   0x17
+ 15948 0a60 00                 .byte   0x0
+ 15949 0a61 03                 .uleb128 0x3
+ 15950 0a62 02                 .byte   0x2
+ 15951 0a63 0000               .2byte  .LM371
+ 15952 0a65 12                 .byte   0x12
+ 15953 0a66 00                 .byte   0x0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 288
+
+
+ 15954 0a67 03                 .uleb128 0x3
+ 15955 0a68 02                 .byte   0x2
+ 15956 0a69 0000               .2byte  .LM372
+ 15957 0a6b 13                 .byte   0x13
+ 15958 0a6c 00                 .byte   0x0
+ 15959 0a6d 03                 .uleb128 0x3
+ 15960 0a6e 02                 .byte   0x2
+ 15961 0a6f 0000               .2byte  .LM373
+ 15962 0a71 64                 .byte   0x64
+ 15963 0a72 00                 .byte   0x0
+ 15964 0a73 03                 .uleb128 0x3
+ 15965 0a74 02                 .byte   0x2
+ 15966 0a75 0000               .2byte  .LM374
+ 15967 0a77 15                 .byte   0x15
+ 15968 0a78 00                 .byte   0x0
+ 15969 0a79 03                 .uleb128 0x3
+ 15970 0a7a 02                 .byte   0x2
+ 15971 0a7b 0000               .2byte  .LM375
+ 15972 0a7d 03                 .byte   0x3
+ 15973 0a7e 6E                 .sleb128 -18
+ 15974 0a7f 01                 .byte   0x1
+ 15975 0a80 00                 .byte   0x0
+ 15976 0a81 03                 .uleb128 0x3
+ 15977 0a82 02                 .byte   0x2
+ 15978 0a83 0000               .2byte  .LM376
+ 15979 0a85 03                 .byte   0x3
+ 15980 0a86 42                 .sleb128 -62
+ 15981 0a87 01                 .byte   0x1
+ 15982 0a88 00                 .byte   0x0
+ 15983 0a89 03                 .uleb128 0x3
+ 15984 0a8a 02                 .byte   0x2
+ 15985 0a8b 0000               .2byte  .LM377
+ 15986 0a8d 13                 .byte   0x13
+ 15987 0a8e 00                 .byte   0x0
+ 15988 0a8f 03                 .uleb128 0x3
+ 15989 0a90 02                 .byte   0x2
+ 15990 0a91 0000               .2byte  .LM378
+ 15991 0a93 17                 .byte   0x17
+ 15992 0a94 00                 .byte   0x0
+ 15993 0a95 03                 .uleb128 0x3
+ 15994 0a96 02                 .byte   0x2
+ 15995 0a97 0000               .2byte  .LM379
+ 15996 0a99 12                 .byte   0x12
+ 15997 0a9a 00                 .byte   0x0
+ 15998 0a9b 03                 .uleb128 0x3
+ 15999 0a9c 02                 .byte   0x2
+ 16000 0a9d 0000               .2byte  .LM380
+ 16001 0a9f 13                 .byte   0x13
+ 16002 0aa0 00                 .byte   0x0
+ 16003 0aa1 03                 .uleb128 0x3
+ 16004 0aa2 02                 .byte   0x2
+ 16005 0aa3 0000               .2byte  .LM381
+ 16006 0aa5 17                 .byte   0x17
+ 16007 0aa6 00                 .byte   0x0
+ 16008 0aa7 03                 .uleb128 0x3
+ 16009 0aa8 02                 .byte   0x2
+ 16010 0aa9 0000               .2byte  .LM382
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 289
+
+
+ 16011 0aab 12                 .byte   0x12
+ 16012 0aac 00                 .byte   0x0
+ 16013 0aad 03                 .uleb128 0x3
+ 16014 0aae 02                 .byte   0x2
+ 16015 0aaf 0000               .2byte  .LM383
+ 16016 0ab1 13                 .byte   0x13
+ 16017 0ab2 00                 .byte   0x0
+ 16018 0ab3 03                 .uleb128 0x3
+ 16019 0ab4 02                 .byte   0x2
+ 16020 0ab5 0000               .2byte  .LM384
+ 16021 0ab7 17                 .byte   0x17
+ 16022 0ab8 00                 .byte   0x0
+ 16023 0ab9 03                 .uleb128 0x3
+ 16024 0aba 02                 .byte   0x2
+ 16025 0abb 0000               .2byte  .LM385
+ 16026 0abd 6C                 .byte   0x6c
+ 16027 0abe 00                 .byte   0x0
+ 16028 0abf 03                 .uleb128 0x3
+ 16029 0ac0 02                 .byte   0x2
+ 16030 0ac1 0000               .2byte  .LM386
+ 16031 0ac3 18                 .byte   0x18
+ 16032 0ac4 00                 .byte   0x0
+ 16033 0ac5 03                 .uleb128 0x3
+ 16034 0ac6 02                 .byte   0x2
+ 16035 0ac7 0000               .2byte  .LM387
+ 16036 0ac9 10                 .byte   0x10
+ 16037 0aca 00                 .byte   0x0
+ 16038 0acb 03                 .uleb128 0x3
+ 16039 0acc 02                 .byte   0x2
+ 16040 0acd 0000               .2byte  .LM388
+ 16041 0acf 1B                 .byte   0x1b
+ 16042 0ad0 00                 .byte   0x0
+ 16043 0ad1 03                 .uleb128 0x3
+ 16044 0ad2 02                 .byte   0x2
+ 16045 0ad3 0000               .2byte  .LM389
+ 16046 0ad5 2D                 .byte   0x2d
+ 16047 0ad6 00                 .byte   0x0
+ 16048 0ad7 03                 .uleb128 0x3
+ 16049 0ad8 02                 .byte   0x2
+ 16050 0ad9 0000               .2byte  .LM390
+ 16051 0adb 03                 .byte   0x3
+ 16052 0adc 60                 .sleb128 -32
+ 16053 0add 01                 .byte   0x1
+ 16054 0ade 00                 .byte   0x0
+ 16055 0adf 03                 .uleb128 0x3
+ 16056 0ae0 02                 .byte   0x2
+ 16057 0ae1 0000               .2byte  .LM391
+ 16058 0ae3 03                 .byte   0x3
+ 16059 0ae4 A67F               .sleb128 -90
+ 16060 0ae6 01                 .byte   0x1
+ 16061 0ae7 00                 .byte   0x0
+ 16062 0ae8 03                 .uleb128 0x3
+ 16063 0ae9 02                 .byte   0x2
+ 16064 0aea 0000               .2byte  .LM392
+ 16065 0aec 13                 .byte   0x13
+ 16066 0aed 00                 .byte   0x0
+ 16067 0aee 03                 .uleb128 0x3
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 290
+
+
+ 16068 0aef 02                 .byte   0x2
+ 16069 0af0 0000               .2byte  .LM393
+ 16070 0af2 17                 .byte   0x17
+ 16071 0af3 00                 .byte   0x0
+ 16072 0af4 03                 .uleb128 0x3
+ 16073 0af5 02                 .byte   0x2
+ 16074 0af6 0000               .2byte  .LM394
+ 16075 0af8 12                 .byte   0x12
+ 16076 0af9 00                 .byte   0x0
+ 16077 0afa 03                 .uleb128 0x3
+ 16078 0afb 02                 .byte   0x2
+ 16079 0afc 0000               .2byte  .LM395
+ 16080 0afe 13                 .byte   0x13
+ 16081 0aff 00                 .byte   0x0
+ 16082 0b00 03                 .uleb128 0x3
+ 16083 0b01 02                 .byte   0x2
+ 16084 0b02 0000               .2byte  .LM396
+ 16085 0b04 17                 .byte   0x17
+ 16086 0b05 00                 .byte   0x0
+ 16087 0b06 03                 .uleb128 0x3
+ 16088 0b07 02                 .byte   0x2
+ 16089 0b08 0000               .2byte  .LM397
+ 16090 0b0a 95                 .byte   0x95
+ 16091 0b0b 00                 .byte   0x0
+ 16092 0b0c 03                 .uleb128 0x3
+ 16093 0b0d 02                 .byte   0x2
+ 16094 0b0e 0000               .2byte  .LM398
+ 16095 0b10 03                 .byte   0x3
+ 16096 0b11 62                 .sleb128 -30
+ 16097 0b12 01                 .byte   0x1
+ 16098 0b13 00                 .byte   0x0
+ 16099 0b14 03                 .uleb128 0x3
+ 16100 0b15 02                 .byte   0x2
+ 16101 0b16 0000               .2byte  .LM399
+ 16102 0b18 18                 .byte   0x18
+ 16103 0b19 00                 .byte   0x0
+ 16104 0b1a 03                 .uleb128 0x3
+ 16105 0b1b 02                 .byte   0x2
+ 16106 0b1c 0000               .2byte  .LM400
+ 16107 0b1e 21                 .byte   0x21
+ 16108 0b1f 00                 .byte   0x0
+ 16109 0b20 03                 .uleb128 0x3
+ 16110 0b21 02                 .byte   0x2
+ 16111 0b22 0000               .2byte  .LM401
+ 16112 0b24 12                 .byte   0x12
+ 16113 0b25 00                 .byte   0x0
+ 16114 0b26 03                 .uleb128 0x3
+ 16115 0b27 02                 .byte   0x2
+ 16116 0b28 0000               .2byte  .LM402
+ 16117 0b2a 19                 .byte   0x19
+ 16118 0b2b 00                 .byte   0x0
+ 16119 0b2c 03                 .uleb128 0x3
+ 16120 0b2d 02                 .byte   0x2
+ 16121 0b2e 0000               .2byte  .LM403
+ 16122 0b30 15                 .byte   0x15
+ 16123 0b31 00                 .byte   0x0
+ 16124 0b32 03                 .uleb128 0x3
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 291
+
+
+ 16125 0b33 02                 .byte   0x2
+ 16126 0b34 0000               .2byte  .LM404
+ 16127 0b36 03                 .byte   0x3
+ 16128 0b37 60                 .sleb128 -32
+ 16129 0b38 01                 .byte   0x1
+ 16130 0b39 00                 .byte   0x0
+ 16131 0b3a 03                 .uleb128 0x3
+ 16132 0b3b 02                 .byte   0x2
+ 16133 0b3c 0000               .2byte  .LM405
+ 16134 0b3e 1F                 .byte   0x1f
+ 16135 0b3f 00                 .byte   0x0
+ 16136 0b40 03                 .uleb128 0x3
+ 16137 0b41 02                 .byte   0x2
+ 16138 0b42 0000               .2byte  .LM406
+ 16139 0b44 18                 .byte   0x18
+ 16140 0b45 00                 .byte   0x0
+ 16141 0b46 03                 .uleb128 0x3
+ 16142 0b47 02                 .byte   0x2
+ 16143 0b48 0000               .2byte  .LM407
+ 16144 0b4a 17                 .byte   0x17
+ 16145 0b4b 00                 .byte   0x0
+ 16146 0b4c 03                 .uleb128 0x3
+ 16147 0b4d 02                 .byte   0x2
+ 16148 0b4e 0000               .2byte  .LM408
+ 16149 0b50 13                 .byte   0x13
+ 16150 0b51 00                 .byte   0x0
+ 16151 0b52 03                 .uleb128 0x3
+ 16152 0b53 02                 .byte   0x2
+ 16153 0b54 0000               .2byte  .LM409
+ 16154 0b56 15                 .byte   0x15
+ 16155 0b57 00                 .byte   0x0
+ 16156 0b58 03                 .uleb128 0x3
+ 16157 0b59 02                 .byte   0x2
+ 16158 0b5a 0000               .2byte  .LM410
+ 16159 0b5c 15                 .byte   0x15
+ 16160 0b5d 00                 .byte   0x0
+ 16161 0b5e 03                 .uleb128 0x3
+ 16162 0b5f 02                 .byte   0x2
+ 16163 0b60 0000               .2byte  .LM411
+ 16164 0b62 10                 .byte   0x10
+ 16165 0b63 00                 .byte   0x0
+ 16166 0b64 03                 .uleb128 0x3
+ 16167 0b65 02                 .byte   0x2
+ 16168 0b66 0000               .2byte  .LM412
+ 16169 0b68 25                 .byte   0x25
+ 16170 0b69 00                 .byte   0x0
+ 16171 0b6a 03                 .uleb128 0x3
+ 16172 0b6b 02                 .byte   0x2
+ 16173 0b6c 0000               .2byte  .LM413
+ 16174 0b6e 03                 .byte   0x3
+ 16175 0b6f 6F                 .sleb128 -17
+ 16176 0b70 01                 .byte   0x1
+ 16177 0b71 00                 .byte   0x0
+ 16178 0b72 03                 .uleb128 0x3
+ 16179 0b73 02                 .byte   0x2
+ 16180 0b74 0000               .2byte  .LM414
+ 16181 0b76 30                 .byte   0x30
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 292
+
+
+ 16182 0b77 00                 .byte   0x0
+ 16183 0b78 03                 .uleb128 0x3
+ 16184 0b79 02                 .byte   0x2
+ 16185 0b7a 0000               .2byte  .LM415
+ 16186 0b7c 17                 .byte   0x17
+ 16187 0b7d 00                 .byte   0x0
+ 16188 0b7e 03                 .uleb128 0x3
+ 16189 0b7f 02                 .byte   0x2
+ 16190 0b80 0000               .2byte  .LM416
+ 16191 0b82 15                 .byte   0x15
+ 16192 0b83 00                 .byte   0x0
+ 16193 0b84 03                 .uleb128 0x3
+ 16194 0b85 02                 .byte   0x2
+ 16195 0b86 0000               .2byte  .LM417
+ 16196 0b88 18                 .byte   0x18
+ 16197 0b89 00                 .byte   0x0
+ 16198 0b8a 03                 .uleb128 0x3
+ 16199 0b8b 02                 .byte   0x2
+ 16200 0b8c 0000               .2byte  .LM418
+ 16201 0b8e 16                 .byte   0x16
+ 16202 0b8f 00                 .byte   0x0
+ 16203 0b90 03                 .uleb128 0x3
+ 16204 0b91 02                 .byte   0x2
+ 16205 0b92 0000               .2byte  .LM419
+ 16206 0b94 03                 .byte   0x3
+ 16207 0b95 E67E               .sleb128 -154
+ 16208 0b97 01                 .byte   0x1
+ 16209 0b98 00                 .byte   0x0
+ 16210 0b99 03                 .uleb128 0x3
+ 16211 0b9a 02                 .byte   0x2
+ 16212 0b9b 0000               .2byte  .LM420
+ 16213 0b9d 16                 .byte   0x16
+ 16214 0b9e 00                 .byte   0x0
+ 16215 0b9f 03                 .uleb128 0x3
+ 16216 0ba0 02                 .byte   0x2
+ 16217 0ba1 0000               .2byte  .LM421
+ 16218 0ba3 13                 .byte   0x13
+ 16219 0ba4 00                 .byte   0x0
+ 16220 0ba5 03                 .uleb128 0x3
+ 16221 0ba6 02                 .byte   0x2
+ 16222 0ba7 0000               .2byte  .LM422
+ 16223 0ba9 B3                 .byte   0xb3
+ 16224 0baa 00                 .byte   0x0
+ 16225 0bab 03                 .uleb128 0x3
+ 16226 0bac 02                 .byte   0x2
+ 16227 0bad 0000               .2byte  .LM423
+ 16228 0baf 15                 .byte   0x15
+ 16229 0bb0 00                 .byte   0x0
+ 16230 0bb1 03                 .uleb128 0x3
+ 16231 0bb2 02                 .byte   0x2
+ 16232 0bb3 0000               .2byte  .LM424
+ 16233 0bb5 03                 .byte   0x3
+ 16234 0bb6 75                 .sleb128 -11
+ 16235 0bb7 01                 .byte   0x1
+ 16236 0bb8 00                 .byte   0x0
+ 16237 0bb9 03                 .uleb128 0x3
+ 16238 0bba 02                 .byte   0x2
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 293
+
+
+ 16239 0bbb 0000               .2byte  .LM425
+ 16240 0bbd 16                 .byte   0x16
+ 16241 0bbe 00                 .byte   0x0
+ 16242 0bbf 03                 .uleb128 0x3
+ 16243 0bc0 02                 .byte   0x2
+ 16244 0bc1 0000               .2byte  .LM426
+ 16245 0bc3 16                 .byte   0x16
+ 16246 0bc4 00                 .byte   0x0
+ 16247 0bc5 03                 .uleb128 0x3
+ 16248 0bc6 02                 .byte   0x2
+ 16249 0bc7 0000               .2byte  .LM427
+ 16250 0bc9 16                 .byte   0x16
+ 16251 0bca 00                 .byte   0x0
+ 16252 0bcb 03                 .uleb128 0x3
+ 16253 0bcc 02                 .byte   0x2
+ 16254 0bcd 0000               .2byte  .LM428
+ 16255 0bcf 1E                 .byte   0x1e
+ 16256 0bd0 00                 .byte   0x0
+ 16257 0bd1 03                 .uleb128 0x3
+ 16258 0bd2 02                 .byte   0x2
+ 16259 0bd3 0000               .2byte  .LM429
+ 16260 0bd5 16                 .byte   0x16
+ 16261 0bd6 00                 .byte   0x0
+ 16262 0bd7 03                 .uleb128 0x3
+ 16263 0bd8 02                 .byte   0x2
+ 16264 0bd9 0000               .2byte  .LM430
+ 16265 0bdb 15                 .byte   0x15
+ 16266 0bdc 00                 .byte   0x0
+ 16267 0bdd 03                 .uleb128 0x3
+ 16268 0bde 02                 .byte   0x2
+ 16269 0bdf 0000               .2byte  .LM431
+ 16270 0be1 18                 .byte   0x18
+ 16271 0be2 00                 .byte   0x0
+ 16272 0be3 03                 .uleb128 0x3
+ 16273 0be4 02                 .byte   0x2
+ 16274 0be5 0000               .2byte  .LM432
+ 16275 0be7 03                 .byte   0x3
+ 16276 0be8 DE7E               .sleb128 -162
+ 16277 0bea 01                 .byte   0x1
+ 16278 0beb 00                 .byte   0x0
+ 16279 0bec 03                 .uleb128 0x3
+ 16280 0bed 02                 .byte   0x2
+ 16281 0bee 0000               .2byte  .LM433
+ 16282 0bf0 13                 .byte   0x13
+ 16283 0bf1 00                 .byte   0x0
+ 16284 0bf2 03                 .uleb128 0x3
+ 16285 0bf3 02                 .byte   0x2
+ 16286 0bf4 0000               .2byte  .LM434
+ 16287 0bf6 17                 .byte   0x17
+ 16288 0bf7 00                 .byte   0x0
+ 16289 0bf8 03                 .uleb128 0x3
+ 16290 0bf9 02                 .byte   0x2
+ 16291 0bfa 0000               .2byte  .LM435
+ 16292 0bfc B6                 .byte   0xb6
+ 16293 0bfd 00                 .byte   0x0
+ 16294 0bfe 03                 .uleb128 0x3
+ 16295 0bff 02                 .byte   0x2
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 294
+
+
+ 16296 0c00 0000               .2byte  .LM436
+ 16297 0c02 10                 .byte   0x10
+ 16298 0c03 00                 .byte   0x0
+ 16299 0c04 03                 .uleb128 0x3
+ 16300 0c05 02                 .byte   0x2
+ 16301 0c06 0000               .2byte  .LM437
+ 16302 0c08 1A                 .byte   0x1a
+ 16303 0c09 00                 .byte   0x0
+ 16304 0c0a 03                 .uleb128 0x3
+ 16305 0c0b 02                 .byte   0x2
+ 16306 0c0c 0000               .2byte  .LM438
+ 16307 0c0e 16                 .byte   0x16
+ 16308 0c0f 00                 .byte   0x0
+ 16309 0c10 03                 .uleb128 0x3
+ 16310 0c11 02                 .byte   0x2
+ 16311 0c12 0000               .2byte  .LM439
+ 16312 0c14 03                 .byte   0x3
+ 16313 0c15 D87E               .sleb128 -168
+ 16314 0c17 01                 .byte   0x1
+ 16315 0c18 00                 .byte   0x0
+ 16316 0c19 03                 .uleb128 0x3
+ 16317 0c1a 02                 .byte   0x2
+ 16318 0c1b 0000               .2byte  .LM440
+ 16319 0c1d 13                 .byte   0x13
+ 16320 0c1e 00                 .byte   0x0
+ 16321 0c1f 03                 .uleb128 0x3
+ 16322 0c20 02                 .byte   0x2
+ 16323 0c21 0000               .2byte  .LM441
+ 16324 0c23 17                 .byte   0x17
+ 16325 0c24 00                 .byte   0x0
+ 16326 0c25 03                 .uleb128 0x3
+ 16327 0c26 02                 .byte   0x2
+ 16328 0c27 0000               .2byte  .LM442
+ 16329 0c29 BC                 .byte   0xbc
+ 16330 0c2a 00                 .byte   0x0
+ 16331 0c2b 03                 .uleb128 0x3
+ 16332 0c2c 02                 .byte   0x2
+ 16333 0c2d 0000               .2byte  .LM443
+ 16334 0c2f 16                 .byte   0x16
+ 16335 0c30 00                 .byte   0x0
+ 16336 0c31 03                 .uleb128 0x3
+ 16337 0c32 02                 .byte   0x2
+ 16338 0c33 0000               .2byte  .LM444
+ 16339 0c35 18                 .byte   0x18
+ 16340 0c36 00                 .byte   0x0
+ 16341 0c37 03                 .uleb128 0x3
+ 16342 0c38 02                 .byte   0x2
+ 16343 0c39 0000               .2byte  .LM445
+ 16344 0c3b 15                 .byte   0x15
+ 16345 0c3c 00                 .byte   0x0
+ 16346 0c3d 03                 .uleb128 0x3
+ 16347 0c3e 02                 .byte   0x2
+ 16348 0c3f 0000               .2byte  .LM446
+ 16349 0c41 15                 .byte   0x15
+ 16350 0c42 00                 .byte   0x0
+ 16351 0c43 03                 .uleb128 0x3
+ 16352 0c44 02                 .byte   0x2
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 295
+
+
+ 16353 0c45 0000               .2byte  .LM447
+ 16354 0c47 12                 .byte   0x12
+ 16355 0c48 00                 .byte   0x0
+ 16356 0c49 03                 .uleb128 0x3
+ 16357 0c4a 02                 .byte   0x2
+ 16358 0c4b 0000               .2byte  .LM448
+ 16359 0c4d 18                 .byte   0x18
+ 16360 0c4e 00                 .byte   0x0
+ 16361 0c4f 03                 .uleb128 0x3
+ 16362 0c50 02                 .byte   0x2
+ 16363 0c51 0000               .2byte  .LM449
+ 16364 0c53 15                 .byte   0x15
+ 16365 0c54 00                 .byte   0x0
+ 16366 0c55 03                 .uleb128 0x3
+ 16367 0c56 02                 .byte   0x2
+ 16368 0c57 0000               .2byte  .LM450
+ 16369 0c59 15                 .byte   0x15
+ 16370 0c5a 00                 .byte   0x0
+ 16371 0c5b 03                 .uleb128 0x3
+ 16372 0c5c 02                 .byte   0x2
+ 16373 0c5d 0000               .2byte  .LM451
+ 16374 0c5f 15                 .byte   0x15
+ 16375 0c60 00                 .byte   0x0
+ 16376 0c61 03                 .uleb128 0x3
+ 16377 0c62 02                 .byte   0x2
+ 16378 0c63 0000               .2byte  .LM452
+ 16379 0c65 15                 .byte   0x15
+ 16380 0c66 00                 .byte   0x0
+ 16381 0c67 03                 .uleb128 0x3
+ 16382 0c68 02                 .byte   0x2
+ 16383 0c69 0000               .2byte  .LM453
+ 16384 0c6b 0C                 .byte   0xc
+ 16385 0c6c 00                 .byte   0x0
+ 16386 0c6d 03                 .uleb128 0x3
+ 16387 0c6e 02                 .byte   0x2
+ 16388 0c6f 0000               .2byte  .LM454
+ 16389 0c71 1F                 .byte   0x1f
+ 16390 0c72 00                 .byte   0x0
+ 16391 0c73 03                 .uleb128 0x3
+ 16392 0c74 02                 .byte   0x2
+ 16393 0c75 0000               .2byte  .LM455
+ 16394 0c77 01                 .byte   0x1
+ 16395 0c78 00                 .byte   0x0
+ 16396 0c79 03                 .uleb128 0x3
+ 16397 0c7a 02                 .byte   0x2
+ 16398 0c7b 0000               .2byte  .LM456
+ 16399 0c7d 22                 .byte   0x22
+ 16400 0c7e 00                 .byte   0x0
+ 16401 0c7f 03                 .uleb128 0x3
+ 16402 0c80 02                 .byte   0x2
+ 16403 0c81 0000               .2byte  .LM457
+ 16404 0c83 10                 .byte   0x10
+ 16405 0c84 00                 .byte   0x0
+ 16406 0c85 03                 .uleb128 0x3
+ 16407 0c86 02                 .byte   0x2
+ 16408 0c87 0000               .2byte  .LM458
+ 16409 0c89 15                 .byte   0x15
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 296
+
+
+ 16410 0c8a 00                 .byte   0x0
+ 16411 0c8b 03                 .uleb128 0x3
+ 16412 0c8c 02                 .byte   0x2
+ 16413 0c8d 0000               .2byte  .LM459
+ 16414 0c8f 16                 .byte   0x16
+ 16415 0c90 00                 .byte   0x0
+ 16416 0c91 03                 .uleb128 0x3
+ 16417 0c92 02                 .byte   0x2
+ 16418 0c93 0000               .2byte  .LM460
+ 16419 0c95 15                 .byte   0x15
+ 16420 0c96 00                 .byte   0x0
+ 16421 0c97 03                 .uleb128 0x3
+ 16422 0c98 02                 .byte   0x2
+ 16423 0c99 0000               .2byte  .LM461
+ 16424 0c9b 17                 .byte   0x17
+ 16425 0c9c 00                 .byte   0x0
+ 16426 0c9d 03                 .uleb128 0x3
+ 16427 0c9e 02                 .byte   0x2
+ 16428 0c9f 0000               .2byte  .LM462
+ 16429 0ca1 03                 .byte   0x3
+ 16430 0ca2 907C               .sleb128 -496
+ 16431 0ca4 01                 .byte   0x1
+ 16432 0ca5 00                 .byte   0x0
+ 16433 0ca6 03                 .uleb128 0x3
+ 16434 0ca7 02                 .byte   0x2
+ 16435 0ca8 0000               .2byte  .LM463
+ 16436 0caa 0E                 .byte   0xe
+ 16437 0cab 00                 .byte   0x0
+ 16438 0cac 03                 .uleb128 0x3
+ 16439 0cad 02                 .byte   0x2
+ 16440 0cae 0000               .2byte  .LM464
+ 16441 0cb0 16                 .byte   0x16
+ 16442 0cb1 00                 .byte   0x0
+ 16443 0cb2 03                 .uleb128 0x3
+ 16444 0cb3 02                 .byte   0x2
+ 16445 0cb4 0000               .2byte  .LM465
+ 16446 0cb6 15                 .byte   0x15
+ 16447 0cb7 00                 .byte   0x0
+ 16448 0cb8 03                 .uleb128 0x3
+ 16449 0cb9 02                 .byte   0x2
+ 16450 0cba 0000               .2byte  .LM466
+ 16451 0cbc 13                 .byte   0x13
+ 16452 0cbd 00                 .byte   0x0
+ 16453 0cbe 03                 .uleb128 0x3
+ 16454 0cbf 02                 .byte   0x2
+ 16455 0cc0 0000               .2byte  .LM467
+ 16456 0cc2 17                 .byte   0x17
+ 16457 0cc3 00                 .byte   0x0
+ 16458 0cc4 03                 .uleb128 0x3
+ 16459 0cc5 02                 .byte   0x2
+ 16460 0cc6 0000               .2byte  .LM468
+ 16461 0cc8 10                 .byte   0x10
+ 16462 0cc9 00                 .byte   0x0
+ 16463 0cca 03                 .uleb128 0x3
+ 16464 0ccb 02                 .byte   0x2
+ 16465 0ccc 0000               .2byte  .LM469
+ 16466 0cce 19                 .byte   0x19
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 297
+
+
+ 16467 0ccf 00                 .byte   0x0
+ 16468 0cd0 03                 .uleb128 0x3
+ 16469 0cd1 02                 .byte   0x2
+ 16470 0cd2 0000               .2byte  .LM470
+ 16471 0cd4 03                 .byte   0x3
+ 16472 0cd5 F503               .sleb128 501
+ 16473 0cd7 01                 .byte   0x1
+ 16474 0cd8 00                 .byte   0x0
+ 16475 0cd9 03                 .uleb128 0x3
+ 16476 0cda 02                 .byte   0x2
+ 16477 0cdb 0000               .2byte  .LM471
+ 16478 0cdd 03                 .byte   0x3
+ 16479 0cde 857C               .sleb128 -507
+ 16480 0ce0 01                 .byte   0x1
+ 16481 0ce1 00                 .byte   0x0
+ 16482 0ce2 03                 .uleb128 0x3
+ 16483 0ce3 02                 .byte   0x2
+ 16484 0ce4 0000               .2byte  .LM472
+ 16485 0ce6 16                 .byte   0x16
+ 16486 0ce7 00                 .byte   0x0
+ 16487 0ce8 03                 .uleb128 0x3
+ 16488 0ce9 02                 .byte   0x2
+ 16489 0cea 0000               .2byte  .LM473
+ 16490 0cec 15                 .byte   0x15
+ 16491 0ced 00                 .byte   0x0
+ 16492 0cee 03                 .uleb128 0x3
+ 16493 0cef 02                 .byte   0x2
+ 16494 0cf0 0000               .2byte  .LM474
+ 16495 0cf2 13                 .byte   0x13
+ 16496 0cf3 00                 .byte   0x0
+ 16497 0cf4 03                 .uleb128 0x3
+ 16498 0cf5 02                 .byte   0x2
+ 16499 0cf6 0000               .2byte  .LM475
+ 16500 0cf8 17                 .byte   0x17
+ 16501 0cf9 00                 .byte   0x0
+ 16502 0cfa 03                 .uleb128 0x3
+ 16503 0cfb 02                 .byte   0x2
+ 16504 0cfc 0000               .2byte  .LM476
+ 16505 0cfe 10                 .byte   0x10
+ 16506 0cff 00                 .byte   0x0
+ 16507 0d00 03                 .uleb128 0x3
+ 16508 0d01 02                 .byte   0x2
+ 16509 0d02 0000               .2byte  .LM477
+ 16510 0d04 19                 .byte   0x19
+ 16511 0d05 00                 .byte   0x0
+ 16512 0d06 03                 .uleb128 0x3
+ 16513 0d07 02                 .byte   0x2
+ 16514 0d08 0000               .2byte  .LM478
+ 16515 0d0a 03                 .byte   0x3
+ 16516 0d0b F703               .sleb128 503
+ 16517 0d0d 01                 .byte   0x1
+ 16518 0d0e 00                 .byte   0x0
+ 16519 0d0f 03                 .uleb128 0x3
+ 16520 0d10 02                 .byte   0x2
+ 16521 0d11 0000               .2byte  .LM479
+ 16522 0d13 03                 .byte   0x3
+ 16523 0d14 837C               .sleb128 -509
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 298
+
+
+ 16524 0d16 01                 .byte   0x1
+ 16525 0d17 00                 .byte   0x0
+ 16526 0d18 03                 .uleb128 0x3
+ 16527 0d19 02                 .byte   0x2
+ 16528 0d1a 0000               .2byte  .LM480
+ 16529 0d1c 16                 .byte   0x16
+ 16530 0d1d 00                 .byte   0x0
+ 16531 0d1e 03                 .uleb128 0x3
+ 16532 0d1f 02                 .byte   0x2
+ 16533 0d20 0000               .2byte  .LM481
+ 16534 0d22 15                 .byte   0x15
+ 16535 0d23 00                 .byte   0x0
+ 16536 0d24 03                 .uleb128 0x3
+ 16537 0d25 02                 .byte   0x2
+ 16538 0d26 0000               .2byte  .LM482
+ 16539 0d28 13                 .byte   0x13
+ 16540 0d29 00                 .byte   0x0
+ 16541 0d2a 03                 .uleb128 0x3
+ 16542 0d2b 02                 .byte   0x2
+ 16543 0d2c 0000               .2byte  .LM483
+ 16544 0d2e 17                 .byte   0x17
+ 16545 0d2f 00                 .byte   0x0
+ 16546 0d30 03                 .uleb128 0x3
+ 16547 0d31 02                 .byte   0x2
+ 16548 0d32 0000               .2byte  .LM484
+ 16549 0d34 10                 .byte   0x10
+ 16550 0d35 00                 .byte   0x0
+ 16551 0d36 03                 .uleb128 0x3
+ 16552 0d37 02                 .byte   0x2
+ 16553 0d38 0000               .2byte  .LM485
+ 16554 0d3a 19                 .byte   0x19
+ 16555 0d3b 00                 .byte   0x0
+ 16556 0d3c 03                 .uleb128 0x3
+ 16557 0d3d 02                 .byte   0x2
+ 16558 0d3e 0000               .2byte  .LM486
+ 16559 0d40 03                 .byte   0x3
+ 16560 0d41 F903               .sleb128 505
+ 16561 0d43 01                 .byte   0x1
+ 16562 0d44 00                 .byte   0x0
+ 16563 0d45 03                 .uleb128 0x3
+ 16564 0d46 02                 .byte   0x2
+ 16565 0d47 0000               .2byte  .LM487
+ 16566 0d49 15                 .byte   0x15
+ 16567 0d4a 00                 .byte   0x0
+ 16568 0d4b 03                 .uleb128 0x3
+ 16569 0d4c 02                 .byte   0x2
+ 16570 0d4d 0000               .2byte  .LM488
+ 16571 0d4f 15                 .byte   0x15
+ 16572 0d50 00                 .byte   0x0
+ 16573 0d51 03                 .uleb128 0x3
+ 16574 0d52 02                 .byte   0x2
+ 16575 0d53 0000               .2byte  .LM489
+ 16576 0d55 15                 .byte   0x15
+ 16577 0d56 00                 .byte   0x0
+ 16578 0d57 03                 .uleb128 0x3
+ 16579 0d58 02                 .byte   0x2
+ 16580 0d59 0000               .2byte  .LM490
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 299
+
+
+ 16581 0d5b 03                 .byte   0x3
+ 16582 0d5c FE7B               .sleb128 -514
+ 16583 0d5e 01                 .byte   0x1
+ 16584 0d5f 00                 .byte   0x0
+ 16585 0d60 03                 .uleb128 0x3
+ 16586 0d61 02                 .byte   0x2
+ 16587 0d62 0000               .2byte  .LM491
+ 16588 0d64 16                 .byte   0x16
+ 16589 0d65 00                 .byte   0x0
+ 16590 0d66 03                 .uleb128 0x3
+ 16591 0d67 02                 .byte   0x2
+ 16592 0d68 0000               .2byte  .LM492
+ 16593 0d6a 15                 .byte   0x15
+ 16594 0d6b 00                 .byte   0x0
+ 16595 0d6c 03                 .uleb128 0x3
+ 16596 0d6d 02                 .byte   0x2
+ 16597 0d6e 0000               .2byte  .LM493
+ 16598 0d70 13                 .byte   0x13
+ 16599 0d71 00                 .byte   0x0
+ 16600 0d72 03                 .uleb128 0x3
+ 16601 0d73 02                 .byte   0x2
+ 16602 0d74 0000               .2byte  .LM494
+ 16603 0d76 17                 .byte   0x17
+ 16604 0d77 00                 .byte   0x0
+ 16605 0d78 03                 .uleb128 0x3
+ 16606 0d79 02                 .byte   0x2
+ 16607 0d7a 0000               .2byte  .LM495
+ 16608 0d7c 10                 .byte   0x10
+ 16609 0d7d 00                 .byte   0x0
+ 16610 0d7e 03                 .uleb128 0x3
+ 16611 0d7f 02                 .byte   0x2
+ 16612 0d80 0000               .2byte  .LM496
+ 16613 0d82 19                 .byte   0x19
+ 16614 0d83 00                 .byte   0x0
+ 16615 0d84 03                 .uleb128 0x3
+ 16616 0d85 02                 .byte   0x2
+ 16617 0d86 0000               .2byte  .LM497
+ 16618 0d88 03                 .byte   0x3
+ 16619 0d89 8004               .sleb128 512
+ 16620 0d8b 01                 .byte   0x1
+ 16621 0d8c 00                 .byte   0x0
+ 16622 0d8d 03                 .uleb128 0x3
+ 16623 0d8e 02                 .byte   0x2
+ 16624 0d8f 0000               .2byte  .LM498
+ 16625 0d91 16                 .byte   0x16
+ 16626 0d92 00                 .byte   0x0
+ 16627 0d93 03                 .uleb128 0x3
+ 16628 0d94 02                 .byte   0x2
+ 16629 0d95 0000               .2byte  .LM499
+ 16630 0d97 15                 .byte   0x15
+ 16631 0d98 00                 .byte   0x0
+ 16632 0d99 03                 .uleb128 0x3
+ 16633 0d9a 02                 .byte   0x2
+ 16634 0d9b 0000               .2byte  .LM500
+ 16635 0d9d 0B                 .byte   0xb
+ 16636 0d9e 00                 .byte   0x0
+ 16637 0d9f 03                 .uleb128 0x3
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 300
+
+
+ 16638 0da0 02                 .byte   0x2
+ 16639 0da1 0000               .2byte  .LM501
+ 16640 0da3 15                 .byte   0x15
+ 16641 0da4 00                 .byte   0x0
+ 16642 0da5 03                 .uleb128 0x3
+ 16643 0da6 02                 .byte   0x2
+ 16644 0da7 0000               .2byte  .LM502
+ 16645 0da9 15                 .byte   0x15
+ 16646 0daa 00                 .byte   0x0
+ 16647 0dab 03                 .uleb128 0x3
+ 16648 0dac 02                 .byte   0x2
+ 16649 0dad 0000               .2byte  .LM503
+ 16650 0daf 03                 .byte   0x3
+ 16651 0db0 FE7B               .sleb128 -514
+ 16652 0db2 01                 .byte   0x1
+ 16653 0db3 00                 .byte   0x0
+ 16654 0db4 03                 .uleb128 0x3
+ 16655 0db5 02                 .byte   0x2
+ 16656 0db6 0000               .2byte  .LM504
+ 16657 0db8 16                 .byte   0x16
+ 16658 0db9 00                 .byte   0x0
+ 16659 0dba 03                 .uleb128 0x3
+ 16660 0dbb 02                 .byte   0x2
+ 16661 0dbc 0000               .2byte  .LM505
+ 16662 0dbe 15                 .byte   0x15
+ 16663 0dbf 00                 .byte   0x0
+ 16664 0dc0 03                 .uleb128 0x3
+ 16665 0dc1 02                 .byte   0x2
+ 16666 0dc2 0000               .2byte  .LM506
+ 16667 0dc4 13                 .byte   0x13
+ 16668 0dc5 00                 .byte   0x0
+ 16669 0dc6 03                 .uleb128 0x3
+ 16670 0dc7 02                 .byte   0x2
+ 16671 0dc8 0000               .2byte  .LM507
+ 16672 0dca 17                 .byte   0x17
+ 16673 0dcb 00                 .byte   0x0
+ 16674 0dcc 03                 .uleb128 0x3
+ 16675 0dcd 02                 .byte   0x2
+ 16676 0dce 0000               .2byte  .LM508
+ 16677 0dd0 10                 .byte   0x10
+ 16678 0dd1 00                 .byte   0x0
+ 16679 0dd2 03                 .uleb128 0x3
+ 16680 0dd3 02                 .byte   0x2
+ 16681 0dd4 0000               .2byte  .LM509
+ 16682 0dd6 19                 .byte   0x19
+ 16683 0dd7 00                 .byte   0x0
+ 16684 0dd8 03                 .uleb128 0x3
+ 16685 0dd9 02                 .byte   0x2
+ 16686 0dda 0000               .2byte  .LM510
+ 16687 0ddc 03                 .byte   0x3
+ 16688 0ddd FA03               .sleb128 506
+ 16689 0ddf 01                 .byte   0x1
+ 16690 0de0 00                 .byte   0x0
+ 16691 0de1 03                 .uleb128 0x3
+ 16692 0de2 02                 .byte   0x2
+ 16693 0de3 0000               .2byte  .LM511
+ 16694 0de5 15                 .byte   0x15
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 301
+
+
+ 16695 0de6 00                 .byte   0x0
+ 16696 0de7 03                 .uleb128 0x3
+ 16697 0de8 02                 .byte   0x2
+ 16698 0de9 0000               .2byte  .LM512
+ 16699 0deb 15                 .byte   0x15
+ 16700 0dec 00                 .byte   0x0
+ 16701 0ded 03                 .uleb128 0x3
+ 16702 0dee 02                 .byte   0x2
+ 16703 0def 0000               .2byte  .LM513
+ 16704 0df1 03                 .byte   0x3
+ 16705 0df2 FE7B               .sleb128 -514
+ 16706 0df4 01                 .byte   0x1
+ 16707 0df5 00                 .byte   0x0
+ 16708 0df6 03                 .uleb128 0x3
+ 16709 0df7 02                 .byte   0x2
+ 16710 0df8 0000               .2byte  .LM514
+ 16711 0dfa 16                 .byte   0x16
+ 16712 0dfb 00                 .byte   0x0
+ 16713 0dfc 03                 .uleb128 0x3
+ 16714 0dfd 02                 .byte   0x2
+ 16715 0dfe 0000               .2byte  .LM515
+ 16716 0e00 15                 .byte   0x15
+ 16717 0e01 00                 .byte   0x0
+ 16718 0e02 03                 .uleb128 0x3
+ 16719 0e03 02                 .byte   0x2
+ 16720 0e04 0000               .2byte  .LM516
+ 16721 0e06 13                 .byte   0x13
+ 16722 0e07 00                 .byte   0x0
+ 16723 0e08 03                 .uleb128 0x3
+ 16724 0e09 02                 .byte   0x2
+ 16725 0e0a 0000               .2byte  .LM517
+ 16726 0e0c 17                 .byte   0x17
+ 16727 0e0d 00                 .byte   0x0
+ 16728 0e0e 03                 .uleb128 0x3
+ 16729 0e0f 02                 .byte   0x2
+ 16730 0e10 0000               .2byte  .LM518
+ 16731 0e12 10                 .byte   0x10
+ 16732 0e13 00                 .byte   0x0
+ 16733 0e14 03                 .uleb128 0x3
+ 16734 0e15 02                 .byte   0x2
+ 16735 0e16 0000               .2byte  .LM519
+ 16736 0e18 19                 .byte   0x19
+ 16737 0e19 00                 .byte   0x0
+ 16738 0e1a 03                 .uleb128 0x3
+ 16739 0e1b 02                 .byte   0x2
+ 16740 0e1c 0000               .2byte  .LM520
+ 16741 0e1e 03                 .byte   0x3
+ 16742 0e1f 8604               .sleb128 518
+ 16743 0e21 01                 .byte   0x1
+ 16744 0e22 00                 .byte   0x0
+ 16745 0e23 03                 .uleb128 0x3
+ 16746 0e24 02                 .byte   0x2
+ 16747 0e25 0000               .2byte  .LM521
+ 16748 0e27 16                 .byte   0x16
+ 16749 0e28 00                 .byte   0x0
+ 16750 0e29 03                 .uleb128 0x3
+ 16751 0e2a 02                 .byte   0x2
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 302
+
+
+ 16752 0e2b 0000               .2byte  .LM522
+ 16753 0e2d 15                 .byte   0x15
+ 16754 0e2e 00                 .byte   0x0
+ 16755 0e2f 03                 .uleb128 0x3
+ 16756 0e30 02                 .byte   0x2
+ 16757 0e31 0000               .2byte  .LM523
+ 16758 0e33 15                 .byte   0x15
+ 16759 0e34 00                 .byte   0x0
+ 16760 0e35 03                 .uleb128 0x3
+ 16761 0e36 02                 .byte   0x2
+ 16762 0e37 0000               .2byte  .LM524
+ 16763 0e39 15                 .byte   0x15
+ 16764 0e3a 00                 .byte   0x0
+ 16765 0e3b 03                 .uleb128 0x3
+ 16766 0e3c 02                 .byte   0x2
+ 16767 0e3d 0000               .2byte  .LM525
+ 16768 0e3f 30                 .byte   0x30
+ 16769 0e40 00                 .byte   0x0
+ 16770 0e41 03                 .uleb128 0x3
+ 16771 0e42 02                 .byte   0x2
+ 16772 0e43 0000               .2byte  .LM526
+ 16773 0e45 17                 .byte   0x17
+ 16774 0e46 00                 .byte   0x0
+ 16775 0e47 03                 .uleb128 0x3
+ 16776 0e48 02                 .byte   0x2
+ 16777 0e49 0000               .2byte  .LM527
+ 16778 0e4b 03                 .byte   0x3
+ 16779 0e4c ED02               .sleb128 365
+ 16780 0e4e 01                 .byte   0x1
+ 16781 0e4f 00                 .byte   0x0
+ 16782 0e50 03                 .uleb128 0x3
+ 16783 0e51 02                 .byte   0x2
+ 16784 0e52 0000               .2byte  .LM528
+ 16785 0e54 03                 .byte   0x3
+ 16786 0e55 E57A               .sleb128 -667
+ 16787 0e57 01                 .byte   0x1
+ 16788 0e58 00                 .byte   0x0
+ 16789 0e59 03                 .uleb128 0x3
+ 16790 0e5a 02                 .byte   0x2
+ 16791 0e5b 0000               .2byte  .LM529
+ 16792 0e5d 03                 .byte   0x3
+ 16793 0e5e FE7D               .sleb128 -258
+ 16794 0e60 01                 .byte   0x1
+ 16795 0e61 00                 .byte   0x0
+ 16796 0e62 03                 .uleb128 0x3
+ 16797 0e63 02                 .byte   0x2
+ 16798 0e64 0000               .2byte  .LM530
+ 16799 0e66 16                 .byte   0x16
+ 16800 0e67 00                 .byte   0x0
+ 16801 0e68 03                 .uleb128 0x3
+ 16802 0e69 02                 .byte   0x2
+ 16803 0e6a 0000               .2byte  .LM531
+ 16804 0e6c 15                 .byte   0x15
+ 16805 0e6d 00                 .byte   0x0
+ 16806 0e6e 03                 .uleb128 0x3
+ 16807 0e6f 02                 .byte   0x2
+ 16808 0e70 0000               .2byte  .LM532
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 303
+
+
+ 16809 0e72 13                 .byte   0x13
+ 16810 0e73 00                 .byte   0x0
+ 16811 0e74 03                 .uleb128 0x3
+ 16812 0e75 02                 .byte   0x2
+ 16813 0e76 0000               .2byte  .LM533
+ 16814 0e78 17                 .byte   0x17
+ 16815 0e79 00                 .byte   0x0
+ 16816 0e7a 03                 .uleb128 0x3
+ 16817 0e7b 02                 .byte   0x2
+ 16818 0e7c 0000               .2byte  .LM534
+ 16819 0e7e 10                 .byte   0x10
+ 16820 0e7f 00                 .byte   0x0
+ 16821 0e80 03                 .uleb128 0x3
+ 16822 0e81 02                 .byte   0x2
+ 16823 0e82 0000               .2byte  .LM535
+ 16824 0e84 19                 .byte   0x19
+ 16825 0e85 00                 .byte   0x0
+ 16826 0e86 03                 .uleb128 0x3
+ 16827 0e87 02                 .byte   0x2
+ 16828 0e88 0000               .2byte  .LM536
+ 16829 0e8a 03                 .byte   0x3
+ 16830 0e8b FE01               .sleb128 254
+ 16831 0e8d 01                 .byte   0x1
+ 16832 0e8e 00                 .byte   0x0
+ 16833 0e8f 03                 .uleb128 0x3
+ 16834 0e90 02                 .byte   0x2
+ 16835 0e91 0000               .2byte  .LM537
+ 16836 0e93 12                 .byte   0x12
+ 16837 0e94 00                 .byte   0x0
+ 16838 0e95 03                 .uleb128 0x3
+ 16839 0e96 02                 .byte   0x2
+ 16840 0e97 0000               .2byte  .LM538
+ 16841 0e99 03                 .byte   0x3
+ 16842 0e9a 9E05               .sleb128 670
+ 16843 0e9c 01                 .byte   0x1
+ 16844 0e9d 00                 .byte   0x0
+ 16845 0e9e 03                 .uleb128 0x3
+ 16846 0e9f 02                 .byte   0x2
+ 16847 0ea0 0000               .2byte  .LM539
+ 16848 0ea2 03                 .byte   0x3
+ 16849 0ea3 907D               .sleb128 -368
+ 16850 0ea5 01                 .byte   0x1
+ 16851 0ea6 00                 .byte   0x0
+ 16852 0ea7 03                 .uleb128 0x3
+ 16853 0ea8 02                 .byte   0x2
+ 16854 0ea9 0000               .2byte  .LM540
+ 16855 0eab 03                 .byte   0x3
+ 16856 0eac DE7B               .sleb128 -546
+ 16857 0eae 01                 .byte   0x1
+ 16858 0eaf 00                 .byte   0x0
+ 16859 0eb0 03                 .uleb128 0x3
+ 16860 0eb1 02                 .byte   0x2
+ 16861 0eb2 0000               .2byte  .LM541
+ 16862 0eb4 13                 .byte   0x13
+ 16863 0eb5 00                 .byte   0x0
+ 16864 0eb6 03                 .uleb128 0x3
+ 16865 0eb7 02                 .byte   0x2
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 304
+
+
+ 16866 0eb8 0000               .2byte  .LM542
+ 16867 0eba 17                 .byte   0x17
+ 16868 0ebb 00                 .byte   0x0
+ 16869 0ebc 03                 .uleb128 0x3
+ 16870 0ebd 02                 .byte   0x2
+ 16871 0ebe 0000               .2byte  .LM543
+ 16872 0ec0 15                 .byte   0x15
+ 16873 0ec1 00                 .byte   0x0
+ 16874 0ec2 03                 .uleb128 0x3
+ 16875 0ec3 02                 .byte   0x2
+ 16876 0ec4 0000               .2byte  .LM544
+ 16877 0ec6 03                 .byte   0x3
+ 16878 0ec7 9902               .sleb128 281
+ 16879 0ec9 01                 .byte   0x1
+ 16880 0eca 00                 .byte   0x0
+ 16881 0ecb 03                 .uleb128 0x3
+ 16882 0ecc 02                 .byte   0x2
+ 16883 0ecd 0000               .2byte  .LM545
+ 16884 0ecf 13                 .byte   0x13
+ 16885 0ed0 00                 .byte   0x0
+ 16886 0ed1 03                 .uleb128 0x3
+ 16887 0ed2 02                 .byte   0x2
+ 16888 0ed3 0000               .2byte  .LM546
+ 16889 0ed5 17                 .byte   0x17
+ 16890 0ed6 00                 .byte   0x0
+ 16891 0ed7 03                 .uleb128 0x3
+ 16892 0ed8 02                 .byte   0x2
+ 16893 0ed9 0000               .2byte  .LM547
+ 16894 0edb 03                 .byte   0x3
+ 16895 0edc 9A04               .sleb128 538
+ 16896 0ede 01                 .byte   0x1
+ 16897 0edf 00                 .byte   0x0
+ 16898 0ee0 03                 .uleb128 0x3
+ 16899 0ee1 02                 .byte   0x2
+ 16900 0ee2 0000               .2byte  .LM548
+ 16901 0ee4 1D                 .byte   0x1d
+ 16902 0ee5 00                 .byte   0x0
+ 16903 0ee6 03                 .uleb128 0x3
+ 16904 0ee7 02                 .byte   0x2
+ 16905 0ee8 0000               .2byte  .LM549
+ 16906 0eea 15                 .byte   0x15
+ 16907 0eeb 00                 .byte   0x0
+ 16908 0eec 03                 .uleb128 0x3
+ 16909 0eed 02                 .byte   0x2
+ 16910 0eee 0000               .2byte  .LM550
+ 16911 0ef0 03                 .byte   0x3
+ 16912 0ef1 F77C               .sleb128 -393
+ 16913 0ef3 01                 .byte   0x1
+ 16914 0ef4 00                 .byte   0x0
+ 16915 0ef5 03                 .uleb128 0x3
+ 16916 0ef6 02                 .byte   0x2
+ 16917 0ef7 0000               .2byte  .LM551
+ 16918 0ef9 15                 .byte   0x15
+ 16919 0efa 00                 .byte   0x0
+ 16920 0efb 03                 .uleb128 0x3
+ 16921 0efc 02                 .byte   0x2
+ 16922 0efd 0000               .2byte  .LM552
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 305
+
+
+ 16923 0eff 18                 .byte   0x18
+ 16924 0f00 00                 .byte   0x0
+ 16925 0f01 03                 .uleb128 0x3
+ 16926 0f02 02                 .byte   0x2
+ 16927 0f03 0000               .2byte  .LM553
+ 16928 0f05 03                 .byte   0x3
+ 16929 0f06 DE7E               .sleb128 -162
+ 16930 0f08 01                 .byte   0x1
+ 16931 0f09 00                 .byte   0x0
+ 16932 0f0a 03                 .uleb128 0x3
+ 16933 0f0b 02                 .byte   0x2
+ 16934 0f0c 0000               .2byte  .LM554
+ 16935 0f0e 13                 .byte   0x13
+ 16936 0f0f 00                 .byte   0x0
+ 16937 0f10 03                 .uleb128 0x3
+ 16938 0f11 02                 .byte   0x2
+ 16939 0f12 0000               .2byte  .LM555
+ 16940 0f14 17                 .byte   0x17
+ 16941 0f15 00                 .byte   0x0
+ 16942 0f16 03                 .uleb128 0x3
+ 16943 0f17 02                 .byte   0x2
+ 16944 0f18 0000               .2byte  .LM556
+ 16945 0f1a 03                 .byte   0x3
+ 16946 0f1b F903               .sleb128 505
+ 16947 0f1d 01                 .byte   0x1
+ 16948 0f1e 00                 .byte   0x0
+ 16949 0f1f 03                 .uleb128 0x3
+ 16950 0f20 02                 .byte   0x2
+ 16951 0f21 0000               .2byte  .LM557
+ 16952 0f23 03                 .byte   0x3
+ 16953 0f24 B47F               .sleb128 -76
+ 16954 0f26 01                 .byte   0x1
+ 16955 0f27 00                 .byte   0x0
+ 16956 0f28 03                 .uleb128 0x3
+ 16957 0f29 02                 .byte   0x2
+ 16958 0f2a 0000               .2byte  .LM558
+ 16959 0f2c 15                 .byte   0x15
+ 16960 0f2d 00                 .byte   0x0
+ 16961 0f2e 03                 .uleb128 0x3
+ 16962 0f2f 02                 .byte   0x2
+ 16963 0f30 0000               .2byte  .LM559
+ 16964 0f32 0F                 .byte   0xf
+ 16965 0f33 00                 .byte   0x0
+ 16966 0f34 03                 .uleb128 0x3
+ 16967 0f35 02                 .byte   0x2
+ 16968 0f36 0000               .2byte  .LM560
+ 16969 0f38 15                 .byte   0x15
+ 16970 0f39 00                 .byte   0x0
+ 16971 0f3a 03                 .uleb128 0x3
+ 16972 0f3b 02                 .byte   0x2
+ 16973 0f3c 0000               .2byte  .LM561
+ 16974 0f3e 0F                 .byte   0xf
+ 16975 0f3f 00                 .byte   0x0
+ 16976 0f40 03                 .uleb128 0x3
+ 16977 0f41 02                 .byte   0x2
+ 16978 0f42 0000               .2byte  .LM562
+ 16979 0f44 15                 .byte   0x15
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 306
+
+
+ 16980 0f45 00                 .byte   0x0
+ 16981 0f46 03                 .uleb128 0x3
+ 16982 0f47 02                 .byte   0x2
+ 16983 0f48 0000               .2byte  .LM563
+ 16984 0f4a 0C                 .byte   0xc
+ 16985 0f4b 00                 .byte   0x0
+ 16986 0f4c 03                 .uleb128 0x3
+ 16987 0f4d 02                 .byte   0x2
+ 16988 0f4e 0000               .2byte  .LM564
+ 16989 0f50 03                 .byte   0x3
+ 16990 0f51 E07C               .sleb128 -416
+ 16991 0f53 01                 .byte   0x1
+ 16992 0f54 00                 .byte   0x0
+ 16993 0f55 03                 .uleb128 0x3
+ 16994 0f56 02                 .byte   0x2
+ 16995 0f57 0000               .2byte  .LM565
+ 16996 0f59 13                 .byte   0x13
+ 16997 0f5a 00                 .byte   0x0
+ 16998 0f5b 03                 .uleb128 0x3
+ 16999 0f5c 02                 .byte   0x2
+ 17000 0f5d 0000               .2byte  .LM566
+ 17001 0f5f 17                 .byte   0x17
+ 17002 0f60 00                 .byte   0x0
+ 17003 0f61 03                 .uleb128 0x3
+ 17004 0f62 02                 .byte   0x2
+ 17005 0f63 0000               .2byte  .LM567
+ 17006 0f65 03                 .byte   0x3
+ 17007 0f66 A203               .sleb128 418
+ 17008 0f68 01                 .byte   0x1
+ 17009 0f69 00                 .byte   0x0
+ 17010 0f6a 03                 .uleb128 0x3
+ 17011 0f6b 02                 .byte   0x2
+ 17012 0f6c 0000               .2byte  .LM568
+ 17013 0f6e 0F                 .byte   0xf
+ 17014 0f6f 00                 .byte   0x0
+ 17015 0f70 03                 .uleb128 0x3
+ 17016 0f71 02                 .byte   0x2
+ 17017 0f72 0000               .2byte  .LM569
+ 17018 0f74 15                 .byte   0x15
+ 17019 0f75 00                 .byte   0x0
+ 17020 0f76 03                 .uleb128 0x3
+ 17021 0f77 02                 .byte   0x2
+ 17022 0f78 0000               .2byte  .LM570
+ 17023 0f7a 03                 .byte   0x3
+ 17024 0f7b D57C               .sleb128 -427
+ 17025 0f7d 01                 .byte   0x1
+ 17026 0f7e 00                 .byte   0x0
+ 17027 0f7f 03                 .uleb128 0x3
+ 17028 0f80 02                 .byte   0x2
+ 17029 0f81 0000               .2byte  .LM571
+ 17030 0f83 16                 .byte   0x16
+ 17031 0f84 00                 .byte   0x0
+ 17032 0f85 03                 .uleb128 0x3
+ 17033 0f86 02                 .byte   0x2
+ 17034 0f87 0000               .2byte  .LM572
+ 17035 0f89 13                 .byte   0x13
+ 17036 0f8a 00                 .byte   0x0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 307
+
+
+ 17037 0f8b 03                 .uleb128 0x3
+ 17038 0f8c 02                 .byte   0x2
+ 17039 0f8d 0000               .2byte  .LM573
+ 17040 0f8f 03                 .byte   0x3
+ 17041 0f90 DC04               .sleb128 604
+ 17042 0f92 01                 .byte   0x1
+ 17043 0f93 00                 .byte   0x0
+ 17044 0f94 03                 .uleb128 0x3
+ 17045 0f95 02                 .byte   0x2
+ 17046 0f96 0000               .2byte  .LM574
+ 17047 0f98 15                 .byte   0x15
+ 17048 0f99 00                 .byte   0x0
+ 17049 0f9a 03                 .uleb128 0x3
+ 17050 0f9b 02                 .byte   0x2
+ 17051 0f9c 0000               .2byte  .LM575
+ 17052 0f9e 03                 .byte   0x3
+ 17053 0f9f BC7E               .sleb128 -196
+ 17054 0fa1 01                 .byte   0x1
+ 17055 0fa2 00                 .byte   0x0
+ 17056 0fa3 03                 .uleb128 0x3
+ 17057 0fa4 02                 .byte   0x2
+ 17058 0fa5 0000               .2byte  .LM576
+ 17059 0fa7 1A                 .byte   0x1a
+ 17060 0fa8 00                 .byte   0x0
+ 17061 0fa9 03                 .uleb128 0x3
+ 17062 0faa 02                 .byte   0x2
+ 17063 0fab 0000               .2byte  .LM577
+ 17064 0fad 13                 .byte   0x13
+ 17065 0fae 00                 .byte   0x0
+ 17066 0faf 03                 .uleb128 0x3
+ 17067 0fb0 02                 .byte   0x2
+ 17068 0fb1 0000               .2byte  .LM578
+ 17069 0fb3 03                 .byte   0x3
+ 17070 0fb4 F37C               .sleb128 -397
+ 17071 0fb6 01                 .byte   0x1
+ 17072 0fb7 00                 .byte   0x0
+ 17073 0fb8 03                 .uleb128 0x3
+ 17074 0fb9 02                 .byte   0x2
+ 17075 0fba 0000               .2byte  .LM579
+ 17076 0fbc 15                 .byte   0x15
+ 17077 0fbd 00                 .byte   0x0
+ 17078 0fbe 03                 .uleb128 0x3
+ 17079 0fbf 02                 .byte   0x2
+ 17080 0fc0 0000               .2byte  .LM580
+ 17081 0fc2 03                 .byte   0x3
+ 17082 0fc3 6D                 .sleb128 -19
+ 17083 0fc4 01                 .byte   0x1
+ 17084 0fc5 00                 .byte   0x0
+ 17085 0fc6 03                 .uleb128 0x3
+ 17086 0fc7 02                 .byte   0x2
+ 17087 0fc8 0000               .2byte  .LM581
+ 17088 0fca 16                 .byte   0x16
+ 17089 0fcb 00                 .byte   0x0
+ 17090 0fcc 03                 .uleb128 0x3
+ 17091 0fcd 02                 .byte   0x2
+ 17092 0fce 0000               .2byte  .LM582
+ 17093 0fd0 13                 .byte   0x13
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 308
+
+
+ 17094 0fd1 00                 .byte   0x0
+ 17095 0fd2 03                 .uleb128 0x3
+ 17096 0fd3 02                 .byte   0x2
+ 17097 0fd4 0000               .2byte  .LM583
+ 17098 0fd6 03                 .byte   0x3
+ 17099 0fd7 9703               .sleb128 407
+ 17100 0fd9 01                 .byte   0x1
+ 17101 0fda 00                 .byte   0x0
+ 17102 0fdb 03                 .uleb128 0x3
+ 17103 0fdc 02                 .byte   0x2
+ 17104 0fdd 0000               .2byte  .LM584
+ 17105 0fdf 15                 .byte   0x15
+ 17106 0fe0 00                 .byte   0x0
+ 17107 0fe1 03                 .uleb128 0x3
+ 17108 0fe2 02                 .byte   0x2
+ 17109 0fe3 0000               .2byte  .LM585
+ 17110 0fe5 15                 .byte   0x15
+ 17111 0fe6 00                 .byte   0x0
+ 17112 0fe7 03                 .uleb128 0x3
+ 17113 0fe8 02                 .byte   0x2
+ 17114 0fe9 0000               .2byte  .LM586
+ 17115 0feb 03                 .byte   0x3
+ 17116 0fec FE7C               .sleb128 -386
+ 17117 0fee 01                 .byte   0x1
+ 17118 0fef 00                 .byte   0x0
+ 17119 0ff0 03                 .uleb128 0x3
+ 17120 0ff1 02                 .byte   0x2
+ 17121 0ff2 0000               .2byte  .LM587
+ 17122 0ff4 15                 .byte   0x15
+ 17123 0ff5 00                 .byte   0x0
+ 17124 0ff6 03                 .uleb128 0x3
+ 17125 0ff7 02                 .byte   0x2
+ 17126 0ff8 0000               .2byte  .LM588
+ 17127 0ffa 03                 .byte   0x3
+ 17128 0ffb FE01               .sleb128 254
+ 17129 0ffd 01                 .byte   0x1
+ 17130 0ffe 00                 .byte   0x0
+ 17131 0fff 03                 .uleb128 0x3
+ 17132 1000 02                 .byte   0x2
+ 17133 1001 0000               .2byte  .LM589
+ 17134 1003 03                 .byte   0x3
+ 17135 1004 EA7D               .sleb128 -278
+ 17136 1006 01                 .byte   0x1
+ 17137 1007 00                 .byte   0x0
+ 17138 1008 03                 .uleb128 0x3
+ 17139 1009 02                 .byte   0x2
+ 17140 100a 0000               .2byte  .LM590
+ 17141 100c 15                 .byte   0x15
+ 17142 100d 00                 .byte   0x0
+ 17143 100e 03                 .uleb128 0x3
+ 17144 100f 02                 .byte   0x2
+ 17145 1010 0000               .2byte  .LM591
+ 17146 1012 13                 .byte   0x13
+ 17147 1013 00                 .byte   0x0
+ 17148 1014 03                 .uleb128 0x3
+ 17149 1015 02                 .byte   0x2
+ 17150 1016 0000               .2byte  .LM592
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 309
+
+
+ 17151 1018 03                 .byte   0x3
+ 17152 1019 E07D               .sleb128 -288
+ 17153 101b 01                 .byte   0x1
+ 17154 101c 00                 .byte   0x0
+ 17155 101d 03                 .uleb128 0x3
+ 17156 101e 02                 .byte   0x2
+ 17157 101f 0000               .2byte  .LM593
+ 17158 1021 16                 .byte   0x16
+ 17159 1022 00                 .byte   0x0
+ 17160 1023 03                 .uleb128 0x3
+ 17161 1024 02                 .byte   0x2
+ 17162 1025 0000               .2byte  .LM594
+ 17163 1027 15                 .byte   0x15
+ 17164 1028 00                 .byte   0x0
+ 17165 1029 03                 .uleb128 0x3
+ 17166 102a 02                 .byte   0x2
+ 17167 102b 0000               .2byte  .LM595
+ 17168 102d 13                 .byte   0x13
+ 17169 102e 00                 .byte   0x0
+ 17170 102f 03                 .uleb128 0x3
+ 17171 1030 02                 .byte   0x2
+ 17172 1031 0000               .2byte  .LM596
+ 17173 1033 17                 .byte   0x17
+ 17174 1034 00                 .byte   0x0
+ 17175 1035 03                 .uleb128 0x3
+ 17176 1036 02                 .byte   0x2
+ 17177 1037 0000               .2byte  .LM597
+ 17178 1039 10                 .byte   0x10
+ 17179 103a 00                 .byte   0x0
+ 17180 103b 03                 .uleb128 0x3
+ 17181 103c 02                 .byte   0x2
+ 17182 103d 0000               .2byte  .LM598
+ 17183 103f 19                 .byte   0x19
+ 17184 1040 00                 .byte   0x0
+ 17185 1041 03                 .uleb128 0x3
+ 17186 1042 02                 .byte   0x2
+ 17187 1043 0000               .2byte  .LM599
+ 17188 1045 0E                 .byte   0xe
+ 17189 1046 00                 .byte   0x0
+ 17190 1047 03                 .uleb128 0x3
+ 17191 1048 02                 .byte   0x2
+ 17192 1049 0000               .2byte  .LM600
+ 17193 104b 16                 .byte   0x16
+ 17194 104c 00                 .byte   0x0
+ 17195 104d 03                 .uleb128 0x3
+ 17196 104e 02                 .byte   0x2
+ 17197 104f 0000               .2byte  .LM601
+ 17198 1051 15                 .byte   0x15
+ 17199 1052 00                 .byte   0x0
+ 17200 1053 03                 .uleb128 0x3
+ 17201 1054 02                 .byte   0x2
+ 17202 1055 0000               .2byte  .LM602
+ 17203 1057 13                 .byte   0x13
+ 17204 1058 00                 .byte   0x0
+ 17205 1059 03                 .uleb128 0x3
+ 17206 105a 02                 .byte   0x2
+ 17207 105b 0000               .2byte  .LM603
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 310
+
+
+ 17208 105d 17                 .byte   0x17
+ 17209 105e 00                 .byte   0x0
+ 17210 105f 03                 .uleb128 0x3
+ 17211 1060 02                 .byte   0x2
+ 17212 1061 0000               .2byte  .LM604
+ 17213 1063 10                 .byte   0x10
+ 17214 1064 00                 .byte   0x0
+ 17215 1065 03                 .uleb128 0x3
+ 17216 1066 02                 .byte   0x2
+ 17217 1067 0000               .2byte  .LM605
+ 17218 1069 19                 .byte   0x19
+ 17219 106a 00                 .byte   0x0
+ 17220 106b 03                 .uleb128 0x3
+ 17221 106c 02                 .byte   0x2
+ 17222 106d 0000               .2byte  .LM606
+ 17223 106f 03                 .byte   0x3
+ 17224 1070 C506               .sleb128 837
+ 17225 1072 01                 .byte   0x1
+ 17226 1073 00                 .byte   0x0
+ 17227 1074 03                 .uleb128 0x3
+ 17228 1075 02                 .byte   0x2
+ 17229 1076 0000               .2byte  .LM607
+ 17230 1078 1C                 .byte   0x1c
+ 17231 1079 00                 .byte   0x0
+ 17232 107a 03                 .uleb128 0x3
+ 17233 107b 02                 .byte   0x2
+ 17234 107c 0000               .2byte  .LM608
+ 17235 107e 03                 .byte   0x3
+ 17236 107f D77B               .sleb128 -553
+ 17237 1081 01                 .byte   0x1
+ 17238 1082 00                 .byte   0x0
+ 17239 1083 03                 .uleb128 0x3
+ 17240 1084 02                 .byte   0x2
+ 17241 1085 0000               .2byte  .LM609
+ 17242 1087 13                 .byte   0x13
+ 17243 1088 00                 .byte   0x0
+ 17244 1089 03                 .uleb128 0x3
+ 17245 108a 02                 .byte   0x2
+ 17246 108b 0000               .2byte  .LM610
+ 17247 108d 17                 .byte   0x17
+ 17248 108e 00                 .byte   0x0
+ 17249 108f 03                 .uleb128 0x3
+ 17250 1090 02                 .byte   0x2
+ 17251 1091 0000               .2byte  .LM611
+ 17252 1093 03                 .byte   0x3
+ 17253 1094 B004               .sleb128 560
+ 17254 1096 01                 .byte   0x1
+ 17255 1097 00                 .byte   0x0
+ 17256 1098 03                 .uleb128 0x3
+ 17257 1099 02                 .byte   0x2
+ 17258 109a 0000               .2byte  .LM612
+ 17259 109c 03                 .byte   0x3
+ 17260 109d 74                 .sleb128 -12
+ 17261 109e 01                 .byte   0x1
+ 17262 109f 00                 .byte   0x0
+ 17263 10a0 03                 .uleb128 0x3
+ 17264 10a1 02                 .byte   0x2
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 311
+
+
+ 17265 10a2 0000               .2byte  .LM613
+ 17266 10a4 18                 .byte   0x18
+ 17267 10a5 00                 .byte   0x0
+ 17268 10a6 03                 .uleb128 0x3
+ 17269 10a7 02                 .byte   0x2
+ 17270 10a8 0000               .2byte  .LM614
+ 17271 10aa 13                 .byte   0x13
+ 17272 10ab 00                 .byte   0x0
+ 17273 10ac 03                 .uleb128 0x3
+ 17274 10ad 02                 .byte   0x2
+ 17275 10ae 0000               .2byte  .LM615
+ 17276 10b0 39                 .byte   0x39
+ 17277 10b1 00                 .byte   0x0
+ 17278 10b2 03                 .uleb128 0x3
+ 17279 10b3 02                 .byte   0x2
+ 17280 10b4 0000               .2byte  .LM616
+ 17281 10b6 03                 .byte   0x3
+ 17282 10b7 8879               .sleb128 -888
+ 17283 10b9 01                 .byte   0x1
+ 17284 10ba 00                 .byte   0x0
+ 17285 10bb 03                 .uleb128 0x3
+ 17286 10bc 02                 .byte   0x2
+ 17287 10bd 0000               .2byte  .LM617
+ 17288 10bf 16                 .byte   0x16
+ 17289 10c0 00                 .byte   0x0
+ 17290 10c1 03                 .uleb128 0x3
+ 17291 10c2 02                 .byte   0x2
+ 17292 10c3 0000               .2byte  .LM618
+ 17293 10c5 15                 .byte   0x15
+ 17294 10c6 00                 .byte   0x0
+ 17295 10c7 03                 .uleb128 0x3
+ 17296 10c8 02                 .byte   0x2
+ 17297 10c9 0000               .2byte  .LM619
+ 17298 10cb 13                 .byte   0x13
+ 17299 10cc 00                 .byte   0x0
+ 17300 10cd 03                 .uleb128 0x3
+ 17301 10ce 02                 .byte   0x2
+ 17302 10cf 0000               .2byte  .LM620
+ 17303 10d1 17                 .byte   0x17
+ 17304 10d2 00                 .byte   0x0
+ 17305 10d3 03                 .uleb128 0x3
+ 17306 10d4 02                 .byte   0x2
+ 17307 10d5 0000               .2byte  .LM621
+ 17308 10d7 10                 .byte   0x10
+ 17309 10d8 00                 .byte   0x0
+ 17310 10d9 03                 .uleb128 0x3
+ 17311 10da 02                 .byte   0x2
+ 17312 10db 0000               .2byte  .LM622
+ 17313 10dd 19                 .byte   0x19
+ 17314 10de 00                 .byte   0x0
+ 17315 10df 03                 .uleb128 0x3
+ 17316 10e0 02                 .byte   0x2
+ 17317 10e1 0000               .2byte  .LM623
+ 17318 10e3 03                 .byte   0x3
+ 17319 10e4 F406               .sleb128 884
+ 17320 10e6 01                 .byte   0x1
+ 17321 10e7 00                 .byte   0x0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 312
+
+
+ 17322 10e8 03                 .uleb128 0x3
+ 17323 10e9 02                 .byte   0x2
+ 17324 10ea 0000               .2byte  .LM624
+ 17325 10ec 03                 .byte   0x3
+ 17326 10ed 8679               .sleb128 -890
+ 17327 10ef 01                 .byte   0x1
+ 17328 10f0 00                 .byte   0x0
+ 17329 10f1 03                 .uleb128 0x3
+ 17330 10f2 02                 .byte   0x2
+ 17331 10f3 0000               .2byte  .LM625
+ 17332 10f5 16                 .byte   0x16
+ 17333 10f6 00                 .byte   0x0
+ 17334 10f7 03                 .uleb128 0x3
+ 17335 10f8 02                 .byte   0x2
+ 17336 10f9 0000               .2byte  .LM626
+ 17337 10fb 15                 .byte   0x15
+ 17338 10fc 00                 .byte   0x0
+ 17339 10fd 03                 .uleb128 0x3
+ 17340 10fe 02                 .byte   0x2
+ 17341 10ff 0000               .2byte  .LM627
+ 17342 1101 13                 .byte   0x13
+ 17343 1102 00                 .byte   0x0
+ 17344 1103 03                 .uleb128 0x3
+ 17345 1104 02                 .byte   0x2
+ 17346 1105 0000               .2byte  .LM628
+ 17347 1107 17                 .byte   0x17
+ 17348 1108 00                 .byte   0x0
+ 17349 1109 03                 .uleb128 0x3
+ 17350 110a 02                 .byte   0x2
+ 17351 110b 0000               .2byte  .LM629
+ 17352 110d 10                 .byte   0x10
+ 17353 110e 00                 .byte   0x0
+ 17354 110f 03                 .uleb128 0x3
+ 17355 1110 02                 .byte   0x2
+ 17356 1111 0000               .2byte  .LM630
+ 17357 1113 19                 .byte   0x19
+ 17358 1114 00                 .byte   0x0
+ 17359 1115 03                 .uleb128 0x3
+ 17360 1116 02                 .byte   0x2
+ 17361 1117 0000               .2byte  .LM631
+ 17362 1119 03                 .byte   0x3
+ 17363 111a F606               .sleb128 886
+ 17364 111c 01                 .byte   0x1
+ 17365 111d 00                 .byte   0x0
+ 17366 111e 03                 .uleb128 0x3
+ 17367 111f 02                 .byte   0x2
+ 17368 1120 0000               .2byte  .LM632
+ 17369 1122 03                 .byte   0x3
+ 17370 1123 69                 .sleb128 -23
+ 17371 1124 01                 .byte   0x1
+ 17372 1125 00                 .byte   0x0
+ 17373 1126 03                 .uleb128 0x3
+ 17374 1127 02                 .byte   0x2
+ 17375 1128 0000               .2byte  .LM633
+ 17376 112a 1B                 .byte   0x1b
+ 17377 112b 00                 .byte   0x0
+ 17378 112c 03                 .uleb128 0x3
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 313
+
+
+ 17379 112d 02                 .byte   0x2
+ 17380 112e 0000               .2byte  .LM634
+ 17381 1130 15                 .byte   0x15
+ 17382 1131 00                 .byte   0x0
+ 17383 1132 03                 .uleb128 0x3
+ 17384 1133 02                 .byte   0x2
+ 17385 1134 0000               .2byte  .LM635
+ 17386 1136 15                 .byte   0x15
+ 17387 1137 00                 .byte   0x0
+ 17388 1138 03                 .uleb128 0x3
+ 17389 1139 02                 .byte   0x2
+ 17390 113a 0000               .2byte  .LM636
+ 17391 113c 03                 .byte   0x3
+ 17392 113d 9279               .sleb128 -878
+ 17393 113f 01                 .byte   0x1
+ 17394 1140 00                 .byte   0x0
+ 17395 1141 03                 .uleb128 0x3
+ 17396 1142 02                 .byte   0x2
+ 17397 1143 0000               .2byte  .LM637
+ 17398 1145 16                 .byte   0x16
+ 17399 1146 00                 .byte   0x0
+ 17400 1147 03                 .uleb128 0x3
+ 17401 1148 02                 .byte   0x2
+ 17402 1149 0000               .2byte  .LM638
+ 17403 114b 15                 .byte   0x15
+ 17404 114c 00                 .byte   0x0
+ 17405 114d 03                 .uleb128 0x3
+ 17406 114e 02                 .byte   0x2
+ 17407 114f 0000               .2byte  .LM639
+ 17408 1151 13                 .byte   0x13
+ 17409 1152 00                 .byte   0x0
+ 17410 1153 03                 .uleb128 0x3
+ 17411 1154 02                 .byte   0x2
+ 17412 1155 0000               .2byte  .LM640
+ 17413 1157 17                 .byte   0x17
+ 17414 1158 00                 .byte   0x0
+ 17415 1159 03                 .uleb128 0x3
+ 17416 115a 02                 .byte   0x2
+ 17417 115b 0000               .2byte  .LM641
+ 17418 115d 10                 .byte   0x10
+ 17419 115e 00                 .byte   0x0
+ 17420 115f 03                 .uleb128 0x3
+ 17421 1160 02                 .byte   0x2
+ 17422 1161 0000               .2byte  .LM642
+ 17423 1163 19                 .byte   0x19
+ 17424 1164 00                 .byte   0x0
+ 17425 1165 03                 .uleb128 0x3
+ 17426 1166 02                 .byte   0x2
+ 17427 1167 0000               .2byte  .LM643
+ 17428 1169 03                 .byte   0x3
+ 17429 116a EA06               .sleb128 874
+ 17430 116c 01                 .byte   0x1
+ 17431 116d 00                 .byte   0x0
+ 17432 116e 03                 .uleb128 0x3
+ 17433 116f 02                 .byte   0x2
+ 17434 1170 0000               .2byte  .LM644
+ 17435 1172 03                 .byte   0x3
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 314
+
+
+ 17436 1173 9079               .sleb128 -880
+ 17437 1175 01                 .byte   0x1
+ 17438 1176 00                 .byte   0x0
+ 17439 1177 03                 .uleb128 0x3
+ 17440 1178 02                 .byte   0x2
+ 17441 1179 0000               .2byte  .LM645
+ 17442 117b 16                 .byte   0x16
+ 17443 117c 00                 .byte   0x0
+ 17444 117d 03                 .uleb128 0x3
+ 17445 117e 02                 .byte   0x2
+ 17446 117f 0000               .2byte  .LM646
+ 17447 1181 15                 .byte   0x15
+ 17448 1182 00                 .byte   0x0
+ 17449 1183 03                 .uleb128 0x3
+ 17450 1184 02                 .byte   0x2
+ 17451 1185 0000               .2byte  .LM647
+ 17452 1187 13                 .byte   0x13
+ 17453 1188 00                 .byte   0x0
+ 17454 1189 03                 .uleb128 0x3
+ 17455 118a 02                 .byte   0x2
+ 17456 118b 0000               .2byte  .LM648
+ 17457 118d 17                 .byte   0x17
+ 17458 118e 00                 .byte   0x0
+ 17459 118f 03                 .uleb128 0x3
+ 17460 1190 02                 .byte   0x2
+ 17461 1191 0000               .2byte  .LM649
+ 17462 1193 10                 .byte   0x10
+ 17463 1194 00                 .byte   0x0
+ 17464 1195 03                 .uleb128 0x3
+ 17465 1196 02                 .byte   0x2
+ 17466 1197 0000               .2byte  .LM650
+ 17467 1199 19                 .byte   0x19
+ 17468 119a 00                 .byte   0x0
+ 17469 119b 03                 .uleb128 0x3
+ 17470 119c 02                 .byte   0x2
+ 17471 119d 0000               .2byte  .LM651
+ 17472 119f 0E                 .byte   0xe
+ 17473 11a0 00                 .byte   0x0
+ 17474 11a1 03                 .uleb128 0x3
+ 17475 11a2 02                 .byte   0x2
+ 17476 11a3 0000               .2byte  .LM652
+ 17477 11a5 16                 .byte   0x16
+ 17478 11a6 00                 .byte   0x0
+ 17479 11a7 03                 .uleb128 0x3
+ 17480 11a8 02                 .byte   0x2
+ 17481 11a9 0000               .2byte  .LM653
+ 17482 11ab 15                 .byte   0x15
+ 17483 11ac 00                 .byte   0x0
+ 17484 11ad 03                 .uleb128 0x3
+ 17485 11ae 02                 .byte   0x2
+ 17486 11af 0000               .2byte  .LM654
+ 17487 11b1 13                 .byte   0x13
+ 17488 11b2 00                 .byte   0x0
+ 17489 11b3 03                 .uleb128 0x3
+ 17490 11b4 02                 .byte   0x2
+ 17491 11b5 0000               .2byte  .LM655
+ 17492 11b7 17                 .byte   0x17
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 315
+
+
+ 17493 11b8 00                 .byte   0x0
+ 17494 11b9 03                 .uleb128 0x3
+ 17495 11ba 02                 .byte   0x2
+ 17496 11bb 0000               .2byte  .LM656
+ 17497 11bd 10                 .byte   0x10
+ 17498 11be 00                 .byte   0x0
+ 17499 11bf 03                 .uleb128 0x3
+ 17500 11c0 02                 .byte   0x2
+ 17501 11c1 0000               .2byte  .LM657
+ 17502 11c3 19                 .byte   0x19
+ 17503 11c4 00                 .byte   0x0
+ 17504 11c5 03                 .uleb128 0x3
+ 17505 11c6 02                 .byte   0x2
+ 17506 11c7 0000               .2byte  .LM658
+ 17507 11c9 03                 .byte   0x3
+ 17508 11ca FE05               .sleb128 766
+ 17509 11cc 01                 .byte   0x1
+ 17510 11cd 00                 .byte   0x0
+ 17511 11ce 03                 .uleb128 0x3
+ 17512 11cf 02                 .byte   0x2
+ 17513 11d0 0000               .2byte  .LM659
+ 17514 11d2 03                 .byte   0x3
+ 17515 11d3 A67C               .sleb128 -474
+ 17516 11d5 01                 .byte   0x1
+ 17517 11d6 00                 .byte   0x0
+ 17518 11d7 03                 .uleb128 0x3
+ 17519 11d8 02                 .byte   0x2
+ 17520 11d9 0000               .2byte  .LM660
+ 17521 11db 13                 .byte   0x13
+ 17522 11dc 00                 .byte   0x0
+ 17523 11dd 03                 .uleb128 0x3
+ 17524 11de 02                 .byte   0x2
+ 17525 11df 0000               .2byte  .LM661
+ 17526 11e1 17                 .byte   0x17
+ 17527 11e2 00                 .byte   0x0
+ 17528 11e3 03                 .uleb128 0x3
+ 17529 11e4 02                 .byte   0x2
+ 17530 11e5 0000               .2byte  .LM662
+ 17531 11e7 03                 .byte   0x3
+ 17532 11e8 73                 .sleb128 -13
+ 17533 11e9 01                 .byte   0x1
+ 17534 11ea 00                 .byte   0x0
+ 17535 11eb 03                 .uleb128 0x3
+ 17536 11ec 02                 .byte   0x2
+ 17537 11ed 0000               .2byte  .LM663
+ 17538 11ef 03                 .byte   0x3
+ 17539 11f0 8E02               .sleb128 270
+ 17540 11f2 01                 .byte   0x1
+ 17541 11f3 00                 .byte   0x0
+ 17542 11f4 03                 .uleb128 0x3
+ 17543 11f5 02                 .byte   0x2
+ 17544 11f6 0000               .2byte  .LM664
+ 17545 11f8 03                 .byte   0x3
+ 17546 11f9 F47D               .sleb128 -268
+ 17547 11fb 01                 .byte   0x1
+ 17548 11fc 00                 .byte   0x0
+ 17549 11fd 03                 .uleb128 0x3
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 316
+
+
+ 17550 11fe 02                 .byte   0x2
+ 17551 11ff 0000               .2byte  .LM665
+ 17552 1201 13                 .byte   0x13
+ 17553 1202 00                 .byte   0x0
+ 17554 1203 03                 .uleb128 0x3
+ 17555 1204 02                 .byte   0x2
+ 17556 1205 0000               .2byte  .LM666
+ 17557 1207 13                 .byte   0x13
+ 17558 1208 00                 .byte   0x0
+ 17559 1209 03                 .uleb128 0x3
+ 17560 120a 02                 .byte   0x2
+ 17561 120b 0000               .2byte  .LM667
+ 17562 120d 16                 .byte   0x16
+ 17563 120e 00                 .byte   0x0
+ 17564 120f 03                 .uleb128 0x3
+ 17565 1210 02                 .byte   0x2
+ 17566 1211 0000               .2byte  .LM668
+ 17567 1213 13                 .byte   0x13
+ 17568 1214 00                 .byte   0x0
+ 17569 1215 03                 .uleb128 0x3
+ 17570 1216 02                 .byte   0x2
+ 17571 1217 0000               .2byte  .LM669
+ 17572 1219 03                 .byte   0x3
+ 17573 121a E003               .sleb128 480
+ 17574 121c 01                 .byte   0x1
+ 17575 121d 00                 .byte   0x0
+ 17576 121e 03                 .uleb128 0x3
+ 17577 121f 02                 .byte   0x2
+ 17578 1220 0000               .2byte  .LM670
+ 17579 1222 15                 .byte   0x15
+ 17580 1223 00                 .byte   0x0
+ 17581 1224 03                 .uleb128 0x3
+ 17582 1225 02                 .byte   0x2
+ 17583 1226 0000               .2byte  .LM671
+ 17584 1228 03                 .byte   0x3
+ 17585 1229 9E7C               .sleb128 -482
+ 17586 122b 01                 .byte   0x1
+ 17587 122c 00                 .byte   0x0
+ 17588 122d 03                 .uleb128 0x3
+ 17589 122e 02                 .byte   0x2
+ 17590 122f 0000               .2byte  .LM672
+ 17591 1231 16                 .byte   0x16
+ 17592 1232 00                 .byte   0x0
+ 17593 1233 03                 .uleb128 0x3
+ 17594 1234 02                 .byte   0x2
+ 17595 1235 0000               .2byte  .LM673
+ 17596 1237 13                 .byte   0x13
+ 17597 1238 00                 .byte   0x0
+ 17598 1239 03                 .uleb128 0x3
+ 17599 123a 02                 .byte   0x2
+ 17600 123b 0000               .2byte  .LM674
+ 17601 123d 03                 .byte   0x3
+ 17602 123e D803               .sleb128 472
+ 17603 1240 01                 .byte   0x1
+ 17604 1241 00                 .byte   0x0
+ 17605 1242 03                 .uleb128 0x3
+ 17606 1243 02                 .byte   0x2
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 317
+
+
+ 17607 1244 0000               .2byte  .LM675
+ 17608 1246 03                 .byte   0x3
+ 17609 1247 B27C               .sleb128 -462
+ 17610 1249 01                 .byte   0x1
+ 17611 124a 00                 .byte   0x0
+ 17612 124b 03                 .uleb128 0x3
+ 17613 124c 02                 .byte   0x2
+ 17614 124d 0000               .2byte  .LM676
+ 17615 124f 13                 .byte   0x13
+ 17616 1250 00                 .byte   0x0
+ 17617 1251 03                 .uleb128 0x3
+ 17618 1252 02                 .byte   0x2
+ 17619 1253 0000               .2byte  .LM677
+ 17620 1255 17                 .byte   0x17
+ 17621 1256 00                 .byte   0x0
+ 17622 1257 03                 .uleb128 0x3
+ 17623 1258 02                 .byte   0x2
+ 17624 1259 0000               .2byte  .LM678
+ 17625 125b 19                 .byte   0x19
+ 17626 125c 00                 .byte   0x0
+ 17627 125d 03                 .uleb128 0x3
+ 17628 125e 02                 .byte   0x2
+ 17629 125f 0000               .2byte  .LM679
+ 17630 1261 15                 .byte   0x15
+ 17631 1262 00                 .byte   0x0
+ 17632 1263 03                 .uleb128 0x3
+ 17633 1264 02                 .byte   0x2
+ 17634 1265 0000               .2byte  .LM680
+ 17635 1267 03                 .byte   0x3
+ 17636 1268 C003               .sleb128 448
+ 17637 126a 01                 .byte   0x1
+ 17638 126b 00                 .byte   0x0
+ 17639 126c 03                 .uleb128 0x3
+ 17640 126d 02                 .byte   0x2
+ 17641 126e 0000               .2byte  .LM681
+ 17642 1270 15                 .byte   0x15
+ 17643 1271 00                 .byte   0x0
+ 17644 1272 03                 .uleb128 0x3
+ 17645 1273 02                 .byte   0x2
+ 17646 1274 0000               .2byte  .LM682
+ 17647 1276 03                 .byte   0x3
+ 17648 1277 AC7C               .sleb128 -468
+ 17649 1279 01                 .byte   0x1
+ 17650 127a 00                 .byte   0x0
+ 17651 127b 03                 .uleb128 0x3
+ 17652 127c 02                 .byte   0x2
+ 17653 127d 0000               .2byte  .LM683
+ 17654 127f 16                 .byte   0x16
+ 17655 1280 00                 .byte   0x0
+ 17656 1281 03                 .uleb128 0x3
+ 17657 1282 02                 .byte   0x2
+ 17658 1283 0000               .2byte  .LM684
+ 17659 1285 13                 .byte   0x13
+ 17660 1286 00                 .byte   0x0
+ 17661 1287 03                 .uleb128 0x3
+ 17662 1288 02                 .byte   0x2
+ 17663 1289 0000               .2byte  .LM685
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 318
+
+
+ 17664 128b 03                 .byte   0x3
+ 17665 128c E07D               .sleb128 -288
+ 17666 128e 01                 .byte   0x1
+ 17667 128f 00                 .byte   0x0
+ 17668 1290 03                 .uleb128 0x3
+ 17669 1291 02                 .byte   0x2
+ 17670 1292 0000               .2byte  .LM686
+ 17671 1294 16                 .byte   0x16
+ 17672 1295 00                 .byte   0x0
+ 17673 1296 03                 .uleb128 0x3
+ 17674 1297 02                 .byte   0x2
+ 17675 1298 0000               .2byte  .LM687
+ 17676 129a 15                 .byte   0x15
+ 17677 129b 00                 .byte   0x0
+ 17678 129c 03                 .uleb128 0x3
+ 17679 129d 02                 .byte   0x2
+ 17680 129e 0000               .2byte  .LM688
+ 17681 12a0 13                 .byte   0x13
+ 17682 12a1 00                 .byte   0x0
+ 17683 12a2 03                 .uleb128 0x3
+ 17684 12a3 02                 .byte   0x2
+ 17685 12a4 0000               .2byte  .LM689
+ 17686 12a6 17                 .byte   0x17
+ 17687 12a7 00                 .byte   0x0
+ 17688 12a8 03                 .uleb128 0x3
+ 17689 12a9 02                 .byte   0x2
+ 17690 12aa 0000               .2byte  .LM690
+ 17691 12ac 03                 .byte   0x3
+ 17692 12ad FD01               .sleb128 253
+ 17693 12af 01                 .byte   0x1
+ 17694 12b0 00                 .byte   0x0
+ 17695 12b1 03                 .uleb128 0x3
+ 17696 12b2 02                 .byte   0x2
+ 17697 12b3 0000               .2byte  .LM691
+ 17698 12b5 03                 .byte   0x3
+ 17699 12b6 E403               .sleb128 484
+ 17700 12b8 01                 .byte   0x1
+ 17701 12b9 00                 .byte   0x0
+ 17702 12ba 03                 .uleb128 0x3
+ 17703 12bb 02                 .byte   0x2
+ 17704 12bc 0000               .2byte  .LM692
+ 17705 12be 03                 .byte   0x3
+ 17706 12bf 9A7A               .sleb128 -742
+ 17707 12c1 01                 .byte   0x1
+ 17708 12c2 00                 .byte   0x0
+ 17709 12c3 03                 .uleb128 0x3
+ 17710 12c4 02                 .byte   0x2
+ 17711 12c5 0000               .2byte  .LM693
+ 17712 12c7 16                 .byte   0x16
+ 17713 12c8 00                 .byte   0x0
+ 17714 12c9 03                 .uleb128 0x3
+ 17715 12ca 02                 .byte   0x2
+ 17716 12cb 0000               .2byte  .LM694
+ 17717 12cd 15                 .byte   0x15
+ 17718 12ce 00                 .byte   0x0
+ 17719 12cf 03                 .uleb128 0x3
+ 17720 12d0 02                 .byte   0x2
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 319
+
+
+ 17721 12d1 0000               .2byte  .LM695
+ 17722 12d3 13                 .byte   0x13
+ 17723 12d4 00                 .byte   0x0
+ 17724 12d5 03                 .uleb128 0x3
+ 17725 12d6 02                 .byte   0x2
+ 17726 12d7 0000               .2byte  .LM696
+ 17727 12d9 17                 .byte   0x17
+ 17728 12da 00                 .byte   0x0
+ 17729 12db 03                 .uleb128 0x3
+ 17730 12dc 02                 .byte   0x2
+ 17731 12dd 0000               .2byte  .LM697
+ 17732 12df 10                 .byte   0x10
+ 17733 12e0 00                 .byte   0x0
+ 17734 12e1 03                 .uleb128 0x3
+ 17735 12e2 02                 .byte   0x2
+ 17736 12e3 0000               .2byte  .LM698
+ 17737 12e5 19                 .byte   0x19
+ 17738 12e6 00                 .byte   0x0
+ 17739 12e7 03                 .uleb128 0x3
+ 17740 12e8 02                 .byte   0x2
+ 17741 12e9 0000               .2byte  .LM699
+ 17742 12eb 03                 .byte   0x3
+ 17743 12ec FE01               .sleb128 254
+ 17744 12ee 01                 .byte   0x1
+ 17745 12ef 00                 .byte   0x0
+ 17746 12f0 03                 .uleb128 0x3
+ 17747 12f1 02                 .byte   0x2
+ 17748 12f2 0000               .2byte  .LM700
+ 17749 12f4 12                 .byte   0x12
+ 17750 12f5 00                 .byte   0x0
+ 17751 12f6 03                 .uleb128 0x3
+ 17752 12f7 02                 .byte   0x2
+ 17753 12f8 0000               .2byte  .LM701
+ 17754 12fa 03                 .byte   0x3
+ 17755 12fb E003               .sleb128 480
+ 17756 12fd 01                 .byte   0x1
+ 17757 12fe 00                 .byte   0x0
+ 17758 12ff 03                 .uleb128 0x3
+ 17759 1300 02                 .byte   0x2
+ 17760 1301 0000               .2byte  .LM702
+ 17761 1303 03                 .byte   0x3
+ 17762 1304 C87C               .sleb128 -440
+ 17763 1306 01                 .byte   0x1
+ 17764 1307 00                 .byte   0x0
+ 17765 1308 03                 .uleb128 0x3
+ 17766 1309 02                 .byte   0x2
+ 17767 130a 0000               .2byte  .LM703
+ 17768 130c 13                 .byte   0x13
+ 17769 130d 00                 .byte   0x0
+ 17770 130e 03                 .uleb128 0x3
+ 17771 130f 02                 .byte   0x2
+ 17772 1310 0000               .2byte  .LM704
+ 17773 1312 17                 .byte   0x17
+ 17774 1313 00                 .byte   0x0
+ 17775 1314 03                 .uleb128 0x3
+ 17776 1315 02                 .byte   0x2
+ 17777 1316 0000               .2byte  .LM705
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 320
+
+
+ 17778 1318 03                 .byte   0x3
+ 17779 1319 BA03               .sleb128 442
+ 17780 131b 01                 .byte   0x1
+ 17781 131c 00                 .byte   0x0
+ 17782 131d 03                 .uleb128 0x3
+ 17783 131e 02                 .byte   0x2
+ 17784 131f 0000               .2byte  .LM706
+ 17785 1321 03                 .byte   0x3
+ 17786 1322 EC7D               .sleb128 -276
+ 17787 1324 01                 .byte   0x1
+ 17788 1325 00                 .byte   0x0
+ 17789 1326 03                 .uleb128 0x3
+ 17790 1327 02                 .byte   0x2
+ 17791 1328 0000               .2byte  .LM707
+ 17792 132a 03                 .byte   0x3
+ 17793 132b D87E               .sleb128 -168
+ 17794 132d 01                 .byte   0x1
+ 17795 132e 00                 .byte   0x0
+ 17796 132f 03                 .uleb128 0x3
+ 17797 1330 02                 .byte   0x2
+ 17798 1331 0000               .2byte  .LM708
+ 17799 1333 13                 .byte   0x13
+ 17800 1334 00                 .byte   0x0
+ 17801 1335 03                 .uleb128 0x3
+ 17802 1336 02                 .byte   0x2
+ 17803 1337 0000               .2byte  .LM709
+ 17804 1339 17                 .byte   0x17
+ 17805 133a 00                 .byte   0x0
+ 17806 133b 03                 .uleb128 0x3
+ 17807 133c 02                 .byte   0x2
+ 17808 133d 0000               .2byte  .LM710
+ 17809 133f 03                 .byte   0x3
+ 17810 1340 73                 .sleb128 -13
+ 17811 1341 01                 .byte   0x1
+ 17812 1342 00                 .byte   0x0
+ 17813 1343 03                 .uleb128 0x3
+ 17814 1344 02                 .byte   0x2
+ 17815 1345 0000               .2byte  .LM711
+ 17816 1347 16                 .byte   0x16
+ 17817 1348 00                 .byte   0x0
+ 17818 1349 03                 .uleb128 0x3
+ 17819 134a 02                 .byte   0x2
+ 17820 134b 0000               .2byte  .LM712
+ 17821 134d 13                 .byte   0x13
+ 17822 134e 00                 .byte   0x0
+ 17823 134f 03                 .uleb128 0x3
+ 17824 1350 02                 .byte   0x2
+ 17825 1351 0000               .2byte  .LM713
+ 17826 1353 03                 .byte   0x3
+ 17827 1354 F304               .sleb128 627
+ 17828 1356 01                 .byte   0x1
+ 17829 1357 00                 .byte   0x0
+ 17830 1358 03                 .uleb128 0x3
+ 17831 1359 02                 .byte   0x2
+ 17832 135a 0000               .2byte  .LM714
+ 17833 135c 15                 .byte   0x15
+ 17834 135d 00                 .byte   0x0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 321
+
+
+ 17835 135e 03                 .uleb128 0x3
+ 17836 135f 02                 .byte   0x2
+ 17837 1360 0000               .2byte  .LM715
+ 17838 1362 17                 .byte   0x17
+ 17839 1363 00                 .byte   0x0
+ 17840 1364 03                 .uleb128 0x3
+ 17841 1365 02                 .byte   0x2
+ 17842 1366 0000               .2byte  .LM716
+ 17843 1368 16                 .byte   0x16
+ 17844 1369 00                 .byte   0x0
+ 17845 136a 03                 .uleb128 0x3
+ 17846 136b 02                 .byte   0x2
+ 17847 136c 0000               .2byte  .LM717
+ 17848 136e 15                 .byte   0x15
+ 17849 136f 00                 .byte   0x0
+ 17850 1370 03                 .uleb128 0x3
+ 17851 1371 02                 .byte   0x2
+ 17852 1372 0000               .2byte  .LM718
+ 17853 1374 03                 .byte   0x3
+ 17854 1375 897F               .sleb128 -119
+ 17855 1377 01                 .byte   0x1
+ 17856 1378 00                 .byte   0x0
+ 17857 1379 03                 .uleb128 0x3
+ 17858 137a 02                 .byte   0x2
+ 17859 137b 0000               .2byte  .LM719
+ 17860 137d 03                 .byte   0x3
+ 17861 137e 877C               .sleb128 -505
+ 17862 1380 01                 .byte   0x1
+ 17863 1381 00                 .byte   0x0
+ 17864 1382 03                 .uleb128 0x3
+ 17865 1383 02                 .byte   0x2
+ 17866 1384 0000               .2byte  .LM720
+ 17867 1386 13                 .byte   0x13
+ 17868 1387 00                 .byte   0x0
+ 17869 1388 03                 .uleb128 0x3
+ 17870 1389 02                 .byte   0x2
+ 17871 138a 0000               .2byte  .LM721
+ 17872 138c 17                 .byte   0x17
+ 17873 138d 00                 .byte   0x0
+ 17874 138e 03                 .uleb128 0x3
+ 17875 138f 02                 .byte   0x2
+ 17876 1390 0000               .2byte  .LM722
+ 17877 1392 19                 .byte   0x19
+ 17878 1393 00                 .byte   0x0
+ 17879 1394 03                 .uleb128 0x3
+ 17880 1395 02                 .byte   0x2
+ 17881 1396 0000               .2byte  .LM723
+ 17882 1398 15                 .byte   0x15
+ 17883 1399 00                 .byte   0x0
+ 17884 139a 03                 .uleb128 0x3
+ 17885 139b 02                 .byte   0x2
+ 17886 139c 0000               .2byte  .LM724
+ 17887 139e 13                 .byte   0x13
+ 17888 139f 00                 .byte   0x0
+ 17889 13a0 03                 .uleb128 0x3
+ 17890 13a1 02                 .byte   0x2
+ 17891 13a2 0000               .2byte  .LM725
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 322
+
+
+ 17892 13a4 15                 .byte   0x15
+ 17893 13a5 00                 .byte   0x0
+ 17894 13a6 03                 .uleb128 0x3
+ 17895 13a7 02                 .byte   0x2
+ 17896 13a8 0000               .2byte  .LM726
+ 17897 13aa 13                 .byte   0x13
+ 17898 13ab 00                 .byte   0x0
+ 17899 13ac 03                 .uleb128 0x3
+ 17900 13ad 02                 .byte   0x2
+ 17901 13ae 0000               .2byte  .LM727
+ 17902 13b0 15                 .byte   0x15
+ 17903 13b1 00                 .byte   0x0
+ 17904 13b2 03                 .uleb128 0x3
+ 17905 13b3 02                 .byte   0x2
+ 17906 13b4 0000               .2byte  .LM728
+ 17907 13b6 03                 .byte   0x3
+ 17908 13b7 E303               .sleb128 483
+ 17909 13b9 01                 .byte   0x1
+ 17910 13ba 00                 .byte   0x0
+ 17911 13bb 03                 .uleb128 0x3
+ 17912 13bc 02                 .byte   0x2
+ 17913 13bd 0000               .2byte  .LM729
+ 17914 13bf 15                 .byte   0x15
+ 17915 13c0 00                 .byte   0x0
+ 17916 13c1 03                 .uleb128 0x3
+ 17917 13c2 02                 .byte   0x2
+ 17918 13c3 0000               .2byte  .LM730
+ 17919 13c5 03                 .byte   0x3
+ 17920 13c6 A27C               .sleb128 -478
+ 17921 13c8 01                 .byte   0x1
+ 17922 13c9 00                 .byte   0x0
+ 17923 13ca 03                 .uleb128 0x3
+ 17924 13cb 02                 .byte   0x2
+ 17925 13cc 0000               .2byte  .LM731
+ 17926 13ce 13                 .byte   0x13
+ 17927 13cf 00                 .byte   0x0
+ 17928 13d0 03                 .uleb128 0x3
+ 17929 13d1 02                 .byte   0x2
+ 17930 13d2 0000               .2byte  .LM732
+ 17931 13d4 15                 .byte   0x15
+ 17932 13d5 00                 .byte   0x0
+ 17933 13d6 03                 .uleb128 0x3
+ 17934 13d7 02                 .byte   0x2
+ 17935 13d8 0000               .2byte  .LM733
+ 17936 13da 03                 .byte   0x3
+ 17937 13db E503               .sleb128 485
+ 17938 13dd 01                 .byte   0x1
+ 17939 13de 00                 .byte   0x0
+ 17940 13df 03                 .uleb128 0x3
+ 17941 13e0 02                 .byte   0x2
+ 17942 13e1 0000               .2byte  .LM734
+ 17943 13e3 03                 .byte   0x3
+ 17944 13e4 827C               .sleb128 -510
+ 17945 13e6 01                 .byte   0x1
+ 17946 13e7 00                 .byte   0x0
+ 17947 13e8 03                 .uleb128 0x3
+ 17948 13e9 02                 .byte   0x2
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 323
+
+
+ 17949 13ea 0000               .2byte  .LM735
+ 17950 13ec 03                 .byte   0x3
+ 17951 13ed 8E02               .sleb128 270
+ 17952 13ef 01                 .byte   0x1
+ 17953 13f0 00                 .byte   0x0
+ 17954 13f1 03                 .uleb128 0x3
+ 17955 13f2 02                 .byte   0x2
+ 17956 13f3 0000               .2byte  .LM736
+ 17957 13f5 03                 .byte   0x3
+ 17958 13f6 F47D               .sleb128 -268
+ 17959 13f8 01                 .byte   0x1
+ 17960 13f9 00                 .byte   0x0
+ 17961 13fa 03                 .uleb128 0x3
+ 17962 13fb 02                 .byte   0x2
+ 17963 13fc 0000               .2byte  .LM737
+ 17964 13fe 13                 .byte   0x13
+ 17965 13ff 00                 .byte   0x0
+ 17966 1400 03                 .uleb128 0x3
+ 17967 1401 02                 .byte   0x2
+ 17968 1402 0000               .2byte  .LM738
+ 17969 1404 13                 .byte   0x13
+ 17970 1405 00                 .byte   0x0
+ 17971 1406 03                 .uleb128 0x3
+ 17972 1407 02                 .byte   0x2
+ 17973 1408 0000               .2byte  .LM739
+ 17974 140a 16                 .byte   0x16
+ 17975 140b 00                 .byte   0x0
+ 17976 140c 03                 .uleb128 0x3
+ 17977 140d 02                 .byte   0x2
+ 17978 140e 0000               .2byte  .LM740
+ 17979 1410 13                 .byte   0x13
+ 17980 1411 00                 .byte   0x0
+ 17981 1412 03                 .uleb128 0x3
+ 17982 1413 02                 .byte   0x2
+ 17983 1414 0000               .2byte  .LM741
+ 17984 1416 2B                 .byte   0x2b
+ 17985 1417 00                 .byte   0x0
+ 17986 1418 03                 .uleb128 0x3
+ 17987 1419 02                 .byte   0x2
+ 17988 141a 0000               .2byte  .LM742
+ 17989 141c 15                 .byte   0x15
+ 17990 141d 00                 .byte   0x0
+ 17991 141e 03                 .uleb128 0x3
+ 17992 141f 02                 .byte   0x2
+ 17993 1420 0000               .2byte  .LM743
+ 17994 1422 13                 .byte   0x13
+ 17995 1423 00                 .byte   0x0
+ 17996 1424 03                 .uleb128 0x3
+ 17997 1425 02                 .byte   0x2
+ 17998 1426 0000               .2byte  .LM744
+ 17999 1428 15                 .byte   0x15
+ 18000 1429 00                 .byte   0x0
+ 18001 142a 03                 .uleb128 0x3
+ 18002 142b 02                 .byte   0x2
+ 18003 142c 0000               .2byte  .LM745
+ 18004 142e 03                 .byte   0x3
+ 18005 142f D703               .sleb128 471
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 324
+
+
+ 18006 1431 01                 .byte   0x1
+ 18007 1432 00                 .byte   0x0
+ 18008 1433 03                 .uleb128 0x3
+ 18009 1434 02                 .byte   0x2
+ 18010 1435 0000               .2byte  .LM746
+ 18011 1437 38                 .byte   0x38
+ 18012 1438 00                 .byte   0x0
+ 18013 1439 03                 .uleb128 0x3
+ 18014 143a 02                 .byte   0x2
+ 18015 143b 0000               .2byte  .LM747
+ 18016 143d 03                 .byte   0x3
+ 18017 143e ED7B               .sleb128 -531
+ 18018 1440 01                 .byte   0x1
+ 18019 1441 00                 .byte   0x0
+ 18020 1442 03                 .uleb128 0x3
+ 18021 1443 02                 .byte   0x2
+ 18022 1444 0000               .2byte  .LM748
+ 18023 1446 15                 .byte   0x15
+ 18024 1447 00                 .byte   0x0
+ 18025 1448 03                 .uleb128 0x3
+ 18026 1449 02                 .byte   0x2
+ 18027 144a 0000               .2byte  .LM749
+ 18028 144c 13                 .byte   0x13
+ 18029 144d 00                 .byte   0x0
+ 18030 144e 03                 .uleb128 0x3
+ 18031 144f 02                 .byte   0x2
+ 18032 1450 0000               .2byte  .LM750
+ 18033 1452 13                 .byte   0x13
+ 18034 1453 00                 .byte   0x0
+ 18035 1454 03                 .uleb128 0x3
+ 18036 1455 02                 .byte   0x2
+ 18037 1456 0000               .2byte  .LM751
+ 18038 1458 03                 .byte   0x3
+ 18039 1459 8E02               .sleb128 270
+ 18040 145b 01                 .byte   0x1
+ 18041 145c 00                 .byte   0x0
+ 18042 145d 03                 .uleb128 0x3
+ 18043 145e 02                 .byte   0x2
+ 18044 145f 0000               .2byte  .LM752
+ 18045 1461 03                 .byte   0x3
+ 18046 1462 F47D               .sleb128 -268
+ 18047 1464 01                 .byte   0x1
+ 18048 1465 00                 .byte   0x0
+ 18049 1466 03                 .uleb128 0x3
+ 18050 1467 02                 .byte   0x2
+ 18051 1468 0000               .2byte  .LM753
+ 18052 146a 13                 .byte   0x13
+ 18053 146b 00                 .byte   0x0
+ 18054 146c 03                 .uleb128 0x3
+ 18055 146d 02                 .byte   0x2
+ 18056 146e 0000               .2byte  .LM754
+ 18057 1470 13                 .byte   0x13
+ 18058 1471 00                 .byte   0x0
+ 18059 1472 03                 .uleb128 0x3
+ 18060 1473 02                 .byte   0x2
+ 18061 1474 0000               .2byte  .LM755
+ 18062 1476 16                 .byte   0x16
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 325
+
+
+ 18063 1477 00                 .byte   0x0
+ 18064 1478 03                 .uleb128 0x3
+ 18065 1479 02                 .byte   0x2
+ 18066 147a 0000               .2byte  .LM756
+ 18067 147c 13                 .byte   0x13
+ 18068 147d 00                 .byte   0x0
+ 18069 147e 03                 .uleb128 0x3
+ 18070 147f 02                 .byte   0x2
+ 18071 1480 0000               .2byte  .LM757
+ 18072 1482 03                 .byte   0x3
+ 18073 1483 8F04               .sleb128 527
+ 18074 1485 01                 .byte   0x1
+ 18075 1486 00                 .byte   0x0
+ 18076 1487 03                 .uleb128 0x3
+ 18077 1488 02                 .byte   0x2
+ 18078 1489 0000               .2byte  .LM758
+ 18079 148b 15                 .byte   0x15
+ 18080 148c 00                 .byte   0x0
+ 18081 148d 03                 .uleb128 0x3
+ 18082 148e 02                 .byte   0x2
+ 18083 148f 0000               .2byte  .LM759
+ 18084 1491 03                 .byte   0x3
+ 18085 1492 9F7E               .sleb128 -225
+ 18086 1494 01                 .byte   0x1
+ 18087 1495 00                 .byte   0x0
+ 18088 1496 03                 .uleb128 0x3
+ 18089 1497 02                 .byte   0x2
+ 18090 1498 0000               .2byte  .LM760
+ 18091 149a 03                 .byte   0x3
+ 18092 149b D27D               .sleb128 -302
+ 18093 149d 01                 .byte   0x1
+ 18094 149e 00                 .byte   0x0
+ 18095 149f 03                 .uleb128 0x3
+ 18096 14a0 02                 .byte   0x2
+ 18097 14a1 0000               .2byte  .LM761
+ 18098 14a3 13                 .byte   0x13
+ 18099 14a4 00                 .byte   0x0
+ 18100 14a5 03                 .uleb128 0x3
+ 18101 14a6 02                 .byte   0x2
+ 18102 14a7 0000               .2byte  .LM762
+ 18103 14a9 03                 .byte   0x3
+ 18104 14aa AE02               .sleb128 302
+ 18105 14ac 01                 .byte   0x1
+ 18106 14ad 00                 .byte   0x0
+ 18107 14ae 03                 .uleb128 0x3
+ 18108 14af 02                 .byte   0x2
+ 18109 14b0 0000               .2byte  .LM763
+ 18110 14b2 03                 .byte   0x3
+ 18111 14b3 DC7D               .sleb128 -292
+ 18112 14b5 01                 .byte   0x1
+ 18113 14b6 00                 .byte   0x0
+ 18114 14b7 03                 .uleb128 0x3
+ 18115 14b8 02                 .byte   0x2
+ 18116 14b9 0000               .2byte  .LM764
+ 18117 14bb 13                 .byte   0x13
+ 18118 14bc 00                 .byte   0x0
+ 18119 14bd 03                 .uleb128 0x3
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 326
+
+
+ 18120 14be 02                 .byte   0x2
+ 18121 14bf 0000               .2byte  .LM765
+ 18122 14c1 17                 .byte   0x17
+ 18123 14c2 00                 .byte   0x0
+ 18124 14c3 03                 .uleb128 0x3
+ 18125 14c4 02                 .byte   0x2
+ 18126 14c5 0000               .2byte  .LM766
+ 18127 14c7 03                 .byte   0x3
+ 18128 14c8 B604               .sleb128 566
+ 18129 14ca 01                 .byte   0x1
+ 18130 14cb 00                 .byte   0x0
+ 18131 14cc 03                 .uleb128 0x3
+ 18132 14cd 02                 .byte   0x2
+ 18133 14ce 0000               .2byte  .LM767
+ 18134 14d0 18                 .byte   0x18
+ 18135 14d1 00                 .byte   0x0
+ 18136 14d2 03                 .uleb128 0x3
+ 18137 14d3 02                 .byte   0x2
+ 18138 14d4 0000               .2byte  .LM768
+ 18139 14d6 03                 .byte   0x3
+ 18140 14d7 9A79               .sleb128 -870
+ 18141 14d9 01                 .byte   0x1
+ 18142 14da 00                 .byte   0x0
+ 18143 14db 03                 .uleb128 0x3
+ 18144 14dc 02                 .byte   0x2
+ 18145 14dd 0000               .2byte  .LM769
+ 18146 14df 16                 .byte   0x16
+ 18147 14e0 00                 .byte   0x0
+ 18148 14e1 03                 .uleb128 0x3
+ 18149 14e2 02                 .byte   0x2
+ 18150 14e3 0000               .2byte  .LM770
+ 18151 14e5 15                 .byte   0x15
+ 18152 14e6 00                 .byte   0x0
+ 18153 14e7 03                 .uleb128 0x3
+ 18154 14e8 02                 .byte   0x2
+ 18155 14e9 0000               .2byte  .LM771
+ 18156 14eb 13                 .byte   0x13
+ 18157 14ec 00                 .byte   0x0
+ 18158 14ed 03                 .uleb128 0x3
+ 18159 14ee 02                 .byte   0x2
+ 18160 14ef 0000               .2byte  .LM772
+ 18161 14f1 17                 .byte   0x17
+ 18162 14f2 00                 .byte   0x0
+ 18163 14f3 03                 .uleb128 0x3
+ 18164 14f4 02                 .byte   0x2
+ 18165 14f5 0000               .2byte  .LM773
+ 18166 14f7 10                 .byte   0x10
+ 18167 14f8 00                 .byte   0x0
+ 18168 14f9 03                 .uleb128 0x3
+ 18169 14fa 02                 .byte   0x2
+ 18170 14fb 0000               .2byte  .LM774
+ 18171 14fd 19                 .byte   0x19
+ 18172 14fe 00                 .byte   0x0
+ 18173 14ff 03                 .uleb128 0x3
+ 18174 1500 02                 .byte   0x2
+ 18175 1501 0000               .2byte  .LM775
+ 18176 1503 03                 .byte   0x3
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 327
+
+
+ 18177 1504 DF06               .sleb128 863
+ 18178 1506 01                 .byte   0x1
+ 18179 1507 00                 .byte   0x0
+ 18180 1508 03                 .uleb128 0x3
+ 18181 1509 02                 .byte   0x2
+ 18182 150a 0000               .2byte  .LM776
+ 18183 150c 0B                 .byte   0xb
+ 18184 150d 00                 .byte   0x0
+ 18185 150e 03                 .uleb128 0x3
+ 18186 150f 02                 .byte   0x2
+ 18187 1510 0000               .2byte  .LM777
+ 18188 1512 03                 .byte   0x3
+ 18189 1513 CE7B               .sleb128 -562
+ 18190 1515 01                 .byte   0x1
+ 18191 1516 00                 .byte   0x0
+ 18192 1517 03                 .uleb128 0x3
+ 18193 1518 02                 .byte   0x2
+ 18194 1519 0000               .2byte  .LM778
+ 18195 151b 13                 .byte   0x13
+ 18196 151c 00                 .byte   0x0
+ 18197 151d 03                 .uleb128 0x3
+ 18198 151e 02                 .byte   0x2
+ 18199 151f 0000               .2byte  .LM779
+ 18200 1521 17                 .byte   0x17
+ 18201 1522 00                 .byte   0x0
+ 18202 1523 03                 .uleb128 0x3
+ 18203 1524 02                 .byte   0x2
+ 18204 1525 0000               .2byte  .LM780
+ 18205 1527 03                 .byte   0x3
+ 18206 1528 B604               .sleb128 566
+ 18207 152a 01                 .byte   0x1
+ 18208 152b 00                 .byte   0x0
+ 18209 152c 03                 .uleb128 0x3
+ 18210 152d 02                 .byte   0x2
+ 18211 152e 0000               .2byte  .LM781
+ 18212 1530 03                 .byte   0x3
+ 18213 1531 BD7B               .sleb128 -579
+ 18214 1533 01                 .byte   0x1
+ 18215 1534 00                 .byte   0x0
+ 18216 1535 03                 .uleb128 0x3
+ 18217 1536 02                 .byte   0x2
+ 18218 1537 0000               .2byte  .LM782
+ 18219 1539 03                 .byte   0x3
+ 18220 153a 8E02               .sleb128 270
+ 18221 153c 01                 .byte   0x1
+ 18222 153d 00                 .byte   0x0
+ 18223 153e 03                 .uleb128 0x3
+ 18224 153f 02                 .byte   0x2
+ 18225 1540 0000               .2byte  .LM783
+ 18226 1542 03                 .byte   0x3
+ 18227 1543 F47D               .sleb128 -268
+ 18228 1545 01                 .byte   0x1
+ 18229 1546 00                 .byte   0x0
+ 18230 1547 03                 .uleb128 0x3
+ 18231 1548 02                 .byte   0x2
+ 18232 1549 0000               .2byte  .LM784
+ 18233 154b 13                 .byte   0x13
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 328
+
+
+ 18234 154c 00                 .byte   0x0
+ 18235 154d 03                 .uleb128 0x3
+ 18236 154e 02                 .byte   0x2
+ 18237 154f 0000               .2byte  .LM785
+ 18238 1551 13                 .byte   0x13
+ 18239 1552 00                 .byte   0x0
+ 18240 1553 03                 .uleb128 0x3
+ 18241 1554 02                 .byte   0x2
+ 18242 1555 0000               .2byte  .LM786
+ 18243 1557 16                 .byte   0x16
+ 18244 1558 00                 .byte   0x0
+ 18245 1559 03                 .uleb128 0x3
+ 18246 155a 02                 .byte   0x2
+ 18247 155b 0000               .2byte  .LM787
+ 18248 155d 13                 .byte   0x13
+ 18249 155e 00                 .byte   0x0
+ 18250 155f 03                 .uleb128 0x3
+ 18251 1560 02                 .byte   0x2
+ 18252 1561 0000               .2byte  .LM788
+ 18253 1563 03                 .byte   0x3
+ 18254 1564 A004               .sleb128 544
+ 18255 1566 01                 .byte   0x1
+ 18256 1567 00                 .byte   0x0
+ 18257 1568 03                 .uleb128 0x3
+ 18258 1569 02                 .byte   0x2
+ 18259 156a 0000               .2byte  .LM789
+ 18260 156c 15                 .byte   0x15
+ 18261 156d 00                 .byte   0x0
+ 18262 156e 03                 .uleb128 0x3
+ 18263 156f 02                 .byte   0x2
+ 18264 1570 0000               .2byte  .LM790
+ 18265 1572 15                 .byte   0x15
+ 18266 1573 00                 .byte   0x0
+ 18267 1574 03                 .uleb128 0x3
+ 18268 1575 02                 .byte   0x2
+ 18269 1576 0000               .2byte  .LM791
+ 18270 1578 0A                 .byte   0xa
+ 18271 1579 00                 .byte   0x0
+ 18272 157a 03                 .uleb128 0x3
+ 18273 157b 02                 .byte   0x2
+ 18274 157c 0000               .2byte  .LM792
+ 18275 157e 03                 .byte   0x3
+ 18276 157f E87B               .sleb128 -536
+ 18277 1581 01                 .byte   0x1
+ 18278 1582 00                 .byte   0x0
+ 18279 1583 03                 .uleb128 0x3
+ 18280 1584 02                 .byte   0x2
+ 18281 1585 0000               .2byte  .LM793
+ 18282 1587 15                 .byte   0x15
+ 18283 1588 00                 .byte   0x0
+ 18284 1589 03                 .uleb128 0x3
+ 18285 158a 02                 .byte   0x2
+ 18286 158b 0000               .2byte  .LM794
+ 18287 158d 13                 .byte   0x13
+ 18288 158e 00                 .byte   0x0
+ 18289 158f 03                 .uleb128 0x3
+ 18290 1590 02                 .byte   0x2
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 329
+
+
+ 18291 1591 0000               .2byte  .LM795
+ 18292 1593 13                 .byte   0x13
+ 18293 1594 00                 .byte   0x0
+ 18294 1595 03                 .uleb128 0x3
+ 18295 1596 02                 .byte   0x2
+ 18296 1597 0000               .2byte  .LM796
+ 18297 1599 16                 .byte   0x16
+ 18298 159a 00                 .byte   0x0
+ 18299 159b 03                 .uleb128 0x3
+ 18300 159c 02                 .byte   0x2
+ 18301 159d 0000               .2byte  .LM797
+ 18302 159f 13                 .byte   0x13
+ 18303 15a0 00                 .byte   0x0
+ 18304 15a1 03                 .uleb128 0x3
+ 18305 15a2 02                 .byte   0x2
+ 18306 15a3 0000               .2byte  .LM798
+ 18307 15a5 03                 .byte   0x3
+ 18308 15a6 E804               .sleb128 616
+ 18309 15a8 01                 .byte   0x1
+ 18310 15a9 00                 .byte   0x0
+ 18311 15aa 03                 .uleb128 0x3
+ 18312 15ab 02                 .byte   0x2
+ 18313 15ac 0000               .2byte  .LM799
+ 18314 15ae 15                 .byte   0x15
+ 18315 15af 00                 .byte   0x0
+ 18316 15b0 03                 .uleb128 0x3
+ 18317 15b1 02                 .byte   0x2
+ 18318 15b2 0000               .2byte  .LM800
+ 18319 15b4 17                 .byte   0x17
+ 18320 15b5 00                 .byte   0x0
+ 18321 15b6 03                 .uleb128 0x3
+ 18322 15b7 02                 .byte   0x2
+ 18323 15b8 0000               .2byte  .LM801
+ 18324 15ba 03                 .byte   0x3
+ 18325 15bb 937B               .sleb128 -621
+ 18326 15bd 01                 .byte   0x1
+ 18327 15be 00                 .byte   0x0
+ 18328 15bf 03                 .uleb128 0x3
+ 18329 15c0 02                 .byte   0x2
+ 18330 15c1 0000               .2byte  .LM802
+ 18331 15c3 03                 .byte   0x3
+ 18332 15c4 8E02               .sleb128 270
+ 18333 15c6 01                 .byte   0x1
+ 18334 15c7 00                 .byte   0x0
+ 18335 15c8 03                 .uleb128 0x3
+ 18336 15c9 02                 .byte   0x2
+ 18337 15ca 0000               .2byte  .LM803
+ 18338 15cc 03                 .byte   0x3
+ 18339 15cd F47D               .sleb128 -268
+ 18340 15cf 01                 .byte   0x1
+ 18341 15d0 00                 .byte   0x0
+ 18342 15d1 03                 .uleb128 0x3
+ 18343 15d2 02                 .byte   0x2
+ 18344 15d3 0000               .2byte  .LM804
+ 18345 15d5 13                 .byte   0x13
+ 18346 15d6 00                 .byte   0x0
+ 18347 15d7 03                 .uleb128 0x3
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 330
+
+
+ 18348 15d8 02                 .byte   0x2
+ 18349 15d9 0000               .2byte  .LM805
+ 18350 15db 03                 .byte   0x3
+ 18351 15dc E004               .sleb128 608
+ 18352 15de 01                 .byte   0x1
+ 18353 15df 00                 .byte   0x0
+ 18354 15e0 03                 .uleb128 0x3
+ 18355 15e1 02                 .byte   0x2
+ 18356 15e2 0000               .2byte  .LM806
+ 18357 15e4 03                 .byte   0x3
+ 18358 15e5 9F7B               .sleb128 -609
+ 18359 15e7 01                 .byte   0x1
+ 18360 15e8 00                 .byte   0x0
+ 18361 15e9 03                 .uleb128 0x3
+ 18362 15ea 02                 .byte   0x2
+ 18363 15eb 0000               .2byte  .LM807
+ 18364 15ed 16                 .byte   0x16
+ 18365 15ee 00                 .byte   0x0
+ 18366 15ef 03                 .uleb128 0x3
+ 18367 15f0 02                 .byte   0x2
+ 18368 15f1 0000               .2byte  .LM808
+ 18369 15f3 13                 .byte   0x13
+ 18370 15f4 00                 .byte   0x0
+ 18371 15f5 03                 .uleb128 0x3
+ 18372 15f6 02                 .byte   0x2
+ 18373 15f7 0000               .2byte  .LM809
+ 18374 15f9 03                 .byte   0x3
+ 18375 15fa E104               .sleb128 609
+ 18376 15fc 01                 .byte   0x1
+ 18377 15fd 00                 .byte   0x0
+ 18378 15fe 03                 .uleb128 0x3
+ 18379 15ff 02                 .byte   0x2
+ 18380 1600 0000               .2byte  .LM810
+ 18381 1602 03                 .byte   0x3
+ 18382 1603 9E7B               .sleb128 -610
+ 18383 1605 01                 .byte   0x1
+ 18384 1606 00                 .byte   0x0
+ 18385 1607 03                 .uleb128 0x3
+ 18386 1608 02                 .byte   0x2
+ 18387 1609 0000               .2byte  .LM811
+ 18388 160b 16                 .byte   0x16
+ 18389 160c 00                 .byte   0x0
+ 18390 160d 03                 .uleb128 0x3
+ 18391 160e 02                 .byte   0x2
+ 18392 160f 0000               .2byte  .LM812
+ 18393 1611 13                 .byte   0x13
+ 18394 1612 00                 .byte   0x0
+ 18395 1613 03                 .uleb128 0x3
+ 18396 1614 02                 .byte   0x2
+ 18397 1615 0000               .2byte  .LM813
+ 18398 1617 03                 .byte   0x3
+ 18399 1618 E204               .sleb128 610
+ 18400 161a 01                 .byte   0x1
+ 18401 161b 00                 .byte   0x0
+ 18402 161c 03                 .uleb128 0x3
+ 18403 161d 02                 .byte   0x2
+ 18404 161e 0000               .2byte  .LM814
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 331
+
+
+ 18405 1620 15                 .byte   0x15
+ 18406 1621 00                 .byte   0x0
+ 18407 1622 03                 .uleb128 0x3
+ 18408 1623 02                 .byte   0x2
+ 18409 1624 0000               .2byte  .LM815
+ 18410 1626 15                 .byte   0x15
+ 18411 1627 00                 .byte   0x0
+ 18412 1628 03                 .uleb128 0x3
+ 18413 1629 02                 .byte   0x2
+ 18414 162a 0000               .2byte  .LM816
+ 18415 162c 03                 .byte   0x3
+ 18416 162d 9B7B               .sleb128 -613
+ 18417 162f 01                 .byte   0x1
+ 18418 1630 00                 .byte   0x0
+ 18419 1631 03                 .uleb128 0x3
+ 18420 1632 02                 .byte   0x2
+ 18421 1633 0000               .2byte  .LM817
+ 18422 1635 16                 .byte   0x16
+ 18423 1636 00                 .byte   0x0
+ 18424 1637 03                 .uleb128 0x3
+ 18425 1638 02                 .byte   0x2
+ 18426 1639 0000               .2byte  .LM818
+ 18427 163b 13                 .byte   0x13
+ 18428 163c 00                 .byte   0x0
+ 18429 163d 03                 .uleb128 0x3
+ 18430 163e 02                 .byte   0x2
+ 18431 163f 0000               .2byte  .LM819
+ 18432 1641 03                 .byte   0x3
+ 18433 1642 AA02               .sleb128 298
+ 18434 1644 01                 .byte   0x1
+ 18435 1645 00                 .byte   0x0
+ 18436 1646 03                 .uleb128 0x3
+ 18437 1647 02                 .byte   0x2
+ 18438 1648 0000               .2byte  .LM820
+ 18439 164a 15                 .byte   0x15
+ 18440 164b 00                 .byte   0x0
+ 18441 164c 03                 .uleb128 0x3
+ 18442 164d 02                 .byte   0x2
+ 18443 164e 0000               .2byte  .LM821
+ 18444 1650 0E                 .byte   0xe
+ 18445 1651 00                 .byte   0x0
+ 18446 1652 03                 .uleb128 0x3
+ 18447 1653 02                 .byte   0x2
+ 18448 1654 0000               .2byte  .LM822
+ 18449 1656 03                 .byte   0x3
+ 18450 1657 DB7D               .sleb128 -293
+ 18451 1659 01                 .byte   0x1
+ 18452 165a 00                 .byte   0x0
+ 18453 165b 03                 .uleb128 0x3
+ 18454 165c 02                 .byte   0x2
+ 18455 165d 0000               .2byte  .LM823
+ 18456 165f 15                 .byte   0x15
+ 18457 1660 00                 .byte   0x0
+ 18458 1661 03                 .uleb128 0x3
+ 18459 1662 02                 .byte   0x2
+ 18460 1663 0000               .2byte  .LM824
+ 18461 1665 13                 .byte   0x13
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 332
+
+
+ 18462 1666 00                 .byte   0x0
+ 18463 1667 03                 .uleb128 0x3
+ 18464 1668 02                 .byte   0x2
+ 18465 1669 0000               .2byte  .LM825
+ 18466 166b 03                 .byte   0x3
+ 18467 166c A002               .sleb128 288
+ 18468 166e 01                 .byte   0x1
+ 18469 166f 00                 .byte   0x0
+ 18470 1670 03                 .uleb128 0x3
+ 18471 1671 02                 .byte   0x2
+ 18472 1672 0000               .2byte  .LM826
+ 18473 1674 03                 .byte   0x3
+ 18474 1675 E07D               .sleb128 -288
+ 18475 1677 01                 .byte   0x1
+ 18476 1678 00                 .byte   0x0
+ 18477 1679 03                 .uleb128 0x3
+ 18478 167a 02                 .byte   0x2
+ 18479 167b 0000               .2byte  .LM827
+ 18480 167d 15                 .byte   0x15
+ 18481 167e 00                 .byte   0x0
+ 18482 167f 03                 .uleb128 0x3
+ 18483 1680 02                 .byte   0x2
+ 18484 1681 0000               .2byte  .LM828
+ 18485 1683 13                 .byte   0x13
+ 18486 1684 00                 .byte   0x0
+ 18487 1685 03                 .uleb128 0x3
+ 18488 1686 02                 .byte   0x2
+ 18489 1687 0000               .2byte  .LM829
+ 18490 1689 03                 .byte   0x3
+ 18491 168a 9B02               .sleb128 283
+ 18492 168c 01                 .byte   0x1
+ 18493 168d 00                 .byte   0x0
+ 18494 168e 03                 .uleb128 0x3
+ 18495 168f 02                 .byte   0x2
+ 18496 1690 0000               .2byte  .LM830
+ 18497 1692 03                 .byte   0x3
+ 18498 1693 E57D               .sleb128 -283
+ 18499 1695 01                 .byte   0x1
+ 18500 1696 00                 .byte   0x0
+ 18501 1697 03                 .uleb128 0x3
+ 18502 1698 02                 .byte   0x2
+ 18503 1699 0000               .2byte  .LM831
+ 18504 169b 15                 .byte   0x15
+ 18505 169c 00                 .byte   0x0
+ 18506 169d 03                 .uleb128 0x3
+ 18507 169e 02                 .byte   0x2
+ 18508 169f 0000               .2byte  .LM832
+ 18509 16a1 13                 .byte   0x13
+ 18510 16a2 00                 .byte   0x0
+ 18511 16a3 03                 .uleb128 0x3
+ 18512 16a4 02                 .byte   0x2
+ 18513 16a5 0000               .2byte  .LM833
+ 18514 16a7 03                 .byte   0x3
+ 18515 16a8 8703               .sleb128 391
+ 18516 16aa 01                 .byte   0x1
+ 18517 16ab 00                 .byte   0x0
+ 18518 16ac 03                 .uleb128 0x3
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 333
+
+
+ 18519 16ad 02                 .byte   0x2
+ 18520 16ae 0000               .2byte  .LM834
+ 18521 16b0 15                 .byte   0x15
+ 18522 16b1 00                 .byte   0x0
+ 18523 16b2 03                 .uleb128 0x3
+ 18524 16b3 02                 .byte   0x2
+ 18525 16b4 0000               .2byte  .LM835
+ 18526 16b6 0F                 .byte   0xf
+ 18527 16b7 00                 .byte   0x0
+ 18528 16b8 03                 .uleb128 0x3
+ 18529 16b9 02                 .byte   0x2
+ 18530 16ba 0000               .2byte  .LM836
+ 18531 16bc 15                 .byte   0x15
+ 18532 16bd 00                 .byte   0x0
+ 18533 16be 03                 .uleb128 0x3
+ 18534 16bf 02                 .byte   0x2
+ 18535 16c0 0000               .2byte  .LM837
+ 18536 16c2 0B                 .byte   0xb
+ 18537 16c3 00                 .byte   0x0
+ 18538 16c4 03                 .uleb128 0x3
+ 18539 16c5 02                 .byte   0x2
+ 18540 16c6 0000               .2byte  .LM838
+ 18541 16c8 03                 .byte   0x3
+ 18542 16c9 917D               .sleb128 -367
+ 18543 16cb 01                 .byte   0x1
+ 18544 16cc 00                 .byte   0x0
+ 18545 16cd 03                 .uleb128 0x3
+ 18546 16ce 02                 .byte   0x2
+ 18547 16cf 0000               .2byte  .LM839
+ 18548 16d1 03                 .byte   0x3
+ 18549 16d2 73                 .sleb128 -13
+ 18550 16d3 01                 .byte   0x1
+ 18551 16d4 00                 .byte   0x0
+ 18552 16d5 03                 .uleb128 0x3
+ 18553 16d6 02                 .byte   0x2
+ 18554 16d7 0000               .2byte  .LM840
+ 18555 16d9 03                 .byte   0x3
+ 18556 16da 8E02               .sleb128 270
+ 18557 16dc 01                 .byte   0x1
+ 18558 16dd 00                 .byte   0x0
+ 18559 16de 03                 .uleb128 0x3
+ 18560 16df 02                 .byte   0x2
+ 18561 16e0 0000               .2byte  .LM841
+ 18562 16e2 03                 .byte   0x3
+ 18563 16e3 F47D               .sleb128 -268
+ 18564 16e5 01                 .byte   0x1
+ 18565 16e6 00                 .byte   0x0
+ 18566 16e7 03                 .uleb128 0x3
+ 18567 16e8 02                 .byte   0x2
+ 18568 16e9 0000               .2byte  .LM842
+ 18569 16eb 13                 .byte   0x13
+ 18570 16ec 00                 .byte   0x0
+ 18571 16ed 03                 .uleb128 0x3
+ 18572 16ee 02                 .byte   0x2
+ 18573 16ef 0000               .2byte  .LM843
+ 18574 16f1 13                 .byte   0x13
+ 18575 16f2 00                 .byte   0x0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 334
+
+
+ 18576 16f3 03                 .uleb128 0x3
+ 18577 16f4 02                 .byte   0x2
+ 18578 16f5 0000               .2byte  .LM844
+ 18579 16f7 16                 .byte   0x16
+ 18580 16f8 00                 .byte   0x0
+ 18581 16f9 03                 .uleb128 0x3
+ 18582 16fa 02                 .byte   0x2
+ 18583 16fb 0000               .2byte  .LM845
+ 18584 16fd 13                 .byte   0x13
+ 18585 16fe 00                 .byte   0x0
+ 18586 16ff 03                 .uleb128 0x3
+ 18587 1700 02                 .byte   0x2
+ 18588 1701 0000               .2byte  .LM846
+ 18589 1703 03                 .byte   0x3
+ 18590 1704 F702               .sleb128 375
+ 18591 1706 01                 .byte   0x1
+ 18592 1707 00                 .byte   0x0
+ 18593 1708 03                 .uleb128 0x3
+ 18594 1709 02                 .byte   0x2
+ 18595 170a 0000               .2byte  .LM847
+ 18596 170c 15                 .byte   0x15
+ 18597 170d 00                 .byte   0x0
+ 18598 170e 03                 .uleb128 0x3
+ 18599 170f 02                 .byte   0x2
+ 18600 1710 0000               .2byte  .LM848
+ 18601 1712 0D                 .byte   0xd
+ 18602 1713 00                 .byte   0x0
+ 18603 1714 03                 .uleb128 0x3
+ 18604 1715 02                 .byte   0x2
+ 18605 1716 0000               .2byte  .LM849
+ 18606 1718 15                 .byte   0x15
+ 18607 1719 00                 .byte   0x0
+ 18608 171a 03                 .uleb128 0x3
+ 18609 171b 02                 .byte   0x2
+ 18610 171c 0000               .2byte  .LM850
+ 18611 171e 0B                 .byte   0xb
+ 18612 171f 00                 .byte   0x0
+ 18613 1720 03                 .uleb128 0x3
+ 18614 1721 02                 .byte   0x2
+ 18615 1722 0000               .2byte  .LM851
+ 18616 1724 03                 .byte   0x3
+ 18617 1725 967D               .sleb128 -362
+ 18618 1727 01                 .byte   0x1
+ 18619 1728 00                 .byte   0x0
+ 18620 1729 03                 .uleb128 0x3
+ 18621 172a 02                 .byte   0x2
+ 18622 172b 0000               .2byte  .LM852
+ 18623 172d 16                 .byte   0x16
+ 18624 172e 00                 .byte   0x0
+ 18625 172f 03                 .uleb128 0x3
+ 18626 1730 02                 .byte   0x2
+ 18627 1731 0000               .2byte  .LM853
+ 18628 1733 13                 .byte   0x13
+ 18629 1734 00                 .byte   0x0
+ 18630 1735 03                 .uleb128 0x3
+ 18631 1736 02                 .byte   0x2
+ 18632 1737 0000               .2byte  .LM854
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 335
+
+
+ 18633 1739 03                 .byte   0x3
+ 18634 173a E902               .sleb128 361
+ 18635 173c 01                 .byte   0x1
+ 18636 173d 00                 .byte   0x0
+ 18637 173e 03                 .uleb128 0x3
+ 18638 173f 02                 .byte   0x2
+ 18639 1740 0000               .2byte  .LM855
+ 18640 1742 15                 .byte   0x15
+ 18641 1743 00                 .byte   0x0
+ 18642 1744 03                 .uleb128 0x3
+ 18643 1745 02                 .byte   0x2
+ 18644 1746 0000               .2byte  .LM856
+ 18645 1748 15                 .byte   0x15
+ 18646 1749 00                 .byte   0x0
+ 18647 174a 03                 .uleb128 0x3
+ 18648 174b 02                 .byte   0x2
+ 18649 174c 0000               .2byte  .LM857
+ 18650 174e 03                 .byte   0x3
+ 18651 174f F77C               .sleb128 -393
+ 18652 1751 01                 .byte   0x1
+ 18653 1752 00                 .byte   0x0
+ 18654 1753 03                 .uleb128 0x3
+ 18655 1754 02                 .byte   0x2
+ 18656 1755 0000               .2byte  .LM858
+ 18657 1757 03                 .byte   0x3
+ 18658 1758 FE7D               .sleb128 -258
+ 18659 175a 01                 .byte   0x1
+ 18660 175b 00                 .byte   0x0
+ 18661 175c 03                 .uleb128 0x3
+ 18662 175d 02                 .byte   0x2
+ 18663 175e 0000               .2byte  .LM859
+ 18664 1760 16                 .byte   0x16
+ 18665 1761 00                 .byte   0x0
+ 18666 1762 03                 .uleb128 0x3
+ 18667 1763 02                 .byte   0x2
+ 18668 1764 0000               .2byte  .LM860
+ 18669 1766 15                 .byte   0x15
+ 18670 1767 00                 .byte   0x0
+ 18671 1768 03                 .uleb128 0x3
+ 18672 1769 02                 .byte   0x2
+ 18673 176a 0000               .2byte  .LM861
+ 18674 176c 13                 .byte   0x13
+ 18675 176d 00                 .byte   0x0
+ 18676 176e 03                 .uleb128 0x3
+ 18677 176f 02                 .byte   0x2
+ 18678 1770 0000               .2byte  .LM862
+ 18679 1772 17                 .byte   0x17
+ 18680 1773 00                 .byte   0x0
+ 18681 1774 03                 .uleb128 0x3
+ 18682 1775 02                 .byte   0x2
+ 18683 1776 0000               .2byte  .LM863
+ 18684 1778 10                 .byte   0x10
+ 18685 1779 00                 .byte   0x0
+ 18686 177a 03                 .uleb128 0x3
+ 18687 177b 02                 .byte   0x2
+ 18688 177c 0000               .2byte  .LM864
+ 18689 177e 19                 .byte   0x19
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 336
+
+
+ 18690 177f 00                 .byte   0x0
+ 18691 1780 03                 .uleb128 0x3
+ 18692 1781 02                 .byte   0x2
+ 18693 1782 0000               .2byte  .LM865
+ 18694 1784 03                 .byte   0x3
+ 18695 1785 FE01               .sleb128 254
+ 18696 1787 01                 .byte   0x1
+ 18697 1788 00                 .byte   0x0
+ 18698 1789 03                 .uleb128 0x3
+ 18699 178a 02                 .byte   0x2
+ 18700 178b 0000               .2byte  .LM866
+ 18701 178d 12                 .byte   0x12
+ 18702 178e 00                 .byte   0x0
+ 18703 178f 03                 .uleb128 0x3
+ 18704 1790 02                 .byte   0x2
+ 18705 1791 0000               .2byte  .LM867
+ 18706 1793 03                 .byte   0x3
+ 18707 1794 8203               .sleb128 386
+ 18708 1796 01                 .byte   0x1
+ 18709 1797 00                 .byte   0x0
+ 18710 1798 03                 .uleb128 0x3
+ 18711 1799 02                 .byte   0x2
+ 18712 179a 0000               .2byte  .LM868
+ 18713 179c 03                 .byte   0x3
+ 18714 179d A67D               .sleb128 -346
+ 18715 179f 01                 .byte   0x1
+ 18716 17a0 00                 .byte   0x0
+ 18717 17a1 03                 .uleb128 0x3
+ 18718 17a2 02                 .byte   0x2
+ 18719 17a3 0000               .2byte  .LM869
+ 18720 17a5 13                 .byte   0x13
+ 18721 17a6 00                 .byte   0x0
+ 18722 17a7 03                 .uleb128 0x3
+ 18723 17a8 02                 .byte   0x2
+ 18724 17a9 0000               .2byte  .LM870
+ 18725 17ab 17                 .byte   0x17
+ 18726 17ac 00                 .byte   0x0
+ 18727 17ad 03                 .uleb128 0x3
+ 18728 17ae 02                 .byte   0x2
+ 18729 17af 0000               .2byte  .LM871
+ 18730 17b1 03                 .byte   0x3
+ 18731 17b2 DA02               .sleb128 346
+ 18732 17b4 01                 .byte   0x1
+ 18733 17b5 00                 .byte   0x0
+ 18734 17b6 03                 .uleb128 0x3
+ 18735 17b7 02                 .byte   0x2
+ 18736 17b8 0000               .2byte  .LM872
+ 18737 17ba 0D                 .byte   0xd
+ 18738 17bb 00                 .byte   0x0
+ 18739 17bc 03                 .uleb128 0x3
+ 18740 17bd 02                 .byte   0x2
+ 18741 17be 0000               .2byte  .LM873
+ 18742 17c0 15                 .byte   0x15
+ 18743 17c1 00                 .byte   0x0
+ 18744 17c2 03                 .uleb128 0x3
+ 18745 17c3 02                 .byte   0x2
+ 18746 17c4 0000               .2byte  .LM874
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 337
+
+
+ 18747 17c6 0F                 .byte   0xf
+ 18748 17c7 00                 .byte   0x0
+ 18749 17c8 03                 .uleb128 0x3
+ 18750 17c9 02                 .byte   0x2
+ 18751 17ca 0000               .2byte  .LM875
+ 18752 17cc 15                 .byte   0x15
+ 18753 17cd 00                 .byte   0x0
+ 18754 17ce 03                 .uleb128 0x3
+ 18755 17cf 02                 .byte   0x2
+ 18756 17d0 0000               .2byte  .LM876
+ 18757 17d2 03                 .byte   0x3
+ 18758 17d3 A37D               .sleb128 -349
+ 18759 17d5 01                 .byte   0x1
+ 18760 17d6 00                 .byte   0x0
+ 18761 17d7 03                 .uleb128 0x3
+ 18762 17d8 02                 .byte   0x2
+ 18763 17d9 0000               .2byte  .LM877
+ 18764 17db 16                 .byte   0x16
+ 18765 17dc 00                 .byte   0x0
+ 18766 17dd 03                 .uleb128 0x3
+ 18767 17de 02                 .byte   0x2
+ 18768 17df 0000               .2byte  .LM878
+ 18769 17e1 13                 .byte   0x13
+ 18770 17e2 00                 .byte   0x0
+ 18771 17e3 03                 .uleb128 0x3
+ 18772 17e4 02                 .byte   0x2
+ 18773 17e5 0000               .2byte  .LM879
+ 18774 17e7 03                 .byte   0x3
+ 18775 17e8 D702               .sleb128 343
+ 18776 17ea 01                 .byte   0x1
+ 18777 17eb 00                 .byte   0x0
+ 18778 17ec 03                 .uleb128 0x3
+ 18779 17ed 02                 .byte   0x2
+ 18780 17ee 0000               .2byte  .LM880
+ 18781 17f0 15                 .byte   0x15
+ 18782 17f1 00                 .byte   0x0
+ 18783 17f2 03                 .uleb128 0x3
+ 18784 17f3 02                 .byte   0x2
+ 18785 17f4 0000               .2byte  .LM881
+ 18786 17f6 03                 .byte   0x3
+ 18787 17f7 A77D               .sleb128 -345
+ 18788 17f9 01                 .byte   0x1
+ 18789 17fa 00                 .byte   0x0
+ 18790 17fb 03                 .uleb128 0x3
+ 18791 17fc 02                 .byte   0x2
+ 18792 17fd 0000               .2byte  .LM882
+ 18793 17ff 16                 .byte   0x16
+ 18794 1800 00                 .byte   0x0
+ 18795 1801 03                 .uleb128 0x3
+ 18796 1802 02                 .byte   0x2
+ 18797 1803 0000               .2byte  .LM883
+ 18798 1805 13                 .byte   0x13
+ 18799 1806 00                 .byte   0x0
+ 18800 1807 03                 .uleb128 0x3
+ 18801 1808 02                 .byte   0x2
+ 18802 1809 0000               .2byte  .LM884
+ 18803 180b 03                 .byte   0x3
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 338
+
+
+ 18804 180c CF02               .sleb128 335
+ 18805 180e 01                 .byte   0x1
+ 18806 180f 00                 .byte   0x0
+ 18807 1810 03                 .uleb128 0x3
+ 18808 1811 02                 .byte   0x2
+ 18809 1812 0000               .2byte  .LM885
+ 18810 1814 03                 .byte   0x3
+ 18811 1815 BD7D               .sleb128 -323
+ 18812 1817 01                 .byte   0x1
+ 18813 1818 00                 .byte   0x0
+ 18814 1819 03                 .uleb128 0x3
+ 18815 181a 02                 .byte   0x2
+ 18816 181b 0000               .2byte  .LM886
+ 18817 181d 03                 .byte   0x3
+ 18818 181e 73                 .sleb128 -13
+ 18819 181f 01                 .byte   0x1
+ 18820 1820 00                 .byte   0x0
+ 18821 1821 03                 .uleb128 0x3
+ 18822 1822 02                 .byte   0x2
+ 18823 1823 0000               .2byte  .LM887
+ 18824 1825 16                 .byte   0x16
+ 18825 1826 00                 .byte   0x0
+ 18826 1827 03                 .uleb128 0x3
+ 18827 1828 02                 .byte   0x2
+ 18828 1829 0000               .2byte  .LM888
+ 18829 182b 13                 .byte   0x13
+ 18830 182c 00                 .byte   0x0
+ 18831 182d 03                 .uleb128 0x3
+ 18832 182e 02                 .byte   0x2
+ 18833 182f 0000               .2byte  .LM889
+ 18834 1831 03                 .byte   0x3
+ 18835 1832 C602               .sleb128 326
+ 18836 1834 01                 .byte   0x1
+ 18837 1835 00                 .byte   0x0
+ 18838 1836 03                 .uleb128 0x3
+ 18839 1837 02                 .byte   0x2
+ 18840 1838 0000               .2byte  .LM890
+ 18841 183a 03                 .byte   0x3
+ 18842 183b C67D               .sleb128 -314
+ 18843 183d 01                 .byte   0x1
+ 18844 183e 00                 .byte   0x0
+ 18845 183f 03                 .uleb128 0x3
+ 18846 1840 02                 .byte   0x2
+ 18847 1841 0000               .2byte  .LM891
+ 18848 1843 03                 .byte   0x3
+ 18849 1844 73                 .sleb128 -13
+ 18850 1845 01                 .byte   0x1
+ 18851 1846 00                 .byte   0x0
+ 18852 1847 03                 .uleb128 0x3
+ 18853 1848 02                 .byte   0x2
+ 18854 1849 0000               .2byte  .LM892
+ 18855 184b 16                 .byte   0x16
+ 18856 184c 00                 .byte   0x0
+ 18857 184d 03                 .uleb128 0x3
+ 18858 184e 02                 .byte   0x2
+ 18859 184f 0000               .2byte  .LM893
+ 18860 1851 13                 .byte   0x13
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 339
+
+
+ 18861 1852 00                 .byte   0x0
+ 18862 1853 03                 .uleb128 0x3
+ 18863 1854 02                 .byte   0x2
+ 18864 1855 0000               .2byte  .LM894
+ 18865 1857 03                 .byte   0x3
+ 18866 1858 BD02               .sleb128 317
+ 18867 185a 01                 .byte   0x1
+ 18868 185b 00                 .byte   0x0
+ 18869 185c 03                 .uleb128 0x3
+ 18870 185d 02                 .byte   0x2
+ 18871 185e 0000               .2byte  .LM895
+ 18872 1860 03                 .byte   0x3
+ 18873 1861 CF7D               .sleb128 -305
+ 18874 1863 01                 .byte   0x1
+ 18875 1864 00                 .byte   0x0
+ 18876 1865 03                 .uleb128 0x3
+ 18877 1866 02                 .byte   0x2
+ 18878 1867 0000               .2byte  .LM896
+ 18879 1869 03                 .byte   0x3
+ 18880 186a AA02               .sleb128 298
+ 18881 186c 01                 .byte   0x1
+ 18882 186d 00                 .byte   0x0
+ 18883 186e 03                 .uleb128 0x3
+ 18884 186f 02                 .byte   0x2
+ 18885 1870 0000               .2byte  .LM897
+ 18886 1872 15                 .byte   0x15
+ 18887 1873 00                 .byte   0x0
+ 18888 1874 03                 .uleb128 0x3
+ 18889 1875 02                 .byte   0x2
+ 18890 1876 0000               .2byte  .LM898
+ 18891 1878 15                 .byte   0x15
+ 18892 1879 00                 .byte   0x0
+ 18893 187a 03                 .uleb128 0x3
+ 18894 187b 02                 .byte   0x2
+ 18895 187c 0000               .2byte  .LM899
+ 18896 187e 15                 .byte   0x15
+ 18897 187f 00                 .byte   0x0
+ 18898 1880 03                 .uleb128 0x3
+ 18899 1881 02                 .byte   0x2
+ 18900 1882 0000               .2byte  .LM900
+ 18901 1884 03                 .byte   0x3
+ 18902 1885 F17E               .sleb128 -143
+ 18903 1887 01                 .byte   0x1
+ 18904 1888 00                 .byte   0x0
+ 18905 1889 03                 .uleb128 0x3
+ 18906 188a 02                 .byte   0x2
+ 18907 188b 0000               .2byte  .LM901
+ 18908 188d 5F                 .byte   0x5f
+ 18909 188e 00                 .byte   0x0
+ 18910 188f 03                 .uleb128 0x3
+ 18911 1890 02                 .byte   0x2
+ 18912 1891 0000               .2byte  .LM902
+ 18913 1893 03                 .byte   0x3
+ 18914 1894 8A7E               .sleb128 -246
+ 18915 1896 01                 .byte   0x1
+ 18916 1897 00                 .byte   0x0
+ 18917 1898 03                 .uleb128 0x3
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 340
+
+
+ 18918 1899 02                 .byte   0x2
+ 18919 189a 0000               .2byte  .LM903
+ 18920 189c 16                 .byte   0x16
+ 18921 189d 00                 .byte   0x0
+ 18922 189e 03                 .uleb128 0x3
+ 18923 189f 02                 .byte   0x2
+ 18924 18a0 0000               .2byte  .LM904
+ 18925 18a2 13                 .byte   0x13
+ 18926 18a3 00                 .byte   0x0
+ 18927 18a4 03                 .uleb128 0x3
+ 18928 18a5 02                 .byte   0x2
+ 18929 18a6 0000               .2byte  .LM905
+ 18930 18a8 03                 .byte   0x3
+ 18931 18a9 F901               .sleb128 249
+ 18932 18ab 01                 .byte   0x1
+ 18933 18ac 00                 .byte   0x0
+ 18934 18ad 03                 .uleb128 0x3
+ 18935 18ae 02                 .byte   0x2
+ 18936 18af 0000               .2byte  .LM906
+ 18937 18b1 19                 .byte   0x19
+ 18938 18b2 00                 .byte   0x0
+ 18939 18b3 03                 .uleb128 0x3
+ 18940 18b4 02                 .byte   0x2
+ 18941 18b5 0000               .2byte  .LM907
+ 18942 18b7 03                 .byte   0x3
+ 18943 18b8 997E               .sleb128 -231
+ 18944 18ba 01                 .byte   0x1
+ 18945 18bb 00                 .byte   0x0
+ 18946 18bc 03                 .uleb128 0x3
+ 18947 18bd 02                 .byte   0x2
+ 18948 18be 0000               .2byte  .LM908
+ 18949 18c0 15                 .byte   0x15
+ 18950 18c1 00                 .byte   0x0
+ 18951 18c2 03                 .uleb128 0x3
+ 18952 18c3 02                 .byte   0x2
+ 18953 18c4 0000               .2byte  .LM909
+ 18954 18c6 03                 .byte   0x3
+ 18955 18c7 EE01               .sleb128 238
+ 18956 18c9 01                 .byte   0x1
+ 18957 18ca 00                 .byte   0x0
+ 18958 18cb 03                 .uleb128 0x3
+ 18959 18cc 02                 .byte   0x2
+ 18960 18cd 0000               .2byte  .LM910
+ 18961 18cf 03                 .byte   0x3
+ 18962 18d0 917E               .sleb128 -239
+ 18963 18d2 01                 .byte   0x1
+ 18964 18d3 00                 .byte   0x0
+ 18965 18d4 03                 .uleb128 0x3
+ 18966 18d5 02                 .byte   0x2
+ 18967 18d6 0000               .2byte  .LM911
+ 18968 18d8 15                 .byte   0x15
+ 18969 18d9 00                 .byte   0x0
+ 18970 18da 03                 .uleb128 0x3
+ 18971 18db 02                 .byte   0x2
+ 18972 18dc 0000               .2byte  .LM912
+ 18973 18de FD                 .byte   0xfd
+ 18974 18df 00                 .byte   0x0
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 341
+
+
+ 18975 18e0 03                 .uleb128 0x3
+ 18976 18e1 02                 .byte   0x2
+ 18977 18e2 0000               .2byte  .LM913
+ 18978 18e4 0F                 .byte   0xf
+ 18979 18e5 00                 .byte   0x0
+ 18980 18e6 03                 .uleb128 0x3
+ 18981 18e7 02                 .byte   0x2
+ 18982 18e8 0000               .2byte  .LM914
+ 18983 18ea 03                 .byte   0x3
+ 18984 18eb 8603               .sleb128 390
+ 18985 18ed 01                 .byte   0x1
+ 18986 18ee 00                 .byte   0x0
+ 18987 18ef 03                 .uleb128 0x3
+ 18988 18f0 02                 .byte   0x2
+ 18989 18f1 0000               .2byte  .LM915
+ 18990 18f3 01                 .byte   0x1
+ 18991 18f4 00                 .byte   0x0
+ 18992 18f5 03                 .uleb128 0x3
+ 18993 18f6 02                 .byte   0x2
+ 18994 18f7 0000               .2byte  .LM916
+ 18995 18f9 1A                 .byte   0x1a
+ 18996 18fa 00                 .byte   0x0
+ 18997 18fb 03                 .uleb128 0x3
+ 18998 18fc 02                 .byte   0x2
+ 18999 18fd 0000               .2byte  .LM917
+ 19000 18ff 1C                 .byte   0x1c
+ 19001 1900 00                 .byte   0x0
+ 19002 1901 03                 .uleb128 0x3
+ 19003 1902 02                 .byte   0x2
+ 19004 1903 0000               .2byte  .LM918
+ 19005 1905 0C                 .byte   0xc
+ 19006 1906 00                 .byte   0x0
+ 19007 1907 03                 .uleb128 0x3
+ 19008 1908 02                 .byte   0x2
+ 19009 1909 0000               .2byte  .LM919
+ 19010 190b 15                 .byte   0x15
+ 19011 190c 00                 .byte   0x0
+ 19012 190d 03                 .uleb128 0x3
+ 19013 190e 02                 .byte   0x2
+ 19014 190f 0000               .2byte  .LM920
+ 19015 1911 19                 .byte   0x19
+ 19016 1912 00                 .byte   0x0
+ 19017 1913 03                 .uleb128 0x3
+ 19018 1914 02                 .byte   0x2
+ 19019 1915 0000               .2byte  .LM921
+ 19020 1917 16                 .byte   0x16
+ 19021 1918 00                 .byte   0x0
+ 19022 1919 03                 .uleb128 0x3
+ 19023 191a 02                 .byte   0x2
+ 19024 191b 0000               .2byte  .LM922
+ 19025 191d 03                 .byte   0x3
+ 19026 191e 877B               .sleb128 -633
+ 19027 1920 01                 .byte   0x1
+ 19028 1921 00                 .byte   0x0
+ 19029 1922 03                 .uleb128 0x3
+ 19030 1923 02                 .byte   0x2
+ 19031 1924 0000               .2byte  .LM923
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 342
+
+
+ 19032 1926 15                 .byte   0x15
+ 19033 1927 00                 .byte   0x0
+ 19034 1928 03                 .uleb128 0x3
+ 19035 1929 02                 .byte   0x2
+ 19036 192a 0000               .2byte  .LM924
+ 19037 192c 03                 .byte   0x3
+ 19038 192d FD04               .sleb128 637
+ 19039 192f 01                 .byte   0x1
+ 19040 1930 00                 .byte   0x0
+ 19041 1931 03                 .uleb128 0x3
+ 19042 1932 02                 .byte   0x2
+ 19043 1933 0000               .2byte  .LM925
+ 19044 1935 03                 .byte   0x3
+ 19045 1936 73                 .sleb128 -13
+ 19046 1937 01                 .byte   0x1
+ 19047 1938 00                 .byte   0x0
+ 19048 1939 03                 .uleb128 0x3
+ 19049 193a 02                 .byte   0x2
+ 19050 193b 0000               .2byte  .LM926
+ 19051 193d 17                 .byte   0x17
+ 19052 193e 00                 .byte   0x0
+ 19053 193f 03                 .uleb128 0x3
+ 19054 1940 02                 .byte   0x2
+ 19055 1941 0000               .2byte  .LM927
+ 19056 1943 17                 .byte   0x17
+ 19057 1944 00                 .byte   0x0
+ 19058 1945 03                 .uleb128 0x3
+ 19059 1946 02                 .byte   0x2
+ 19060 1947 0000               .2byte  .LM928
+ 19061 1949 16                 .byte   0x16
+ 19062 194a 00                 .byte   0x0
+ 19063 194b 03                 .uleb128 0x3
+ 19064 194c 02                 .byte   0x2
+ 19065 194d 0000               .2byte  .LM929
+ 19066 194f 16                 .byte   0x16
+ 19067 1950 00                 .byte   0x0
+ 19068 1951 03                 .uleb128 0x3
+ 19069 1952 02                 .byte   0x2
+ 19070 1953 0000               .2byte  .LM930
+ 19071 1955 1D                 .byte   0x1d
+ 19072 1956 00                 .byte   0x0
+ 19073 1957 03                 .uleb128 0x3
+ 19074 1958 02                 .byte   0x2
+ 19075 1959 0000               .2byte  .LM931
+ 19076 195b 17                 .byte   0x17
+ 19077 195c 00                 .byte   0x0
+ 19078 195d 03                 .uleb128 0x3
+ 19079 195e 02                 .byte   0x2
+ 19080 195f 0000               .2byte  .LM932
+ 19081 1961 15                 .byte   0x15
+ 19082 1962 00                 .byte   0x0
+ 19083 1963 03                 .uleb128 0x3
+ 19084 1964 02                 .byte   0x2
+ 19085 1965 0000               .2byte  .LM933
+ 19086 1967 15                 .byte   0x15
+ 19087 1968 00                 .byte   0x0
+ 19088 1969 03                 .uleb128 0x3
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 343
+
+
+ 19089 196a 02                 .byte   0x2
+ 19090 196b 0000               .2byte  .LM934
+ 19091 196d 03                 .byte   0x3
+ 19092 196e DC79               .sleb128 -804
+ 19093 1970 01                 .byte   0x1
+ 19094 1971 00                 .byte   0x0
+ 19095 1972 03                 .uleb128 0x3
+ 19096 1973 02                 .byte   0x2
+ 19097 1974 0000               .2byte  .LM935
+ 19098 1976 16                 .byte   0x16
+ 19099 1977 00                 .byte   0x0
+ 19100 1978 03                 .uleb128 0x3
+ 19101 1979 02                 .byte   0x2
+ 19102 197a 0000               .2byte  .LM936
+ 19103 197c 15                 .byte   0x15
+ 19104 197d 00                 .byte   0x0
+ 19105 197e 03                 .uleb128 0x3
+ 19106 197f 02                 .byte   0x2
+ 19107 1980 0000               .2byte  .LM937
+ 19108 1982 16                 .byte   0x16
+ 19109 1983 00                 .byte   0x0
+ 19110 1984 03                 .uleb128 0x3
+ 19111 1985 02                 .byte   0x2
+ 19112 1986 0000               .2byte  .LM938
+ 19113 1988 15                 .byte   0x15
+ 19114 1989 00                 .byte   0x0
+ 19115 198a 03                 .uleb128 0x3
+ 19116 198b 02                 .byte   0x2
+ 19117 198c 0000               .2byte  .LM939
+ 19118 198e 16                 .byte   0x16
+ 19119 198f 00                 .byte   0x0
+ 19120 1990 03                 .uleb128 0x3
+ 19121 1991 02                 .byte   0x2
+ 19122 1992 0000               .2byte  .LM940
+ 19123 1994 15                 .byte   0x15
+ 19124 1995 00                 .byte   0x0
+ 19125 1996 03                 .uleb128 0x3
+ 19126 1997 02                 .byte   0x2
+ 19127 1998 0000               .2byte  .LM941
+ 19128 199a 16                 .byte   0x16
+ 19129 199b 00                 .byte   0x0
+ 19130 199c 03                 .uleb128 0x3
+ 19131 199d 02                 .byte   0x2
+ 19132 199e 0000               .2byte  .LM942
+ 19133 19a0 03                 .byte   0x3
+ 19134 19a1 9D06               .sleb128 797
+ 19135 19a3 01                 .byte   0x1
+ 19136 19a4 00                 .byte   0x0
+ 19137 19a5 03                 .uleb128 0x3
+ 19138 19a6 02                 .byte   0x2
+ 19139 19a7 0000               .2byte  .LM943
+ 19140 19a9 15                 .byte   0x15
+ 19141 19aa 00                 .byte   0x0
+ 19142 19ab 03                 .uleb128 0x3
+ 19143 19ac 02                 .byte   0x2
+ 19144 19ad 0000               .2byte  .LM944
+ 19145 19af 15                 .byte   0x15
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 344
+
+
+ 19146 19b0 00                 .byte   0x0
+ 19147 19b1 03                 .uleb128 0x3
+ 19148 19b2 02                 .byte   0x2
+ 19149 19b3 0000               .2byte  .LM945
+ 19150 19b5 15                 .byte   0x15
+ 19151 19b6 00                 .byte   0x0
+ 19152 19b7 03                 .uleb128 0x3
+ 19153 19b8 02                 .byte   0x2
+ 19154 19b9 0000               .2byte  .LM946
+ 19155 19bb 03                 .byte   0x3
+ 19156 19bc B978               .sleb128 -967
+ 19157 19be 01                 .byte   0x1
+ 19158 19bf 00                 .byte   0x0
+ 19159 19c0 03                 .uleb128 0x3
+ 19160 19c1 02                 .byte   0x2
+ 19161 19c2 0000               .2byte  .LM947
+ 19162 19c4 16                 .byte   0x16
+ 19163 19c5 00                 .byte   0x0
+ 19164 19c6 03                 .uleb128 0x3
+ 19165 19c7 02                 .byte   0x2
+ 19166 19c8 0000               .2byte  .LM948
+ 19167 19ca 15                 .byte   0x15
+ 19168 19cb 00                 .byte   0x0
+ 19169 19cc 03                 .uleb128 0x3
+ 19170 19cd 02                 .byte   0x2
+ 19171 19ce 0000               .2byte  .LM949
+ 19172 19d0 13                 .byte   0x13
+ 19173 19d1 00                 .byte   0x0
+ 19174 19d2 03                 .uleb128 0x3
+ 19175 19d3 02                 .byte   0x2
+ 19176 19d4 0000               .2byte  .LM950
+ 19177 19d6 17                 .byte   0x17
+ 19178 19d7 00                 .byte   0x0
+ 19179 19d8 03                 .uleb128 0x3
+ 19180 19d9 02                 .byte   0x2
+ 19181 19da 0000               .2byte  .LM951
+ 19182 19dc 10                 .byte   0x10
+ 19183 19dd 00                 .byte   0x0
+ 19184 19de 03                 .uleb128 0x3
+ 19185 19df 02                 .byte   0x2
+ 19186 19e0 0000               .2byte  .LM952
+ 19187 19e2 19                 .byte   0x19
+ 19188 19e3 00                 .byte   0x0
+ 19189 19e4 03                 .uleb128 0x3
+ 19190 19e5 02                 .byte   0x2
+ 19191 19e6 0000               .2byte  .LM953
+ 19192 19e8 03                 .byte   0x3
+ 19193 19e9 C707               .sleb128 967
+ 19194 19eb 01                 .byte   0x1
+ 19195 19ec 00                 .byte   0x0
+ 19196 19ed 03                 .uleb128 0x3
+ 19197 19ee 02                 .byte   0x2
+ 19198 19ef 0000               .2byte  .LM954
+ 19199 19f1 15                 .byte   0x15
+ 19200 19f2 00                 .byte   0x0
+ 19201 19f3 03                 .uleb128 0x3
+ 19202 19f4 02                 .byte   0x2
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 345
+
+
+ 19203 19f5 0000               .2byte  .LM955
+ 19204 19f7 15                 .byte   0x15
+ 19205 19f8 00                 .byte   0x0
+ 19206 19f9 03                 .uleb128 0x3
+ 19207 19fa 02                 .byte   0x2
+ 19208 19fb 0000               .2byte  .LM956
+ 19209 19fd 16                 .byte   0x16
+ 19210 19fe 00                 .byte   0x0
+ 19211 19ff 03                 .uleb128 0x3
+ 19212 1a00 02                 .byte   0x2
+ 19213 1a01 0000               .2byte  .LM957
+ 19214 1a03 15                 .byte   0x15
+ 19215 1a04 00                 .byte   0x0
+ 19216 1a05 03                 .uleb128 0x3
+ 19217 1a06 02                 .byte   0x2
+ 19218 1a07 0000               .2byte  .LM958
+ 19219 1a09 15                 .byte   0x15
+ 19220 1a0a 00                 .byte   0x0
+ 19221 1a0b 03                 .uleb128 0x3
+ 19222 1a0c 02                 .byte   0x2
+ 19223 1a0d 0000               .2byte  .LM959
+ 19224 1a0f 16                 .byte   0x16
+ 19225 1a10 00                 .byte   0x0
+ 19226 1a11 03                 .uleb128 0x3
+ 19227 1a12 02                 .byte   0x2
+ 19228 1a13 0000               .2byte  .LM960
+ 19229 1a15 16                 .byte   0x16
+ 19230 1a16 00                 .byte   0x0
+ 19231 1a17 03                 .uleb128 0x3
+ 19232 1a18 02                 .byte   0x2
+ 19233 1a19 0000               .2byte  .LM961
+ 19234 1a1b 15                 .byte   0x15
+ 19235 1a1c 00                 .byte   0x0
+ 19236 1a1d 03                 .uleb128 0x3
+ 19237 1a1e 02                 .byte   0x2
+ 19238 1a1f 0000               .2byte  .LM962
+ 19239 1a21 16                 .byte   0x16
+ 19240 1a22 00                 .byte   0x0
+ 19241 1a23 03                 .uleb128 0x3
+ 19242 1a24 02                 .byte   0x2
+ 19243 1a25 0000               .2byte  .LM963
+ 19244 1a27 25                 .byte   0x25
+ 19245 1a28 00                 .byte   0x0
+ 19246 1a29 03                 .uleb128 0x3
+ 19247 1a2a 02                 .byte   0x2
+ 19248 1a2b 0000               .2byte  .LM964
+ 19249 1a2d 16                 .byte   0x16
+ 19250 1a2e 00                 .byte   0x0
+ 19251 1a2f 03                 .uleb128 0x3
+ 19252 1a30 02                 .byte   0x2
+ 19253 1a31 0000               .2byte  .LM965
+ 19254 1a33 15                 .byte   0x15
+ 19255 1a34 00                 .byte   0x0
+ 19256 1a35 03                 .uleb128 0x3
+ 19257 1a36 02                 .byte   0x2
+ 19258 1a37 0000               .2byte  .LM966
+ 19259 1a39 16                 .byte   0x16
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 346
+
+
+ 19260 1a3a 00                 .byte   0x0
+ 19261 1a3b 03                 .uleb128 0x3
+ 19262 1a3c 02                 .byte   0x2
+ 19263 1a3d 0000               .2byte  .Letext0
+ 19264 1a3f 00                 .byte   0x0
+ 19265 1a40 01                 .uleb128 0x1
+ 19266 1a41 01                 .byte   0x1
+ 19267                 .LELT0:
+ 19268 1a42 1900 0000          .section        .debug_str,"MS",@progbits,1
+ 19268      0200 1300 
+ 19268      0000 0101 
+ 19268      FB0E 0D00 
+ 19268      0101 0101 
+ 19269                 .LASF45:
+ 19270 0000 6765 744B          .string "getKey"
+ 19270      6579 00
+ 19271                 .LASF15:
+ 19272 0007 7461 6D63          .string "tamc"
+ 19272      00
+ 19273                 .LASF142:
+ 19274 000c 2A30 7830          .string "*0x002D"
+ 19274      3032 4400 
+ 19275                 .LASF168:
+ 19276 0014 2A30 7831          .string "*0x112 + 0x02"
+ 19276      3132 202B 
+ 19276      2030 7830 
+ 19276      3200 
+ 19277                 .LASF259:
+ 19278 0022 6D61 7468          .string "mathStack"
+ 19278      5374 6163 
+ 19278      6B00 
+ 19279                 .LASF273:
+ 19280 002c 7072 6F67          .string "progBi"
+ 19280      4269 00
+ 19281                 .LASF232:
+ 19282 0033 544D 5230          .string "TMR0_CNT"
+ 19282      5F43 4E54 
+ 19282      00
+ 19283                 .LASF206:
+ 19284 003c 5041 4449          .string "PADIR"
+ 19284      5200 
+ 19285                 .LASF77:
+ 19286 0042 6578 6563          .string "execFunc"
+ 19286      4675 6E63 
+ 19286      00
+ 19287                 .LASF112:
+ 19288 004b 7469 6D65          .string "timera"
+ 19288      7261 00
+ 19289                 .LASF147:
+ 19290 0052 5344 3136          .string "SD16CTL"
+ 19290      4354 4C00 
+ 19291                 .LASF195:
+ 19292 005a 2A30 7831          .string "*0x10FB"
+ 19292      3046 4200 
+ 19293                 .LASF282:
+ 19294 0062 636C 6963          .string "clicks"
+ 19294      6B73 00
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 347
+
+
+ 19295                 .LASF197:
+ 19296 0069 2A30 7831          .string "*0x10FC"
+ 19296      3046 4300 
+ 19297                 .LASF175:
+ 19298 0071 5553 4943          .string "USICNT"
+ 19298      4E54 00
+ 19299                 .LASF199:
+ 19300 0078 2A30 7831          .string "*0x10FD"
+ 19300      3046 4400 
+ 19301                 .LASF104:
+ 19302 0080 5441 3043          .string "TA0CCTL0"
+ 19302      4354 4C30 
+ 19302      00
+ 19303                 .LASF40:
+ 19304 0089 5F5F 7069          .string "__pin"
+ 19304      6E00 
+ 19305                 .LASF203:
+ 19306 008f 2A30 7831          .string "*0x10FF"
+ 19306      3046 4600 
+ 19307                 .LASF266:
+ 19308 0097 636D 644C          .string "cmdList"
+ 19308      6973 7400 
+ 19309                 .LASF291:
+ 19310 009f 696E 7075          .string "inputRingPtrXin"
+ 19310      7452 696E 
+ 19310      6750 7472 
+ 19310      5869 6E00 
+ 19311                 .LASF21:
+ 19312 00af 6F75 746D          .string "outmod"
+ 19312      6F64 00
+ 19313                 .LASF46:
+ 19314 00b6 6765 744B          .string "getKeyB"
+ 19314      6579 4200 
+ 19315                 .LASF79:
+ 19316 00be 7072 6F63          .string "processLoop"
+ 19316      6573 734C 
+ 19316      6F6F 7000 
+ 19317                 .LASF160:
+ 19318 00ca 2A30 7831          .string "*0x112 + 0x00"
+ 19318      3132 202B 
+ 19318      2030 7830 
+ 19318      3000 
+ 19319                 .LASF108:
+ 19320 00d8 5441 3043          .string "TA0CCR0"
+ 19320      4352 3000 
+ 19321                 .LASF300:
+ 19322 00e0 2F68 6F6D          .string "/home/dan/430/msp4th"
+ 19322      652F 6461 
+ 19322      6E2F 3433 
+ 19322      302F 6D73 
+ 19322      7034 7468 
+ 19323                 .LASF58:
+ 19324 00f5 7469 6D65          .string "timerInterrupt"
+ 19324      7249 6E74 
+ 19324      6572 7275 
+ 19324      7074 00
+ 19325                 .LASF10:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 348
+
+
+ 19326 0104 6C6F 6E67          .string "long long unsigned int"
+ 19326      206C 6F6E 
+ 19326      6720 756E 
+ 19326      7369 676E 
+ 19326      6564 2069 
+ 19327                 .LASF65:
+ 19328 011b 6765 7457          .string "getWord"
+ 19328      6F72 6400 
+ 19329                 .LASF61:
+ 19330 0123 7365 7444          .string "setDAC"
+ 19330      4143 00
+ 19331                 .LASF166:
+ 19332 012a 2A30 7830          .string "*0x0104"
+ 19332      3130 3400 
+ 19333                 .LASF71:
+ 19334 0132 6164 6472          .string "addr"
+ 19334      00
+ 19335                 .LASF246:
+ 19336 0137 4144 4331          .string "ADC1_DR"
+ 19336      5F44 5200 
+ 19337                 .LASF25:
+ 19338 013f 6363 746C          .string "cctl0"
+ 19338      3000 
+ 19339                 .LASF299:
+ 19340 0145 474E 5520          .string "GNU C 4.4.2"
+ 19340      4320 342E 
+ 19340      342E 3200 
+ 19341                 .LASF228:
+ 19342 0151 544D 5230          .string "TMR0_TCR"
+ 19342      5F54 4352 
+ 19342      00
+ 19343                 .LASF74:
+ 19344 015a 7072 696E          .string "printHexByte"
+ 19344      7448 6578 
+ 19344      4279 7465 
+ 19344      00
+ 19345                 .LASF63:
+ 19346 0167 7365 7475          .string "setupADC"
+ 19346      7041 4443 
+ 19346      00
+ 19347                 .LASF290:
+ 19348 0170 696E 7075          .string "inputRing"
+ 19348      7452 696E 
+ 19348      6700 
+ 19349                 .LASF17:
+ 19350 017a 7461 7373          .string "tassel"
+ 19350      656C 00
+ 19351                 .LASF3:
+ 19352 0181 696E 7431          .string "int16_t"
+ 19352      365F 7400 
+ 19353                 .LASF9:
+ 19354 0189 6C6F 6E67          .string "long long int"
+ 19354      206C 6F6E 
+ 19354      6720 696E 
+ 19354      7400 
+ 19355                 .LASF0:
+ 19356 0197 7369 676E          .string "signed char"
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 349
+
+
+ 19356      6564 2063 
+ 19356      6861 7200 
+ 19357                 .LASF117:
+ 19358 01a3 5031 494E          .string "P1IN"
+ 19358      00
+ 19359                 .LASF221:
+ 19360 01a8 2A30 7834          .string "*0x4000"
+ 19360      3030 3000 
+ 19361                 .LASF157:
+ 19362 01b0 5344 3136          .string "SD16CCTL0"
+ 19362      4343 544C 
+ 19362      3000 
+ 19363                 .LASF223:
+ 19364 01ba 2A30 7834          .string "*0x4002"
+ 19364      3030 3200 
+ 19365                 .LASF72:
+ 19366 01c2 6466 6E46          .string "dfnFunc"
+ 19366      756E 6300 
+ 19367                 .LASF225:
+ 19368 01ca 2A30 7834          .string "*0x4004"
+ 19368      3030 3400 
+ 19369                 .LASF220:
+ 19370 01d2 5350 495F          .string "SPI_SCR"
+ 19370      5343 5200 
+ 19371                 .LASF198:
+ 19372 01da 4341 4C42          .string "CALBC1_8MHZ"
+ 19372      4331 5F38 
+ 19372      4D48 5A00 
+ 19373                 .LASF155:
+ 19374 01e6 5344 3136          .string "SD16PRE0"
+ 19374      5052 4530 
+ 19374      00
+ 19375                 .LASF163:
+ 19376 01ef 5344 3136          .string "SD16PRE1"
+ 19376      5052 4531 
+ 19376      00
+ 19377                 .LASF98:
+ 19378 01f8 5441 3049          .string "TA0IV"
+ 19378      5600 
+ 19379                 .LASF271:
+ 19380 01fe 6469 724D          .string "dirMemory"
+ 19380      656D 6F72 
+ 19380      7900 
+ 19381                 .LASF190:
+ 19382 0208 4341 4C42          .string "CALBC1_16MHZ"
+ 19382      4331 5F31 
+ 19382      364D 485A 
+ 19382      00
+ 19383                 .LASF275:
+ 19384 0215 6C69 6E65          .string "lineBuffer"
+ 19384      4275 6666 
+ 19384      6572 00
+ 19385                 .LASF200:
+ 19386 0220 4341 4C44          .string "CALDCO_1MHZ"
+ 19386      434F 5F31 
+ 19386      4D48 5A00 
+ 19387                 .LASF234:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 350
+
+
+ 19388 022c 544D 5230          .string "TMR0_RA"
+ 19388      5F52 4100 
+ 19389                 .LASF7:
+ 19390 0234 6C6F 6E67          .string "long int"
+ 19390      2069 6E74 
+ 19390      00
+ 19391                 .LASF238:
+ 19392 023d 544D 5230          .string "TMR0_RC"
+ 19392      5F52 4300 
+ 19393                 .LASF101:
+ 19394 0245 2A30 7830          .string "*0x0160"
+ 19394      3136 3000 
+ 19395                 .LASF293:
+ 19396 024d 696E 7075          .string "inputBuf"
+ 19396      7442 7566 
+ 19396      00
+ 19397                 .LASF105:
+ 19398 0256 2A30 7830          .string "*0x0162"
+ 19398      3136 3200 
+ 19399                 .LASF83:
+ 19400 025e 4243 5343          .string "BCSCTL1"
+ 19400      544C 3100 
+ 19401                 .LASF86:
+ 19402 0266 4243 5343          .string "BCSCTL2"
+ 19402      544C 3200 
+ 19403                 .LASF88:
+ 19404 026e 4243 5343          .string "BCSCTL3"
+ 19404      544C 3300 
+ 19405                 .LASF81:
+ 19406 0276 5F75 6E65          .string "_unexpected_"
+ 19406      7870 6563 
+ 19406      7465 645F 
+ 19406      00
+ 19407                 .LASF186:
+ 19408 0283 4946 4731          .string "IFG1"
+ 19408      00
+ 19409                 .LASF226:
+ 19410 0288 5350 495F          .string "SPI_SR"
+ 19410      5352 00
+ 19411                 .LASF253:
+ 19412 028f 2A30 7841          .string "*0xA006"
+ 19412      3030 3600 
+ 19413                 .LASF261:
+ 19414 0297 6164 6472          .string "addrStackPtr"
+ 19414      5374 6163 
+ 19414      6B50 7472 
+ 19414      00
+ 19415                 .LASF82:
+ 19416 02a4 4443 4F43          .string "DCOCTL"
+ 19416      544C 00
+ 19417                 .LASF4:
+ 19418 02ab 7569 6E74          .string "uint16_t"
+ 19418      3136 5F74 
+ 19418      00
+ 19419                 .LASF212:
+ 19420 02b4 5042 4453          .string "PBDSR"
+ 19420      5200 
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 351
+
+
+ 19421                 .LASF89:
+ 19422 02ba 2A30 7830          .string "*0x0053"
+ 19422      3035 3300 
+ 19423                 .LASF97:
+ 19424 02c2 2A30 7830          .string "*0x0054"
+ 19424      3035 3400 
+ 19425                 .LASF85:
+ 19426 02ca 2A30 7830          .string "*0x0057"
+ 19426      3035 3700 
+ 19427                 .LASF87:
+ 19428 02d2 2A30 7830          .string "*0x0058"
+ 19428      3035 3800 
+ 19429                 .LASF96:
+ 19430 02da 4550 4354          .string "EPCTL"
+ 19430      4C00 
+ 19431                 .LASF185:
+ 19432 02e0 2A30 7830          .string "*0x0120"
+ 19432      3132 3000 
+ 19433                 .LASF274:
+ 19434 02e8 7072 6F67          .string "progCounter"
+ 19434      436F 756E 
+ 19434      7465 7200 
+ 19435                 .LASF43:
+ 19436 02f4 706F 7274          .string "port_full_t"
+ 19436      5F66 756C 
+ 19436      6C5F 7400 
+ 19437                 .LASF133:
+ 19438 0300 5032 4F55          .string "P2OUT"
+ 19438      5400 
+ 19439                 .LASF205:
+ 19440 0306 2A30 7832          .string "*0x2000"
+ 19440      3030 3000 
+ 19441                 .LASF213:
+ 19442 030e 2A30 7832          .string "*0x2002"
+ 19442      3030 3200 
+ 19443                 .LASF14:
+ 19444 0316 6475 6D6D          .string "dummy"
+ 19444      7900 
+ 19445                 .LASF91:
+ 19446 031c 2A30 7830          .string "*0x0128"
+ 19446      3132 3800 
+ 19447                 .LASF277:
+ 19448 0324 776F 7264          .string "wordBuffer"
+ 19448      4275 6666 
+ 19448      6572 00
+ 19449                 .LASF207:
+ 19450 032f 2A30 7832          .string "*0x2004"
+ 19450      3030 3400 
+ 19451                 .LASF188:
+ 19452 0337 4341 4C44          .string "CALDCO_16MHZ"
+ 19452      434F 5F31 
+ 19452      364D 485A 
+ 19452      00
+ 19453                 .LASF301:
+ 19454 0344 706F 7274          .string "port"
+ 19454      00
+ 19455                 .LASF215:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 352
+
+
+ 19456 0349 2A30 7832          .string "*0x2006"
+ 19456      3030 3600 
+ 19457                 .LASF69:
+ 19458 0351 6E75 6D46          .string "numFunc"
+ 19458      756E 6300 
+ 19459                 .LASF209:
+ 19460 0359 2A30 7832          .string "*0x2008"
+ 19460      3030 3800 
+ 19461                 .LASF48:
+ 19462 0361 7075 7368          .string "pushMathStack"
+ 19462      4D61 7468 
+ 19462      5374 6163 
+ 19462      6B00 
+ 19463                 .LASF193:
+ 19464 036f 2A30 7831          .string "*0x10FA"
+ 19464      3046 4100 
+ 19465                 .LASF5:
+ 19466 0377 756E 7369          .string "unsigned int"
+ 19466      676E 6564 
+ 19466      2069 6E74 
+ 19466      00
+ 19467                 .LASF219:
+ 19468 0384 2A30 7832          .string "*0x200E"
+ 19468      3030 4500 
+ 19469                 .LASF103:
+ 19470 038c 2A30 7830          .string "*0x0170"
+ 19470      3137 3000 
+ 19471                 .LASF109:
+ 19472 0394 2A30 7830          .string "*0x0172"
+ 19472      3137 3200 
+ 19473                 .LASF111:
+ 19474 039c 2A30 7830          .string "*0x0174"
+ 19474      3137 3400 
+ 19475                 .LASF107:
+ 19476 03a4 2A30 7830          .string "*0x0164"
+ 19476      3136 3400 
+ 19477                 .LASF210:
+ 19478 03ac 5041 5045          .string "PAPER"
+ 19478      5200 
+ 19479                 .LASF75:
+ 19480 03b2 7072 696E          .string "printHexWord"
+ 19480      7448 6578 
+ 19480      576F 7264 
+ 19480      00
+ 19481                 .LASF106:
+ 19482 03bf 5441 3043          .string "TA0CCTL1"
+ 19482      4354 4C31 
+ 19482      00
+ 19483                 .LASF8:
+ 19484 03c8 6C6F 6E67          .string "long unsigned int"
+ 19484      2075 6E73 
+ 19484      6967 6E65 
+ 19484      6420 696E 
+ 19484      7400 
+ 19485                 .LASF201:
+ 19486 03da 2A30 7831          .string "*0x10FE"
+ 19486      3046 4500 
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 353
+
+
+ 19487                 .LASF230:
+ 19488 03e2 544D 5230          .string "TMR0_SR"
+ 19488      5F53 5200 
+ 19489                 .LASF289:
+ 19490 03ea 696E 7075          .string "inputCharBit"
+ 19490      7443 6861 
+ 19490      7242 6974 
+ 19490      00
+ 19491                 .LASF127:
+ 19492 03f7 2A30 7830          .string "*0x0025"
+ 19492      3032 3500 
+ 19493                 .LASF53:
+ 19494 03ff 7075 7368          .string "pushnFunc"
+ 19494      6E46 756E 
+ 19494      6300 
+ 19495                 .LASF124:
+ 19496 0409 5031 4945          .string "P1IES"
+ 19496      5300 
+ 19497                 .LASF66:
+ 19498 040f 6C69 7374          .string "listFunction"
+ 19498      4675 6E63 
+ 19498      7469 6F6E 
+ 19498      00
+ 19499                 .LASF113:
+ 19500 041c 706F 7274          .string "port1"
+ 19500      3100 
+ 19501                 .LASF115:
+ 19502 0422 706F 7274          .string "port2"
+ 19502      3200 
+ 19503                 .LASF29:
+ 19504 0428 7461 6363          .string "taccr0"
+ 19504      7230 00
+ 19505                 .LASF30:
+ 19506 042f 7461 6363          .string "taccr1"
+ 19506      7231 00
+ 19507                 .LASF39:
+ 19508 0436 7265 675F          .string "reg_p"
+ 19508      7000 
+ 19509                 .LASF134:
+ 19510 043c 2A30 7830          .string "*0x0029"
+ 19510      3032 3900 
+ 19511                 .LASF189:
+ 19512 0444 2A30 7831          .string "*0x10F8"
+ 19512      3046 3800 
+ 19513                 .LASF191:
+ 19514 044c 2A30 7831          .string "*0x10F9"
+ 19514      3046 3900 
+ 19515                 .LASF118:
+ 19516 0454 5031 4F55          .string "P1OUT"
+ 19516      5400 
+ 19517                 .LASF173:
+ 19518 045a 5553 4943          .string "USICKCTL"
+ 19518      4B43 544C 
+ 19518      00
+ 19519                 .LASF217:
+ 19520 0463 2A30 7832          .string "*0x200A"
+ 19520      3030 4100 
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 354
+
+
+ 19521                 .LASF252:
+ 19522 046b 4144 4333          .string "ADC3_CR"
+ 19522      5F43 5200 
+ 19523                 .LASF211:
+ 19524 0473 2A30 7832          .string "*0x200C"
+ 19524      3030 4300 
+ 19525                 .LASF148:
+ 19526 047b 2A30 7830          .string "*0x0100"
+ 19526      3130 3000 
+ 19527                 .LASF84:
+ 19528 0483 2A30 7830          .string "*0x0056"
+ 19528      3035 3600 
+ 19529                 .LASF158:
+ 19530 048b 2A30 7830          .string "*0x0102"
+ 19530      3130 3200 
+ 19531                 .LASF19:
+ 19532 0493 6363 6966          .string "ccifg"
+ 19532      6700 
+ 19533                 .LASF149:
+ 19534 0499 5344 3136          .string "SD16IV"
+ 19534      4956 00
+ 19535                 .LASF202:
+ 19536 04a0 4341 4C42          .string "CALBC1_1MHZ"
+ 19536      4331 5F31 
+ 19536      4D48 5A00 
+ 19537                 .LASF196:
+ 19538 04ac 4341 4C44          .string "CALDCO_8MHZ"
+ 19538      434F 5F38 
+ 19538      4D48 5A00 
+ 19539                 .LASF280:
+ 19540 04b8 6F75 7470          .string "outputChar"
+ 19540      7574 4368 
+ 19540      6172 00
+ 19541                 .LASF180:
+ 19542 04c3 2A30 7830          .string "*0x007D"
+ 19542      3037 4400 
+ 19543                 .LASF245:
+ 19544 04cb 2A30 7841          .string "*0xA002"
+ 19544      3030 3200 
+ 19545                 .LASF143:
+ 19546 04d3 5032 5345          .string "P2SEL"
+ 19546      4C00 
+ 19547                 .LASF110:
+ 19548 04d9 5441 3043          .string "TA0CCR1"
+ 19548      4352 3100 
+ 19549                 .LASF235:
+ 19550 04e1 2A30 7836          .string "*0x6006"
+ 19550      3030 3600 
+ 19551                 .LASF22:
+ 19552 04e9 7363 6369          .string "scci"
+ 19552      00
+ 19553                 .LASF135:
+ 19554 04ee 5032 4449          .string "P2DIR"
+ 19554      5200 
+ 19555                 .LASF169:
+ 19556 04f4 5553 4943          .string "USICTL0"
+ 19556      544C 3000 
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 355
+
+
+ 19557                 .LASF171:
+ 19558 04fc 5553 4943          .string "USICTL1"
+ 19558      544C 3100 
+ 19559                 .LASF264:
+ 19560 0504 7072 6F67          .string "progOps"
+ 19560      4F70 7300 
+ 19561                 .LASF26:
+ 19562 050c 6363 746C          .string "cctl1"
+ 19562      3100 
+ 19563                 .LASF122:
+ 19564 0512 5031 4946          .string "P1IFG"
+ 19564      4700 
+ 19565                 .LASF90:
+ 19566 0518 4643 544C          .string "FCTL1"
+ 19566      3100 
+ 19567                 .LASF16:
+ 19568 051e 7461 6964          .string "taid"
+ 19568      00
+ 19569                 .LASF12:
+ 19570 0523 7461 6965          .string "taie"
+ 19570      00
+ 19571                 .LASF94:
+ 19572 0528 4643 544C          .string "FCTL3"
+ 19572      3300 
+ 19573                 .LASF18:
+ 19574 052e 7461 6374          .string "tactl_t"
+ 19574      6C5F 7400 
+ 19575                 .LASF218:
+ 19576 0536 5042 4945          .string "PBIER"
+ 19576      5200 
+ 19577                 .LASF272:
+ 19578 053c 6275 636B          .string "buckets"
+ 19578      6574 7300 
+ 19579                 .LASF27:
+ 19580 0544 6475 6D6D          .string "dummy1"
+ 19580      7931 00
+ 19581                 .LASF28:
+ 19582 054b 6475 6D6D          .string "dummy2"
+ 19582      7932 00
+ 19583                 .LASF51:
+ 19584 0552 7075 7368          .string "pushAddrStack"
+ 19584      4164 6472 
+ 19584      5374 6163 
+ 19584      6B00 
+ 19585                 .LASF248:
+ 19586 0560 4144 4332          .string "ADC2_CR"
+ 19586      5F43 5200 
+ 19587                 .LASF284:
+ 19588 0568 6F75 7470          .string "outputRingPtrXin"
+ 19588      7574 5269 
+ 19588      6E67 5074 
+ 19588      7258 696E 
+ 19588      00
+ 19589                 .LASF268:
+ 19590 0579 7375 6253          .string "subSecondClock"
+ 19590      6563 6F6E 
+ 19590      6443 6C6F 
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 356
+
+
+ 19590      636B 00
+ 19591                 .LASF13:
+ 19592 0588 7461 636C          .string "taclr"
+ 19592      7200 
+ 19593                 .LASF216:
+ 19594 058e 5042 4F55          .string "PBOUT"
+ 19594      5400 
+ 19595                 .LASF170:
+ 19596 0594 2A30 7830          .string "*0x0078"
+ 19596      3037 3800 
+ 19597                 .LASF172:
+ 19598 059c 2A30 7830          .string "*0x0079"
+ 19598      3037 3900 
+ 19599                 .LASF214:
+ 19600 05a4 5042 4449          .string "PBDIR"
+ 19600      5200 
+ 19601                 .LASF254:
+ 19602 05aa 4144 4333          .string "ADC3_DR"
+ 19602      5F44 5200 
+ 19603                 .LASF286:
+ 19604 05b2 696E 7075          .string "inputChar"
+ 19604      7443 6861 
+ 19604      7200 
+ 19605                 .LASF126:
+ 19606 05bc 5031 4945          .string "P1IE"
+ 19606      00
+ 19607                 .LASF176:
+ 19608 05c1 2A30 7830          .string "*0x007B"
+ 19608      3037 4200 
+ 19609                 .LASF178:
+ 19610 05c9 2A30 7830          .string "*0x007C"
+ 19610      3037 4300 
+ 19611                 .LASF128:
+ 19612 05d1 5031 5345          .string "P1SEL"
+ 19612      4C00 
+ 19613                 .LASF55:
+ 19614 05d7 5F72 6573          .string "_reset_vector__"
+ 19614      6574 5F76 
+ 19614      6563 746F 
+ 19614      725F 5F00 
+ 19615                 .LASF159:
+ 19616 05e7 5344 3136          .string "SD16MEM0"
+ 19616      4D45 4D30 
+ 19616      00
+ 19617                 .LASF302:
+ 19618 05f0 696E 6974          .string "initVars"
+ 19618      5661 7273 
+ 19618      00
+ 19619                 .LASF152:
+ 19620 05f9 2A30 7830          .string "*0x00B7"
+ 19620      3042 3700 
+ 19621                 .LASF262:
+ 19622 0601 7072 6F67          .string "prog"
+ 19622      00
+ 19623                 .LASF304:
+ 19624 0606 2A30 7830          .string "*0x0000"
+ 19624      3030 3000 
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 357
+
+
+ 19625                 .LASF187:
+ 19626 060e 2A30 7830          .string "*0x0002"
+ 19626      3030 3200 
+ 19627                 .LASF287:
+ 19628 0616 696E 7075          .string "inputCharX"
+ 19628      7443 6861 
+ 19628      7258 00
+ 19629                 .LASF257:
+ 19630 0621 636D 644C          .string "cmdListBi2"
+ 19630      6973 7442 
+ 19630      6932 00
+ 19631                 .LASF50:
+ 19632 062c 7072 696E          .string "printHexChar"
+ 19632      7448 6578 
+ 19632      4368 6172 
+ 19632      00
+ 19633                 .LASF303:
+ 19634 0639 7365 7475          .string "setupDACs"
+ 19634      7044 4143 
+ 19634      7300 
+ 19635                 .LASF270:
+ 19636 0643 736C 6F77          .string "slowTimer"
+ 19636      5469 6D65 
+ 19636      7200 
+ 19637                 .LASF182:
+ 19638 064d 5553 4943          .string "USICCTL"
+ 19638      4354 4C00 
+ 19639                 .LASF183:
+ 19640 0655 5553 4953          .string "USISR"
+ 19640      5200 
+ 19641                 .LASF129:
+ 19642 065b 2A30 7830          .string "*0x0026"
+ 19642      3032 3600 
+ 19643                 .LASF165:
+ 19644 0663 5344 3136          .string "SD16CCTL1"
+ 19644      4343 544C 
+ 19644      3100 
+ 19645                 .LASF194:
+ 19646 066d 4341 4C42          .string "CALBC1_12MHZ"
+ 19646      4331 5F31 
+ 19646      324D 485A 
+ 19646      00
+ 19647                 .LASF11:
+ 19648 067a 7461 6966          .string "taifg"
+ 19648      6700 
+ 19649                 .LASF236:
+ 19650 0680 544D 5230          .string "TMR0_RB"
+ 19650      5F52 4200 
+ 19651                 .LASF56:
+ 19652 0688 6A75 6E6B          .string "junkInterrupt"
+ 19652      496E 7465 
+ 19652      7272 7570 
+ 19652      7400 
+ 19653                 .LASF141:
+ 19654 0696 5032 4945          .string "P2IE"
+ 19654      00
+ 19655                 .LASF297:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 358
+
+
+ 19656 069b 6164 5F69          .string "ad_int_tmp"
+ 19656      6E74 5F74 
+ 19656      6D70 00
+ 19657                 .LASF208:
+ 19658 06a6 5041 4F55          .string "PAOUT"
+ 19658      5400 
+ 19659                 .LASF76:
+ 19660 06ac 6578 6563          .string "execN"
+ 19660      4E00 
+ 19661                 .LASF6:
+ 19662 06b2 696E 7433          .string "int32_t"
+ 19662      325F 7400 
+ 19663                 .LASF1:
+ 19664 06ba 756E 7369          .string "unsigned char"
+ 19664      676E 6564 
+ 19664      2063 6861 
+ 19664      7200 
+ 19665                 .LASF250:
+ 19666 06c8 4144 4332          .string "ADC2_DR"
+ 19666      5F44 5200 
+ 19667                 .LASF151:
+ 19668 06d0 5344 3136          .string "SD16AE"
+ 19668      4145 00
+ 19669                 .LASF136:
+ 19670 06d7 2A30 7830          .string "*0x002A"
+ 19670      3032 4100 
+ 19671                 .LASF138:
+ 19672 06df 2A30 7830          .string "*0x002B"
+ 19672      3032 4200 
+ 19673                 .LASF179:
+ 19674 06e7 5553 4953          .string "USISRH"
+ 19674      5248 00
+ 19675                 .LASF31:
+ 19676 06ee 5F5F 7030          .string "__p0"
+ 19676      00
+ 19677                 .LASF32:
+ 19678 06f3 5F5F 7031          .string "__p1"
+ 19678      00
+ 19679                 .LASF33:
+ 19680 06f8 5F5F 7032          .string "__p2"
+ 19680      00
+ 19681                 .LASF34:
+ 19682 06fd 5F5F 7033          .string "__p3"
+ 19682      00
+ 19683                 .LASF35:
+ 19684 0702 5F5F 7034          .string "__p4"
+ 19684      00
+ 19685                 .LASF36:
+ 19686 0707 5F5F 7035          .string "__p5"
+ 19686      00
+ 19687                 .LASF37:
+ 19688 070c 5F5F 7036          .string "__p6"
+ 19688      00
+ 19689                 .LASF38:
+ 19690 0711 5F5F 7037          .string "__p7"
+ 19690      00
+ 19691                 .LASF20:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 359
+
+
+ 19692 0716 6363 6965          .string "ccie"
+ 19692      00
+ 19693                 .LASF44:
+ 19694 071b 656D 6974          .string "emit"
+ 19694      00
+ 19695                 .LASF224:
+ 19696 0720 5350 495F          .string "SPI_TDR"
+ 19696      5444 5200 
+ 19697                 .LASF295:
+ 19698 0728 6665 6353          .string "fecShadow"
+ 19698      6861 646F 
+ 19698      7700 
+ 19699                 .LASF60:
+ 19700 0732 7365 6E64          .string "sendToDAC"
+ 19700      546F 4441 
+ 19700      4300 
+ 19701                 .LASF285:
+ 19702 073c 6F75 7470          .string "outputRingPtrXout"
+ 19702      7574 5269 
+ 19702      6E67 5074 
+ 19702      7258 6F75 
+ 19702      7400 
+ 19703                 .LASF283:
+ 19704 074e 6F75 7470          .string "outputRing"
+ 19704      7574 5269 
+ 19704      6E67 00
+ 19705                 .LASF93:
+ 19706 0759 2A30 7830          .string "*0x012A"
+ 19706      3132 4100 
+ 19707                 .LASF279:
+ 19708 0761 6F75 7470          .string "outputCharCntrN"
+ 19708      7574 4368 
+ 19708      6172 436E 
+ 19708      7472 4E00 
+ 19709                 .LASF95:
+ 19710 0771 2A30 7830          .string "*0x012C"
+ 19710      3132 4300 
+ 19711                 .LASF278:
+ 19712 0779 6F75 7470          .string "outputCharN"
+ 19712      7574 4368 
+ 19712      6172 4E00 
+ 19713                 .LASF99:
+ 19714 0785 2A30 7830          .string "*0x012E"
+ 19714      3132 4500 
+ 19715                 .LASF276:
+ 19716 078d 6C69 6E65          .string "lineBufferPtr"
+ 19716      4275 6666 
+ 19716      6572 5074 
+ 19716      7200 
+ 19717                 .LASF267:
+ 19718 079b 636D 644C          .string "cmdListPtr"
+ 19718      6973 7450 
+ 19718      7472 00
+ 19719                 .LASF23:
+ 19720 07a6 6363 6973          .string "ccis"
+ 19720      00
+ 19721                 .LASF54:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 360
+
+
+ 19722 07ab 6F76 6572          .string "overFunc"
+ 19722      4675 6E63 
+ 19722      00
+ 19723                 .LASF240:
+ 19724 07b4 4144 4330          .string "ADC0_CR"
+ 19724      5F43 5200 
+ 19725                 .LASF247:
+ 19726 07bc 2A30 7841          .string "*0xA003"
+ 19726      3030 3300 
+ 19727                 .LASF249:
+ 19728 07c4 2A30 7841          .string "*0xA004"
+ 19728      3030 3400 
+ 19729                 .LASF251:
+ 19730 07cc 2A30 7841          .string "*0xA005"
+ 19730      3030 3500 
+ 19731                 .LASF229:
+ 19732 07d4 2A30 7836          .string "*0x6000"
+ 19732      3030 3000 
+ 19733                 .LASF255:
+ 19734 07dc 2A30 7841          .string "*0xA007"
+ 19734      3030 3700 
+ 19735                 .LASF130:
+ 19736 07e4 5031 5245          .string "P1REN"
+ 19736      4E00 
+ 19737                 .LASF73:
+ 19738 07ea 7072 696E          .string "printNumber"
+ 19738      744E 756D 
+ 19738      6265 7200 
+ 19739                 .LASF24:
+ 19740 07f6 7461 6363          .string "tacctl_t"
+ 19740      746C 5F74 
+ 19740      00
+ 19741                 .LASF222:
+ 19742 07ff 5350 495F          .string "SPI_RDR"
+ 19742      5244 5200 
+ 19743                 .LASF57:
+ 19744 0807 6164 6349          .string "adcInterrupt"
+ 19744      6E74 6572 
+ 19744      7275 7074 
+ 19744      00
+ 19745                 .LASF42:
+ 19746 0814 7469 6D65          .string "timera_t"
+ 19746      7261 5F74 
+ 19746      00
+ 19747                 .LASF204:
+ 19748 081d 5041 4453          .string "PADSR"
+ 19748      5200 
+ 19749                 .LASF288:
+ 19750 0823 696E 7075          .string "inputCharCntr"
+ 19750      7443 6861 
+ 19750      7243 6E74 
+ 19750      7200 
+ 19751                 .LASF281:
+ 19752 0831 6F75 7470          .string "outputCharCntr"
+ 19752      7574 4368 
+ 19752      6172 436E 
+ 19752      7472 00
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 361
+
+
+ 19753                 .LASF292:
+ 19754 0840 696E 7075          .string "inputRingPtrXout"
+ 19754      7452 696E 
+ 19754      6750 7472 
+ 19754      586F 7574 
+ 19754      00
+ 19755                 .LASF139:
+ 19756 0851 5032 4945          .string "P2IES"
+ 19756      5300 
+ 19757                 .LASF150:
+ 19758 0857 2A30 7831          .string "*0x110"
+ 19758      3130 00
+ 19759                 .LASF67:
+ 19760 085e 6C6F 6F6B          .string "lookupToken"
+ 19760      7570 546F 
+ 19760      6B65 6E00 
+ 19761                 .LASF70:
+ 19762 086a 6966 4675          .string "ifFunc"
+ 19762      6E63 00
+ 19763                 .LASF294:
+ 19764 0871 696E 7075          .string "inputBufPtr"
+ 19764      7442 7566 
+ 19764      5074 7200 
+ 19765                 .LASF263:
+ 19766 087d 7072 6F67          .string "progPtr"
+ 19766      5074 7200 
+ 19767                 .LASF145:
+ 19768 0885 5032 5245          .string "P2REN"
+ 19768      4E00 
+ 19769                 .LASF132:
+ 19770 088b 5032 494E          .string "P2IN"
+ 19770      00
+ 19771                 .LASF177:
+ 19772 0890 5553 4953          .string "USISRL"
+ 19772      524C 00
+ 19773                 .LASF181:
+ 19774 0897 5553 4943          .string "USICTL"
+ 19774      544C 00
+ 19775                 .LASF184:
+ 19776 089e 5744 5443          .string "WDTCTL"
+ 19776      544C 00
+ 19777                 .LASF258:
+ 19778 08a5 636D 644C          .string "cmdList2N"
+ 19778      6973 7432 
+ 19778      4E00 
+ 19779                 .LASF244:
+ 19780 08af 4144 4331          .string "ADC1_CR"
+ 19780      5F43 5200 
+ 19781                 .LASF100:
+ 19782 08b7 5441 3043          .string "TA0CTL"
+ 19782      544C 00
+ 19783                 .LASF265:
+ 19784 08be 7072 6F67          .string "progOpsPtr"
+ 19784      4F70 7350 
+ 19784      7472 00
+ 19785                 .LASF156:
+ 19786 08c9 2A30 7830          .string "*0x00B8"
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 362
+
+
+ 19786      3042 3800 
+ 19787                 .LASF114:
+ 19788 08d1 2A30 7830          .string "*0x0020"
+ 19788      3032 3000 
+ 19789                 .LASF119:
+ 19790 08d9 2A30 7830          .string "*0x0021"
+ 19790      3032 3100 
+ 19791                 .LASF121:
+ 19792 08e1 2A30 7830          .string "*0x0022"
+ 19792      3032 3200 
+ 19793                 .LASF123:
+ 19794 08e9 2A30 7830          .string "*0x0023"
+ 19794      3032 3300 
+ 19795                 .LASF125:
+ 19796 08f1 2A30 7830          .string "*0x0024"
+ 19796      3032 3400 
+ 19797                 .LASF64:
+ 19798 08f9 6765 744C          .string "getLine"
+ 19798      696E 6500 
+ 19799                 .LASF59:
+ 19800 0901 7365 6E64          .string "sendToFEC"
+ 19800      546F 4645 
+ 19800      4300 
+ 19801                 .LASF131:
+ 19802 090b 2A30 7830          .string "*0x0027"
+ 19802      3032 3700 
+ 19803                 .LASF116:
+ 19804 0913 2A30 7830          .string "*0x0028"
+ 19804      3032 3800 
+ 19805                 .LASF52:
+ 19806 091b 706F 7041          .string "popAddrStack"
+ 19806      6464 7253 
+ 19806      7461 636B 
+ 19806      00
+ 19807                 .LASF269:
+ 19808 0928 6661 7374          .string "fastTimer"
+ 19808      5469 6D65 
+ 19808      7200 
+ 19809                 .LASF68:
+ 19810 0932 6C75 4675          .string "luFunc"
+ 19810      6E63 00
+ 19811                 .LASF140:
+ 19812 0939 2A30 7830          .string "*0x002C"
+ 19812      3032 4300 
+ 19813                 .LASF120:
+ 19814 0941 5031 4449          .string "P1DIR"
+ 19814      5200 
+ 19815                 .LASF144:
+ 19816 0947 2A30 7830          .string "*0x002E"
+ 19816      3032 4500 
+ 19817                 .LASF146:
+ 19818 094f 2A30 7830          .string "*0x002F"
+ 19818      3032 4600 
+ 19819                 .LASF2:
+ 19820 0957 7569 6E74          .string "uint8_t"
+ 19820      385F 7400 
+ 19821                 .LASF41:
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 363
+
+
+ 19822 095f 696F 7265          .string "ioregister_t"
+ 19822      6769 7374 
+ 19822      6572 5F74 
+ 19822      00
+ 19823                 .LASF167:
+ 19824 096c 5344 3136          .string "SD16MEM1"
+ 19824      4D45 4D31 
+ 19824      00
+ 19825                 .LASF227:
+ 19826 0975 2A30 7834          .string "*0x4006"
+ 19826      3030 3600 
+ 19827                 .LASF62:
+ 19828 097d 7365 7441          .string "setAllDACs"
+ 19828      6C6C 4441 
+ 19828      4373 00
+ 19829                 .LASF260:
+ 19830 0988 6164 6472          .string "addrStack"
+ 19830      5374 6163 
+ 19830      6B00 
+ 19831                 .LASF298:
+ 19832 0992 496E 7465          .string "InterruptVectors"
+ 19832      7272 7570 
+ 19832      7456 6563 
+ 19832      746F 7273 
+ 19832      00
+ 19833                 .LASF153:
+ 19834 09a3 5344 3136          .string "SD16INCTL0"
+ 19834      494E 4354 
+ 19834      4C30 00
+ 19835                 .LASF161:
+ 19836 09ae 5344 3136          .string "SD16INCTL1"
+ 19836      494E 4354 
+ 19836      4C31 00
+ 19837                 .LASF231:
+ 19838 09b9 2A30 7836          .string "*0x6002"
+ 19838      3030 3200 
+ 19839                 .LASF233:
+ 19840 09c1 2A30 7836          .string "*0x6004"
+ 19840      3030 3400 
+ 19841                 .LASF47:
+ 19842 09c9 7072 696E          .string "printString"
+ 19842      7453 7472 
+ 19842      696E 6700 
+ 19843                 .LASF237:
+ 19844 09d5 2A30 7836          .string "*0x6008"
+ 19844      3030 3800 
+ 19845                 .LASF102:
+ 19846 09dd 5441 3052          .string "TA0R"
+ 19846      00
+ 19847                 .LASF241:
+ 19848 09e2 2A30 7841          .string "*0xA000"
+ 19848      3030 3000 
+ 19849                 .LASF243:
+ 19850 09ea 2A30 7841          .string "*0xA001"
+ 19850      3030 3100 
+ 19851                 .LASF154:
+ 19852 09f2 2A30 7830          .string "*0x00B0"
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 364
+
+
+ 19852      3042 3000 
+ 19853                 .LASF162:
+ 19854 09fa 2A30 7830          .string "*0x00B1"
+ 19854      3042 3100 
+ 19855                 .LASF239:
+ 19856 0a02 2A30 7836          .string "*0x600A"
+ 19856      3030 4100 
+ 19857                 .LASF192:
+ 19858 0a0a 4341 4C44          .string "CALDCO_12MHZ"
+ 19858      434F 5F31 
+ 19858      324D 485A 
+ 19858      00
+ 19859                 .LASF80:
+ 19860 0a17 6D61 696E          .string "main"
+ 19860      00
+ 19861                 .LASF92:
+ 19862 0a1c 4643 544C          .string "FCTL2"
+ 19862      3200 
+ 19863                 .LASF164:
+ 19864 0a22 2A30 7830          .string "*0x00B9"
+ 19864      3042 3900 
+ 19865                 .LASF174:
+ 19866 0a2a 2A30 7830          .string "*0x007A"
+ 19866      3037 4100 
+ 19867                 .LASF49:
+ 19868 0a32 706F 704D          .string "popMathStack"
+ 19868      6174 6853 
+ 19868      7461 636B 
+ 19868      00
+ 19869                 .LASF137:
+ 19870 0a3f 5032 4946          .string "P2IFG"
+ 19870      4700 
+ 19871                 .LASF242:
+ 19872 0a45 4144 4330          .string "ADC0_DR"
+ 19872      5F44 5200 
+ 19873                 .LASF78:
+ 19874 0a4d 6F70 636F          .string "opcode"
+ 19874      6465 00
+ 19875                 .LASF256:
+ 19876 0a54 636D 644C          .string "cmdListBi"
+ 19876      6973 7442 
+ 19876      6900 
+ 19877                 .LASF296:
+ 19878 0a5e 6269 6173          .string "biasVoltage"
+ 19878      566F 6C74 
+ 19878      6167 6500 
+ 19879                 
+ 19880                 /*********************************************************************
+ 19881                  * File x.c: code size: 122 words (0x7a)
+ 19882                  * incl. words in prologues: 44, epilogues: 78
+ 19883                  *********************************************************************/
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 365
+
+
+DEFINED SYMBOLS
+                            *ABS*:00000000 x.c
+     /tmp/ccYnJkHJ.s:18     .text:00000000 _reset_vector__
+     /tmp/ccYnJkHJ.s:5252   .text:000019ac main
+     /tmp/ccYnJkHJ.s:45     .text:00000004 junkInterrupt
+     /tmp/ccYnJkHJ.s:44     .text:00000004 vector_ffe0
+     /tmp/ccYnJkHJ.s:68     .text:00000006 adcInterrupt
+     /tmp/ccYnJkHJ.s:67     .text:00000006 vector_ffe2
+                            *COM*:00000002 ad_int_tmp
+                            *COM*:00000208 buckets
+     /tmp/ccYnJkHJ.s:177    .text:000000a0 timerInterrupt
+     /tmp/ccYnJkHJ.s:176    .text:000000a0 vector_ffe4
+                            *COM*:00000002 clicks
+                            *COM*:00000002 inputCharBit
+                            *COM*:00000002 outputCharCntr
+                            *COM*:00000002 outputChar
+                            *COM*:00000002 fastTimer
+                            *COM*:00000002 subSecondClock
+                            *COM*:00000002 slowTimer
+                            *COM*:00000002 inputCharCntr
+                            *COM*:00000002 inputCharX
+                            *COM*:00000002 inputRingPtrXin
+                            *COM*:00000010 inputRing
+                            *COM*:00000002 outputRingPtrXin
+                            *COM*:00000002 outputRingPtrXout
+                            *COM*:00000020 outputRing
+     /tmp/ccYnJkHJ.s:331    .text:000001ba emit
+     /tmp/ccYnJkHJ.s:386    .text:000001f6 getKey
+                            *COM*:00000002 inputRingPtrXout
+     /tmp/ccYnJkHJ.s:429    .text:0000021e sendToFEC
+     /tmp/ccYnJkHJ.s:475    .text:00000250 sendToDAC
+     /tmp/ccYnJkHJ.s:523    .text:00000282 setDAC
+     /tmp/ccYnJkHJ.s:568    .text:000002a6 setupDACs
+     /tmp/ccYnJkHJ.s:614    .text:000002da setAllDACs
+     /tmp/ccYnJkHJ.s:5562   .text:00001ca2 biasVoltage
+     /tmp/ccYnJkHJ.s:655    .text:000002fa setupADC
+     /tmp/ccYnJkHJ.s:698    .text:00000334 initVars
+                            *COM*:00000002 outputCharCntrN
+                            *COM*:00000001 inputBufPtr
+     /tmp/ccYnJkHJ.s:735    .text:00000356 getKeyB
+                            *COM*:00000002 lineBufferPtr
+                            *COM*:00000080 lineBuffer
+     /tmp/ccYnJkHJ.s:765    .text:0000036a getLine
+     /tmp/ccYnJkHJ.s:1148   .text:0000059c getWord
+                            *COM*:00000020 wordBuffer
+     /tmp/ccYnJkHJ.s:1328   .text:0000065c printString
+     /tmp/ccYnJkHJ.s:1402   .text:000006aa sc
+     /tmp/ccYnJkHJ.s:1468   .text:000006dc listFunction
+     /tmp/ccYnJkHJ.s:5385   .text:00001a5e cmdListBi
+     /tmp/ccYnJkHJ.s:5394   .text:00001b69 cmdListBi2
+                            *COM*:00000080 cmdList
+     /tmp/ccYnJkHJ.s:1647   .text:000007c4 popMathStack
+                            *COM*:00000020 mathStack
+     /tmp/ccYnJkHJ.s:1679   .text:000007da pushMathStack
+     /tmp/ccYnJkHJ.s:1712   .text:000007f0 popAddrStack
+                            *COM*:00000002 addrStackPtr
+                            *COM*:00000040 addrStack
+\fGAS LISTING /tmp/ccYnJkHJ.s                   page 366
+
+
+     /tmp/ccYnJkHJ.s:1741   .text:00000804 pushAddrStack
+     /tmp/ccYnJkHJ.s:1770   .text:00000816 lookupToken
+     /tmp/ccYnJkHJ.s:1984   .text:000008fc luFunc
+     /tmp/ccYnJkHJ.s:2165   .text:000009ce numFunc
+     /tmp/ccYnJkHJ.s:2337   .text:00000aa0 ifFunc
+                            *COM*:00000002 progCounter
+     /tmp/ccYnJkHJ.s:5409   .text:00001b7a progBi
+                            *COM*:00000200 prog
+     /tmp/ccYnJkHJ.s:2412   .text:00000af4 pushnFunc
+     /tmp/ccYnJkHJ.s:2469   .text:00000b2e overFunc
+     /tmp/ccYnJkHJ.s:2507   .text:00000b48 dfnFunc
+                            *COM*:00000002 cmdListPtr
+                            *COM*:00000002 progPtr
+                            *COM*:00000040 progOps
+     /tmp/ccYnJkHJ.s:2573   .text:00000ba4 printNumber
+     /tmp/ccYnJkHJ.s:2794   .text:00000cc8 printHexChar
+     /tmp/ccYnJkHJ.s:2862   .text:00000d12 printHexByte
+     /tmp/ccYnJkHJ.s:2996   .text:00000db6 printHexWord
+     /tmp/ccYnJkHJ.s:3036   .text:00000dde execN
+     /tmp/ccYnJkHJ.s:5088   .text:000018e6 execFunc
+                            *COM*:00000006 fecShadow
+                            *COM*:00000002 dirMemory
+     /tmp/ccYnJkHJ.s:5400   .text:00001b72 cmdList2N
+     /tmp/ccYnJkHJ.s:5167   .text:0000194a processLoop
+                            *COM*:00000002 progOpsPtr
+     /tmp/ccYnJkHJ.s:5363   .text:00001a5a _unexpected_
+     /tmp/ccYnJkHJ.s:5584   .vectors:00000000 InterruptVectors
+                            *COM*:00000002 outputCharN
+                            *COM*:00000002 inputChar
+                            *COM*:00000080 inputBuf
+
+UNDEFINED SYMBOLS
+__divmodhi4
+__mulhisi3
+__divmodsi4
+__mulhi3
+__stack
diff --git a/msp4th/x.xout b/msp4th/x.xout
new file mode 100644 (file)
index 0000000..e69de29
diff --git a/msp4th/z b/msp4th/z
new file mode 100755 (executable)
index 0000000..146395c
--- /dev/null
+++ b/msp4th/z
@@ -0,0 +1,13 @@
+# msp430-gcc -nostartfiles -mmcu=msp430x2013 -O2 -g -mendup-at=main test1.c
+
+msp430-gcc -nostartfiles -mmcu=msp430x2013 -O2 -g -Wa,-ahlms=x.lst \
+-mendup-at=main -o x.a43 x.c -L -Xlinker -T ldscript
+
+msp430-objcopy -O ihex x.a43 x.hex
+
+
+msp430-objdump -dSt x.a43 >x.xout
+
+
+
+