Rename dir for sch-pcb projects
authorDan White <dan@whiteaudio.com>
Thu, 26 Jan 2012 19:34:29 +0000 (13:34 -0600)
committerDan White <dan@whiteaudio.com>
Thu, 26 Jan 2012 19:34:29 +0000 (13:34 -0600)
114 files changed:
sch-pcb/Makefile [new file with mode: 0644]
sch-pcb/atoi-1.sch [new file with mode: 0644]
sch-pcb/atoi.gsch2pcb [new file with mode: 0644]
sch-pcb/doc/ocp_qfn_12x12_100a_mo.pdf [new file with mode: 0644]
sch-pcb/doc/qfn_lands_sloa122.pdf [new file with mode: 0644]
sch-pcb/doc/qfn_pcb_slua271a.pdf [new file with mode: 0644]
sch-pcb/fp/CON_HDR-100P-25N__Hirose_DF9-25P-1V_renum [new file with mode: 0644]
sch-pcb/fp/CON_HDR-100P-25N__Hirose_DF9-25S-1V_renum [new file with mode: 0644]
sch-pcb/fp/Makefile [new file with mode: 0644]
sch-pcb/fp/PLASTRONICS_100QN40S1120120.footprinter [new file with mode: 0755]
sch-pcb/fp/PLASTRONICS_100QN40S1120120.fp [new file with mode: 0644]
sch-pcb/fp/PLASTRONICS_100QN40S1120120_padsonly.fp [new file with mode: 0644]
sch-pcb/fp/SEMPAC_12x12_100A.fp [new file with mode: 0644]
sch-pcb/fp/footprinter.py [new file with mode: 0644]
sch-pcb/fp/socket-overlay.pdf [new file with mode: 0644]
sch-pcb/gafrc [new file with mode: 0644]
sch-pcb/gafrc-libraries [new file with mode: 0644]
sch-pcb/gnetlistrc [new file with mode: 0644]
sch-pcb/gschemrc [new file with mode: 0644]
sch-pcb/pinout-notes.otl [new file with mode: 0644]
sch-pcb/sym/Makefile [new file with mode: 0644]
sch-pcb/sym/ad5242-1.djboxsym [new file with mode: 0644]
sch-pcb/sym/ad5242-1.sym [new file with mode: 0644]
sch-pcb/sym/ads8201-1.djboxsym [new file with mode: 0644]
sch-pcb/sym/ads8201-1.sym [new file with mode: 0644]
sch-pcb/sym/cc430f5137-1.djboxsym [new file with mode: 0644]
sch-pcb/sym/cc430f5137-1.sym [new file with mode: 0644]
sch-pcb/sym/cc430f5137-2.djboxsym [new file with mode: 0644]
sch-pcb/sym/cc430f5137-2.sym [new file with mode: 0644]
sch-pcb/sym/cc430f5137-3.djboxsym [new file with mode: 0644]
sch-pcb/sym/cc430f5137-3.sym [new file with mode: 0644]
sch-pcb/sym/cc430f5137-4.djboxsym [new file with mode: 0644]
sch-pcb/sym/cc430f5137-4.sym [new file with mode: 0644]
sch-pcb/sym/cc430f5137-5.djboxsym [new file with mode: 0644]
sch-pcb/sym/cc430f5137-5.sym [new file with mode: 0644]
sch-pcb/sym/cc430f5137-6.djboxsym [new file with mode: 0644]
sch-pcb/sym/cc430f5137-6.sym [new file with mode: 0644]
sch-pcb/sym/dac8568-1.djboxsym [new file with mode: 0644]
sch-pcb/sym/dac8568-1.sym [new file with mode: 0644]
sch-pcb/sym/djboxsym [new file with mode: 0755]
sch-pcb/sym/m25pe80-1.djboxsym [new file with mode: 0644]
sch-pcb/sym/m25pe80-1.sym [new file with mode: 0644]
sch-pcb/sym/pad-l.sym [new file with mode: 0644]
sch-pcb/sym/pad-r.sym [new file with mode: 0644]
sch-pcb/sym/plastronics-100QN40S1120120-1.djboxsym [new file with mode: 0644]
sch-pcb/sym/plastronics-100QN40S1120120-1.sym [new file with mode: 0644]
sch-pcb/sym/title-phd-85x110.sym [new file with mode: 0644]
sch-pcb/sym/wb430-1.djboxsym [new file with mode: 0644]
sch-pcb/sym/wb430-1.sym [new file with mode: 0644]
sch-pcb/sym/wb430-2.djboxsym [new file with mode: 0644]
sch-pcb/sym/wb430-2.sym [new file with mode: 0644]
sch-pcb/sym/wb430-3.djboxsym [new file with mode: 0644]
sch-pcb/sym/wb430-3.sym [new file with mode: 0644]
sch-pcb/sym/wb430-4.djboxsym [new file with mode: 0644]
sch-pcb/sym/wb430-4.sym [new file with mode: 0644]
sch-pcb/waeda-fp [new submodule]
sch-pcb/waeda-sym [new submodule]
test-pcb/Makefile [deleted file]
test-pcb/atoi-1.sch [deleted file]
test-pcb/atoi.gsch2pcb [deleted file]
test-pcb/doc/ocp_qfn_12x12_100a_mo.pdf [deleted file]
test-pcb/doc/qfn_lands_sloa122.pdf [deleted file]
test-pcb/doc/qfn_pcb_slua271a.pdf [deleted file]
test-pcb/fp/CON_HDR-100P-25N__Hirose_DF9-25P-1V_renum [deleted file]
test-pcb/fp/CON_HDR-100P-25N__Hirose_DF9-25S-1V_renum [deleted file]
test-pcb/fp/Makefile [deleted file]
test-pcb/fp/PLASTRONICS_100QN40S1120120.footprinter [deleted file]
test-pcb/fp/PLASTRONICS_100QN40S1120120.fp [deleted file]
test-pcb/fp/PLASTRONICS_100QN40S1120120_padsonly.fp [deleted file]
test-pcb/fp/SEMPAC_12x12_100A.fp [deleted file]
test-pcb/fp/footprinter.py [deleted file]
test-pcb/fp/socket-overlay.pdf [deleted file]
test-pcb/gafrc [deleted file]
test-pcb/gafrc-libraries [deleted file]
test-pcb/gnetlistrc [deleted file]
test-pcb/gschemrc [deleted file]
test-pcb/pinout-notes.otl [deleted file]
test-pcb/sym/Makefile [deleted file]
test-pcb/sym/ad5242-1.djboxsym [deleted file]
test-pcb/sym/ad5242-1.sym [deleted file]
test-pcb/sym/ads8201-1.djboxsym [deleted file]
test-pcb/sym/ads8201-1.sym [deleted file]
test-pcb/sym/cc430f5137-1.djboxsym [deleted file]
test-pcb/sym/cc430f5137-1.sym [deleted file]
test-pcb/sym/cc430f5137-2.djboxsym [deleted file]
test-pcb/sym/cc430f5137-2.sym [deleted file]
test-pcb/sym/cc430f5137-3.djboxsym [deleted file]
test-pcb/sym/cc430f5137-3.sym [deleted file]
test-pcb/sym/cc430f5137-4.djboxsym [deleted file]
test-pcb/sym/cc430f5137-4.sym [deleted file]
test-pcb/sym/cc430f5137-5.djboxsym [deleted file]
test-pcb/sym/cc430f5137-5.sym [deleted file]
test-pcb/sym/cc430f5137-6.djboxsym [deleted file]
test-pcb/sym/cc430f5137-6.sym [deleted file]
test-pcb/sym/dac8568-1.djboxsym [deleted file]
test-pcb/sym/dac8568-1.sym [deleted file]
test-pcb/sym/djboxsym [deleted file]
test-pcb/sym/m25pe80-1.djboxsym [deleted file]
test-pcb/sym/m25pe80-1.sym [deleted file]
test-pcb/sym/pad-l.sym [deleted file]
test-pcb/sym/pad-r.sym [deleted file]
test-pcb/sym/plastronics-100QN40S1120120-1.djboxsym [deleted file]
test-pcb/sym/plastronics-100QN40S1120120-1.sym [deleted file]
test-pcb/sym/title-phd-85x110.sym [deleted file]
test-pcb/sym/wb430-1.djboxsym [deleted file]
test-pcb/sym/wb430-1.sym [deleted file]
test-pcb/sym/wb430-2.djboxsym [deleted file]
test-pcb/sym/wb430-2.sym [deleted file]
test-pcb/sym/wb430-3.djboxsym [deleted file]
test-pcb/sym/wb430-3.sym [deleted file]
test-pcb/sym/wb430-4.djboxsym [deleted file]
test-pcb/sym/wb430-4.sym [deleted file]
test-pcb/waeda-fp [deleted submodule]
test-pcb/waeda-sym [deleted submodule]

diff --git a/sch-pcb/Makefile b/sch-pcb/Makefile
new file mode 100644 (file)
index 0000000..8ef1364
--- /dev/null
@@ -0,0 +1,5 @@
+
+
+
+clean:
+       rm -f *sch~
diff --git a/sch-pcb/atoi-1.sch b/sch-pcb/atoi-1.sch
new file mode 100644 (file)
index 0000000..7705fe2
--- /dev/null
@@ -0,0 +1,564 @@
+v 20111231 2
+C 39600 47400 1 0 0 wb430-1.sym
+{
+T 46000 57500 5 10 1 1 0 3 1
+refdes=U?
+T 46000 57100 5 10 1 1 0 3 1
+footprint=SEMPAC_12x12_100A.fp
+}
+C 25600 48700 1 0 0 cc430f5137-1.sym
+{
+T 29700 62700 5 10 1 1 0 3 1
+refdes=U?
+T 29700 61900 5 10 1 1 0 3 1
+footprint=QFN_48N__TI.fp
+T 29700 61500 5 10 1 1 0 3 1
+document=cc430f5137.pdf
+}
+C 60600 63100 1 0 0 resistor-3.sym
+{
+T 57200 63900 5 10 0 0 0 0 1
+device=RESISTOR
+T 60900 63700 5 10 1 1 0 0 1
+refdes=R?
+T 60900 63400 5 10 1 1 0 0 1
+value=1k
+}
+C 60600 62800 1 0 0 gnd-1.sym
+{
+T 60500 62650 5 10 1 1 0 0 1
+net=AVSS
+}
+C 56500 62700 1 0 1 resistor-3.sym
+{
+T 56200 63300 5 10 1 1 0 6 1
+refdes=R?
+T 56200 63000 5 10 1 1 0 6 1
+value=1k
+}
+C 56500 62400 1 0 1 gnd-1.sym
+{
+T 56600 62250 5 10 1 1 0 6 1
+net=AVSS
+}
+C 57400 55400 1 0 0 EMBEDDEDdac8568-1.sym
+[
+B 57700 55700 2300 4500 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+V 57650 59300 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+P 57400 59300 57600 59300 1 0 0
+{
+T 57750 59300 9 10 1 1 0 1 1
+pinlabel=\_LDAC\_
+T 57600 59350 5 8 1 1 0 6 1
+pinnumber=1
+T 57600 59350 5 8 0 1 0 6 1
+pinseq=1
+T 57600 59350 9 10 0 1 0 6 1
+pintype=in
+}
+V 57650 58900 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+P 57400 58900 57600 58900 1 0 0
+{
+T 57750 58900 9 10 1 1 0 1 1
+pinlabel=\_SYNC\_
+T 57600 58950 5 8 1 1 0 6 1
+pinnumber=2
+T 57600 58950 5 8 0 1 0 6 1
+pinseq=2
+T 57600 58950 9 10 0 1 0 6 1
+pintype=in
+}
+P 58900 60500 58900 60200 1 0 0
+{
+T 58900 60150 9 10 1 1 90 7 1
+pinlabel=AVDD
+T 58950 60250 5 8 1 1 0 0 1
+pinnumber=3
+T 58950 60250 5 8 0 1 0 0 1
+pinseq=3
+T 58800 55450 9 10 0 1 0 6 1
+pintype=pwr
+}
+P 57400 58100 57700 58100 1 0 0
+{
+T 57750 58100 9 10 1 1 0 1 1
+pinlabel=Vout0
+T 57600 58150 5 8 1 1 0 6 1
+pinnumber=4
+T 57600 58150 5 8 0 1 0 6 1
+pinseq=4
+T 57600 58150 9 10 0 1 0 6 1
+pintype=out
+}
+P 57400 57700 57700 57700 1 0 0
+{
+T 57750 57700 9 10 1 1 0 1 1
+pinlabel=Vout2
+T 57600 57750 5 8 1 1 0 6 1
+pinnumber=5
+T 57600 57750 5 8 0 1 0 6 1
+pinseq=5
+T 57600 57750 9 10 0 1 0 6 1
+pintype=out
+}
+P 57400 57300 57700 57300 1 0 0
+{
+T 57750 57300 9 10 1 1 0 1 1
+pinlabel=Vout4
+T 57600 57350 5 8 1 1 0 6 1
+pinnumber=6
+T 57600 57350 5 8 0 1 0 6 1
+pinseq=6
+T 57600 57350 9 10 0 1 0 6 1
+pintype=out
+}
+P 57400 56900 57700 56900 1 0 0
+{
+T 57750 56900 9 10 1 1 0 1 1
+pinlabel=Vout6
+T 57600 56950 5 8 1 1 0 6 1
+pinnumber=7
+T 57600 56950 5 8 0 1 0 6 1
+pinseq=7
+T 57600 56950 9 10 0 1 0 6 1
+pintype=out
+}
+P 57400 56100 57700 56100 1 0 0
+{
+T 57750 56100 9 10 1 1 0 1 1
+pinlabel=Vref
+T 57600 56150 5 8 1 1 0 6 1
+pinnumber=8
+T 57600 56150 5 8 0 1 0 6 1
+pinseq=8
+T 57600 56150 9 10 0 1 0 6 1
+pintype=out
+}
+V 60050 56100 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+P 60300 56100 60100 56100 1 0 0
+{
+T 59950 56100 9 10 1 1 0 7 1
+pinlabel=\_CLR\_
+T 60100 56150 5 8 1 1 0 0 1
+pinnumber=9
+T 60100 56150 5 8 0 1 0 0 1
+pinseq=9
+T 59900 56150 9 10 0 1 0 6 1
+pintype=in
+}
+P 60300 56900 60000 56900 1 0 0
+{
+T 59950 56900 9 10 1 1 0 7 1
+pinlabel=Vout7
+T 60100 56950 5 8 1 1 0 0 1
+pinnumber=10
+T 60100 56950 5 8 0 1 0 0 1
+pinseq=10
+T 59900 56950 9 10 0 1 0 6 1
+pintype=out
+}
+P 60300 57300 60000 57300 1 0 0
+{
+T 59950 57300 9 10 1 1 0 7 1
+pinlabel=Vout5
+T 60100 57350 5 8 1 1 0 0 1
+pinnumber=11
+T 60100 57350 5 8 0 1 0 0 1
+pinseq=11
+T 59900 57350 9 10 0 1 0 6 1
+pintype=out
+}
+P 60300 57700 60000 57700 1 0 0
+{
+T 59950 57700 9 10 1 1 0 7 1
+pinlabel=Vout3
+T 60100 57750 5 8 1 1 0 0 1
+pinnumber=12
+T 60100 57750 5 8 0 1 0 0 1
+pinseq=12
+T 59900 57750 9 10 0 1 0 6 1
+pintype=out
+}
+P 60300 58100 60000 58100 1 0 0
+{
+T 59950 58100 9 10 1 1 0 7 1
+pinlabel=Vout1
+T 60100 58150 5 8 1 1 0 0 1
+pinnumber=13
+T 60100 58150 5 8 0 1 0 0 1
+pinseq=13
+T 59900 58150 9 10 0 1 0 6 1
+pintype=out
+}
+P 58900 55400 58900 55700 1 0 0
+{
+T 58900 55750 9 10 1 1 90 1 1
+pinlabel=GND
+T 58950 55650 5 8 1 1 0 2 1
+pinnumber=14
+T 58950 55650 5 8 0 1 0 2 1
+pinseq=14
+T 58800 55850 9 10 0 1 0 6 1
+pintype=pwr
+}
+P 60300 58900 60000 58900 1 0 0
+{
+T 59950 58900 9 10 1 1 0 7 1
+pinlabel=DIN
+T 60100 58950 5 8 1 1 0 0 1
+pinnumber=15
+T 60100 58950 5 8 0 1 0 0 1
+pinseq=15
+T 59900 58950 9 10 0 1 0 6 1
+pintype=in
+}
+P 60300 59300 60000 59300 1 0 0
+{
+T 59950 59300 9 10 1 1 0 7 1
+pinlabel=SCLK
+T 60100 59350 5 8 1 1 0 0 1
+pinnumber=16
+T 60100 59350 5 8 0 1 0 0 1
+pinseq=16
+T 59900 59350 9 10 0 1 0 6 1
+pintype=in
+}
+T 60000 60300 9 10 0 0 0 0 1
+document=dac8586.pdf
+T 60000 60500 9 10 0 0 0 0 1
+footprint=TSSOP_16N__TI
+T 58900 59100 9 10 0 1 0 3 1
+refdes=U?
+T 58900 58700 9 10 1 1 0 3 1
+DAC8568
+]
+{
+T 59900 53400 5 10 0 0 0 0 1
+footprint=TSSOP_16N__TI
+T 58900 59100 5 10 1 1 0 3 1
+refdes=U?
+}
+C 56800 48400 1 0 0 ads8201-1.sym
+{
+T 59700 53200 5 10 0 0 0 0 1
+footprint=QFN_24N__TI
+T 58400 51600 5 10 1 1 0 3 1
+refdes=U?
+}
+C 57600 60700 1 0 0 ad5242-1.sym
+{
+T 61500 60500 5 10 0 0 0 0 1
+footprint=QFN_24N__ADI
+T 58700 64800 5 10 1 1 0 3 1
+refdes=U?
+}
+C 55800 62700 1 0 1 resistor-3.sym
+{
+T 55500 63300 5 10 1 1 0 6 1
+refdes=R?
+T 55500 63000 5 10 1 1 0 6 1
+value=1k
+}
+C 61300 63100 1 0 0 resistor-3.sym
+{
+T 61600 63700 5 10 1 1 0 0 1
+refdes=R?
+T 61600 63400 5 10 1 1 0 0 1
+value=1k
+}
+C 51400 60200 1 0 0 gnd-1.sym
+{
+T 51600 60350 5 10 1 1 0 0 1
+net=AVSS
+}
+N 51500 60500 50800 60500 4
+N 50800 60500 50800 60100 4
+N 56400 64200 57600 64200 4
+{
+T 57100 64200 5 10 1 1 0 0 1
+netname=biasR
+}
+N 52300 57300 53400 57300 4
+{
+T 52500 57300 5 10 1 1 0 0 1
+netname=biasR
+}
+N 59700 64600 61200 64600 4
+{
+T 59800 64600 5 10 1 1 0 0 1
+netname=buf_biasR
+}
+C 59900 64800 1 0 0 pad-r.sym
+{
+T 60200 65200 5 10 1 1 0 0 1
+refdes=TP?
+}
+C 56200 64400 1 0 0 pad-l.sym
+{
+T 56700 64800 5 10 1 1 0 0 1
+refdes=TP?
+}
+N 57400 64600 57600 64600 4
+N 59900 65000 59700 65000 4
+N 60700 64000 60700 64200 4
+N 56400 63600 56400 63800 4
+N 55700 58100 55700 62700 4
+N 55700 58100 57400 58100 4
+N 61400 58100 60300 58100 4
+N 57400 57700 56500 57700 4
+{
+T 56700 57700 5 10 1 1 0 0 1
+netname=INA
+}
+N 60300 57700 61300 57700 4
+{
+T 60700 57700 5 10 1 1 0 0 1
+netname=INB
+}
+N 52300 55700 53400 55700 4
+{
+T 52500 55700 5 10 1 1 0 0 1
+netname=INA
+}
+N 52300 55300 53400 55300 4
+{
+T 52500 55300 5 10 1 1 0 0 1
+netname=INB
+}
+N 52300 54900 53400 54900 4
+{
+T 52500 54900 5 10 1 1 0 0 1
+netname=CMI
+}
+C 53000 63600 1 0 1 opamp-dual-1.sym
+{
+T 53900 64300 5 10 0 0 0 6 1
+device=DUAL_OPAMP
+T 52900 64400 5 10 1 1 0 6 1
+refdes=U?
+T 52300 64300 5 10 1 0 0 6 1
+slot=2
+T 53400 62900 5 10 0 1 0 6 1
+footprint=SOT23__Maxim
+T 53900 64500 5 10 0 0 0 6 1
+symversion=0.2
+T 52300 63700 5 10 1 1 0 6 1
+value=MAX9912
+T 53400 62700 5 10 0 0 0 6 1
+slot=2
+}
+C 55800 64200 1 0 1 opamp-dual-1.sym
+{
+T 53700 65900 5 10 0 0 0 6 1
+device=DUAL_OPAMP
+T 55700 65000 5 10 1 1 0 6 1
+refdes=U?
+T 55100 64900 5 10 1 0 0 6 1
+slot=1
+T 53200 64500 5 10 0 1 0 6 1
+footprint=SOT23__Maxim
+T 53700 66100 5 10 0 0 0 6 1
+symversion=0.2
+T 55100 64300 5 10 1 1 0 6 1
+value=MAX9912
+}
+N 53400 64600 54800 64600 4
+{
+T 53500 64700 5 10 1 1 0 0 1
+netname=ADC0_IN0
+}
+N 54500 64600 54500 65400 4
+N 54500 65400 55800 65400 4
+N 55800 65400 55800 64800 4
+N 53000 64200 53000 64800 4
+N 51700 64800 53000 64800 4
+N 51700 64000 51700 64800 4
+N 50700 64000 52000 64000 4
+{
+T 50800 64100 5 10 1 1 0 0 1
+netname=ADC0_IN1
+}
+N 53000 63800 57600 63800 4
+N 55700 63800 55700 63600 4
+N 59700 64200 64600 64200 4
+N 61400 64000 61400 64200 4
+C 64600 64000 1 0 0 opamp-dual-1.sym
+{
+T 64700 64800 5 10 1 1 0 0 1
+refdes=U?
+T 65300 64700 5 10 1 0 0 0 1
+slot=2
+T 65300 64100 5 10 1 1 0 0 1
+value=MAX9912
+}
+C 61800 64600 1 0 0 opamp-dual-1.sym
+{
+T 61900 65400 5 10 1 1 0 0 1
+refdes=U?
+T 62500 65300 5 10 1 0 0 0 1
+slot=1
+T 62500 64700 5 10 1 1 0 0 1
+value=MAX9912
+}
+N 62800 65000 64200 65000 4
+{
+T 63300 65100 5 10 1 1 0 0 1
+netname=ADC0_IN2
+}
+N 63100 65000 63100 65800 4
+N 63100 65800 61800 65800 4
+N 61800 65800 61800 65200 4
+N 64600 64600 64600 65200 4
+N 65900 65200 64600 65200 4
+N 65900 64400 65900 65200 4
+N 65600 64400 67000 64400 4
+{
+T 66100 64500 5 10 1 1 0 0 1
+netname=ADC0_IN3
+}
+N 61400 63100 61400 58100 4
+N 58600 53200 58600 54200 4
+{
+T 58600 53300 5 10 1 1 90 0 1
+netname=ADC0_IN0
+}
+N 58200 53200 58200 54200 4
+{
+T 58200 53300 5 10 1 1 90 0 1
+netname=ADC0_IN1
+}
+N 57800 53200 57800 54200 4
+{
+T 57800 53300 5 10 1 1 90 0 1
+netname=ADC0_IN2
+}
+N 57400 53200 57400 54200 4
+{
+T 57400 53300 5 10 1 1 90 0 1
+netname=ADC0_IN3
+}
+N 52300 56100 53400 56100 4
+{
+T 52500 56100 5 10 1 1 0 0 1
+netname=buf_biasR
+}
+C 57300 62100 1 0 1 resistor-3.sym
+{
+T 57000 62700 5 10 1 1 0 6 1
+refdes=R?
+T 57000 62400 5 10 1 1 0 6 1
+value=1k
+}
+N 57600 63000 57200 63000 4
+N 57200 62100 57600 62100 4
+N 57600 62100 57600 62600 4
+C 59900 60900 1 0 0 gnd-1.sym
+{
+T 59800 60750 5 10 1 1 0 0 1
+net=AVSS
+}
+N 59700 63000 60000 63000 4
+N 60000 61200 60000 63000 4
+N 60000 62600 59700 62600 4
+C 57200 63200 1 0 0 generic-power.sym
+{
+T 57400 63450 5 10 1 1 0 3 1
+net=VddPA:1
+}
+N 57400 63200 57400 63000 4
+N 59700 61800 60000 61800 4
+N 59700 61400 60000 61400 4
+C 58700 60500 1 0 0 generic-power.sym
+{
+T 58900 60750 5 10 1 1 0 3 1
+net=VddPA:1
+}
+C 58800 55100 1 0 0 gnd-1.sym
+{
+T 58700 54950 5 10 1 1 0 0 1
+net=AVSS
+}
+N 52300 54500 53400 54500 4
+{
+T 52500 54500 5 10 1 1 0 0 1
+netname=ADC0_IN4
+}
+N 52300 54100 53400 54100 4
+{
+T 52500 54100 5 10 1 1 0 0 1
+netname=ADC0_IN5
+}
+N 52300 52900 53400 52900 4
+{
+T 52500 52900 5 10 1 1 0 0 1
+netname=ADC0_IN6
+}
+N 52300 52500 53400 52500 4
+{
+T 52500 52500 5 10 1 1 0 0 1
+netname=ADC0_IN7
+}
+N 55800 51800 56800 51800 4
+{
+T 55900 51800 5 10 1 1 0 0 1
+netname=ADC0_IN4
+}
+N 55800 51400 56800 51400 4
+{
+T 55900 51400 5 10 1 1 0 0 1
+netname=ADC0_IN5
+}
+N 55800 51000 56800 51000 4
+{
+T 55900 51000 5 10 1 1 0 0 1
+netname=ADC0_IN6
+}
+N 55800 50600 56800 50600 4
+{
+T 55900 50600 5 10 1 1 0 0 1
+netname=ADC0_IN7
+}
+C 52300 64400 1 0 0 generic-power.sym
+{
+T 52500 64650 5 10 1 1 0 3 1
+net=VddPA:1
+}
+C 52600 63300 1 0 1 gnd-1.sym
+{
+T 52500 63450 5 10 1 1 0 6 1
+net=AVSS
+}
+C 55100 65000 1 0 0 generic-power.sym
+{
+T 55300 65250 5 10 1 1 0 3 1
+net=VddPA:1
+}
+C 55400 63900 1 0 1 gnd-1.sym
+{
+T 55300 64050 5 10 1 1 0 6 1
+net=AVSS
+}
+N 55800 64400 56400 64400 4
+N 56400 64400 56400 64200 4
+C 62100 65400 1 0 0 generic-power.sym
+{
+T 62300 65650 5 10 1 1 0 3 1
+net=VddPA:1
+}
+C 62400 64300 1 0 1 gnd-1.sym
+{
+T 62300 64450 5 10 1 1 0 6 1
+net=AVSS
+}
+C 64900 64800 1 0 0 generic-power.sym
+{
+T 65100 65050 5 10 1 1 0 3 1
+net=VddPA:1
+}
+C 65200 63700 1 0 1 gnd-1.sym
+{
+T 65100 63850 5 10 1 1 0 6 1
+net=AVSS
+}
+N 61800 64800 61200 64800 4
+N 61200 64800 61200 64600 4
diff --git a/sch-pcb/atoi.gsch2pcb b/sch-pcb/atoi.gsch2pcb
new file mode 100644 (file)
index 0000000..9208d74
--- /dev/null
@@ -0,0 +1,5 @@
+skip-m4
+use-files
+elements-dir fp
+schematics atoi-1.sch
+output-name atoi
diff --git a/sch-pcb/doc/ocp_qfn_12x12_100a_mo.pdf b/sch-pcb/doc/ocp_qfn_12x12_100a_mo.pdf
new file mode 100644 (file)
index 0000000..4d7b6e4
Binary files /dev/null and b/sch-pcb/doc/ocp_qfn_12x12_100a_mo.pdf differ
diff --git a/sch-pcb/doc/qfn_lands_sloa122.pdf b/sch-pcb/doc/qfn_lands_sloa122.pdf
new file mode 100644 (file)
index 0000000..90bf71c
Binary files /dev/null and b/sch-pcb/doc/qfn_lands_sloa122.pdf differ
diff --git a/sch-pcb/doc/qfn_pcb_slua271a.pdf b/sch-pcb/doc/qfn_pcb_slua271a.pdf
new file mode 100644 (file)
index 0000000..598bf44
Binary files /dev/null and b/sch-pcb/doc/qfn_pcb_slua271a.pdf differ
diff --git a/sch-pcb/fp/CON_HDR-100P-25N__Hirose_DF9-25P-1V_renum b/sch-pcb/fp/CON_HDR-100P-25N__Hirose_DF9-25P-1V_renum
new file mode 100644 (file)
index 0000000..cacd099
--- /dev/null
@@ -0,0 +1,54 @@
+Element[0x0 "FPDI Connector" "" "" 0 0 26499 -15267 0 100 0x0]
+(
+   Pad[23622 5000 23622 9488 2755 2000 4755 "" "1" 0x0100]
+   Pad[19685 5000 19685 9488 2755 2000 4755 "" "3" 0x0100]
+   Pad[15748 5000 15748 9488 2755 2000 4755 "" "5" 0x0100]
+   Pad[11811 5000 11811 9488 2755 2000 4755 "" "7" 0x0100]
+   Pad[7874 5000 7874 9488 2755 2000 4755 "" "9" 0x0100]
+   Pad[3937 5000 3937 9488 2755 2000 4755 "" "11" 0x0100]
+   Pad[0 5000 0 9488 2755 2000 4755 "" "13" 0x0100]
+   Pad[-3937 5000 -3937 9488 2755 2000 4755 "" "15" 0x0100]
+   Pad[-7874 5000 -7874 9488 2755 2000 4755 "" "17" 0x0100]
+   Pad[-11811 5000 -11811 9488 2755 2000 4755 "" "19" 0x0100]
+   Pad[-15748 5000 -15748 9488 2755 2000 4755 "" "21" 0x0100]
+   Pad[-19685 5000 -19685 9488 2755 2000 4755 "" "23" 0x0100]
+   Pad[-23622 5000 -23622 9488 2755 2000 4755 "" "25" 0x0100]
+   Pad[21653 -9488 21653 -5000 2755 2000 4755 "" "2" 0x0100]
+   Pad[17716 -9488 17716 -5000 2755 2000 4755 "" "4" 0x0100]
+   Pad[13779 -9488 13779 -5000 2755 2000 4755 "" "6" 0x0100]
+   Pad[9842 -9488 9842 -5000 2755 2000 4755 "" "8" 0x0100]
+   Pad[5905 -9488 5905 -5000 2755 2000 4755 "" "10" 0x0100]
+   Pad[1968 -9488 1968 -5000 2755 2000 4755 "" "12" 0x0100]
+   Pad[-1968 -9488 -1968 -5000 2755 2000 4755 "" "14" 0x0100]
+   Pad[-5905 -9488 -5905 -5000 2755 2000 4755 "" "16" 0x0100]
+   Pad[-9842 -9488 -9842 -5000 2755 2000 4755 "" "18" 0x0100]
+   Pad[-13779 -9488 -13779 -5000 2755 2000 4755 "" "20" 0x0100]
+   Pad[-17716 -9488 -17716 -5000 2755 2000 4755 "" "22" 0x0100]
+   Pad[-21653 -9488 -21653 -5000 2755 2000 4755 "" "24" 0x0100]
+   ElementLine[-31586 -8192 -25531 -8192 1000]
+   ElementLine[-25531 -8192 -25531 -5437 1000]
+   ElementLine[-25531 -5437 -28287 -2681 1000]
+   ElementLine[-28287 -2681 -28287 2830 1000]
+   ElementLine[-28287 2830 -26499 2830 1000]
+   ElementLine[-26499 2830 -26499 8192 1000]
+   ElementLine[-26499 8192 -31586 8192 1000]
+   ElementLine[31586 -8192 25531 -8192 1000]
+   ElementLine[25531 -8192 25531 -5437 1000]
+   ElementLine[25531 -5437 28287 -2681 1000]
+   ElementLine[28287 -2681 28287 2830 1000]
+   ElementLine[28287 2830 26499 2830 1000]
+   ElementLine[26499 2830 26499 8192 1000]
+   ElementLine[26499 8192 31586 8192 1000]
+   ElementLine[-31586 8192 -38901 8192 1000]
+   ElementLine[-38901 8192 -38901 -8192 1000]
+   ElementLine[-38901 -8192 -31586 -8192 1000]
+   Pad[-34842 -4133 -34842 4133 5118 2000 7118 "" "26" 0x0100]
+   ElementLine[31586 8192 38901 8192 1000]
+   ElementLine[38901 8192 38901 -8192 1000]
+   ElementLine[38901 -8192 31586 -8192 1000]
+   Pad[34842 -4133 34842 4133 5118 2000 7118 "" "27" 0x0100]
+   Pin[-29724 0 5200 2000 7200 5200 "" "28" 0x03]
+   ElementArc[-29724 0 3100 3100 60 240 1000]
+   Pin[29724 0 5200 2000 7200 5200 "" "29" 0x03]
+   ElementArc[29724 0 3100 3100 240 240 1000]
+)
diff --git a/sch-pcb/fp/CON_HDR-100P-25N__Hirose_DF9-25S-1V_renum b/sch-pcb/fp/CON_HDR-100P-25N__Hirose_DF9-25S-1V_renum
new file mode 100644 (file)
index 0000000..16d30c6
--- /dev/null
@@ -0,0 +1,54 @@
+Element[0x0 "FPDI Connector" "" "" 0 0 26499 -15267 0 100 0x0]
+(
+   Pad[-23622 5000 -23622 9488 2755 2000 4755 "" "1" 0x0100]
+   Pad[-19685 5000 -19685 9488 2755 2000 4755 "" "3" 0x0100]
+   Pad[-15748 5000 -15748 9488 2755 2000 4755 "" "5" 0x0100]
+   Pad[-11811 5000 -11811 9488 2755 2000 4755 "" "7" 0x0100]
+   Pad[-7874 5000 -7874 9488 2755 2000 4755 "" "9" 0x0100]
+   Pad[-3937 5000 -3937 9488 2755 2000 4755 "" "11" 0x0100]
+   Pad[0 5000 0 9488 2755 2000 4755 "" "13" 0x0100]
+   Pad[3937 5000 3937 9488 2755 2000 4755 "" "15" 0x0100]
+   Pad[7874 5000 7874 9488 2755 2000 4755 "" "17" 0x0100]
+   Pad[11811 5000 11811 9488 2755 2000 4755 "" "19" 0x0100]
+   Pad[15748 5000 15748 9488 2755 2000 4755 "" "21" 0x0100]
+   Pad[19685 5000 19685 9488 2755 2000 4755 "" "23" 0x0100]
+   Pad[23622 5000 23622 9488 2755 2000 4755 "" "25" 0x0100]
+   Pad[-21653 -9488 -21653 -5000 2755 2000 4755 "" "2" 0x0100]
+   Pad[-17716 -9488 -17716 -5000 2755 2000 4755 "" "4" 0x0100]
+   Pad[-13779 -9488 -13779 -5000 2755 2000 4755 "" "6" 0x0100]
+   Pad[-9842 -9488 -9842 -5000 2755 2000 4755 "" "8" 0x0100]
+   Pad[-5905 -9488 -5905 -5000 2755 2000 4755 "" "10" 0x0100]
+   Pad[-1968 -9488 -1968 -5000 2755 2000 4755 "" "12" 0x0100]
+   Pad[1968 -9488 1968 -5000 2755 2000 4755 "" "14" 0x0100]
+   Pad[5905 -9488 5905 -5000 2755 2000 4755 "" "16" 0x0100]
+   Pad[9842 -9488 9842 -5000 2755 2000 4755 "" "18" 0x0100]
+   Pad[13779 -9488 13779 -5000 2755 2000 4755 "" "20" 0x0100]
+   Pad[17716 -9488 17716 -5000 2755 2000 4755 "" "22" 0x0100]
+   Pad[21653 -9488 21653 -5000 2755 2000 4755 "" "24" 0x0100]
+   ElementLine[-31586 -8192 -25531 -8192 1000]
+   ElementLine[-25531 -8192 -25531 -5437 1000]
+   ElementLine[-25531 -5437 -28287 -2681 1000]
+   ElementLine[-28287 -2681 -28287 2830 1000]
+   ElementLine[-28287 2830 -26499 2830 1000]
+   ElementLine[-26499 2830 -26499 8192 1000]
+   ElementLine[-26499 8192 -31586 8192 1000]
+   ElementLine[31586 -8192 25531 -8192 1000]
+   ElementLine[25531 -8192 25531 -5437 1000]
+   ElementLine[25531 -5437 28287 -2681 1000]
+   ElementLine[28287 -2681 28287 2830 1000]
+   ElementLine[28287 2830 26499 2830 1000]
+   ElementLine[26499 2830 26499 8192 1000]
+   ElementLine[26499 8192 31586 8192 1000]
+   ElementLine[-31586 8192 -38901 8192 1000]
+   ElementLine[-38901 8192 -38901 -8192 1000]
+   ElementLine[-38901 -8192 -31586 -8192 1000]
+   Pad[-34842 -4133 -34842 4133 5118 2000 7118 "" "26" 0x0100]
+   ElementLine[31586 8192 38901 8192 1000]
+   ElementLine[38901 8192 38901 -8192 1000]
+   ElementLine[38901 -8192 31586 -8192 1000]
+   Pad[34842 -4133 34842 4133 5118 2000 7118 "" "27" 0x0100]
+   Pin[-29724 0 5200 2000 7200 5200 "" "28" 0x03]
+   ElementArc[-29724 0 3100 3100 60 240 1000]
+   Pin[29724 0 5200 2000 7200 5200 "" "29" 0x03]
+   ElementArc[29724 0 3100 3100 240 240 1000]
+)
diff --git a/sch-pcb/fp/Makefile b/sch-pcb/fp/Makefile
new file mode 100644 (file)
index 0000000..9653e40
--- /dev/null
@@ -0,0 +1,11 @@
+
+FPSRC=$(wildcard *.footprinter)
+FOOTPRINTS_GEN=$(FPSRC:.footprinter=.fp)
+
+fp: $(FOOTPRINTS_GEN)
+
+%.fp: %.footprinter
+       python $< > $@
+
+clean:
+       rm -f $(FOOTPRINTS_GEN)
diff --git a/sch-pcb/fp/PLASTRONICS_100QN40S1120120.footprinter b/sch-pcb/fp/PLASTRONICS_100QN40S1120120.footprinter
new file mode 100755 (executable)
index 0000000..66471f6
--- /dev/null
@@ -0,0 +1,176 @@
+#!/usr/bin/env python
+# -*- coding: ASCII -*-
+'''Plastronics socket for
+QFN-100 12x12mm
+SEMPAC MLP12X12-100-OP-01
+offered by MOSIS
+'''
+import sys
+from footprinter import *
+               
+#
+# PCB fab minimums
+# 
+MASK_SWELL = mil(5.0)
+MIN_TRACE = mil(6.0)
+MIN_SPACE = mil(6.0)
+MIN_PAD_ANNULUS = mil(15.0)
+MIN_VIA_ANNULUS = mil(10.0)
+
+PAD_PITCH = mm(0.4)
+
+# pcb's coordinates are graphics oriented
+# x in increasing right
+# y in increasing DOWN
+
+SILK_LINEWIDTH = mil(8.0)
+
+OUTER_ROW_WIDTH = mil(620.0)/2
+INNER_ROW_WIDTH = mil(500.0)/2
+LOC_PIN_WIDTH = mil(760.0)/2
+
+PIN_DRILL = mil(12.0)
+PIN_ANNULUS = PIN_DRILL + mil(1.48)
+PIN_CLEARANCE = mil(6.0)
+
+PAD_OFFSET = (PIN_DRILL + MIN_PAD_ANNULUS)
+
+pins = []
+pins_per_side = 25
+
+# left row
+# upper-left upto lower-left
+for i in range(1,26):
+    p = i
+    if (i%2) == 1:
+        x = -OUTER_ROW_WIDTH
+    else:
+        x = -INNER_ROW_WIDTH
+    y = -PAD_PITCH * ((pins_per_side-1)/2 - (i-1))
+
+    # drilled hole
+    pins.append(Pin(p, x, y, PIN_ANNULUS, MIN_SPACE, MASK_SWELL, PIN_DRILL, name=str(p)))
+    # simulate a chopped annulus
+    pins.append(Pad(p,
+        [
+            x-PAD_OFFSET,
+            y-PIN_ANNULUS/2,
+            x+PAD_OFFSET,
+            y+PIN_ANNULUS/2
+         ],
+        MIN_SPACE, MASK_SWELL, name=str(p), sflags=''))
+
+# bottom row
+# lower-left upto lower-right
+for i in range(1,26):
+    p = i + 25
+    if (i%2) == 1:
+        y = OUTER_ROW_WIDTH
+    else:
+        y = INNER_ROW_WIDTH
+    x = -PAD_PITCH * ((pins_per_side-1)/2 - (i-1))
+
+    pins.append(Pin(p, x, y, PIN_ANNULUS, MIN_SPACE, MASK_SWELL, PIN_DRILL, name=str(p)))
+    # simulate a chopped annulus
+    pins.append(Pad(p,
+        [
+            x-PIN_ANNULUS/2,
+            y-PAD_OFFSET,
+            x+PIN_ANNULUS/2,
+            y+PAD_OFFSET,
+         ],
+        MIN_SPACE, MASK_SWELL, name=str(p), sflags=''))
+
+# right row
+# lower-right upto upper-right
+for i in range(1,26):
+    p = i + 50
+    if (i%2) == 1:
+        x = OUTER_ROW_WIDTH
+    else:
+        x = INNER_ROW_WIDTH
+    y = PAD_PITCH * ((pins_per_side-1)/2 - (i-1))
+
+    pins.append(Pin(p, x, y, PIN_ANNULUS, MIN_SPACE, MASK_SWELL, PIN_DRILL, name=str(p)))
+    pins.append(Pad(p,
+        [
+            x-PAD_OFFSET,
+            y-PIN_ANNULUS/2,
+            x+PAD_OFFSET,
+            y+PIN_ANNULUS/2
+         ],
+        MIN_SPACE, MASK_SWELL, name=str(p), sflags=''))
+
+# top row
+# upper-right upto upper-left
+for i in range(1,26):
+    p = i + 75
+    if (i%2) == 1:
+        y = -OUTER_ROW_WIDTH
+    else:
+        y = -INNER_ROW_WIDTH
+    x = PAD_PITCH * ((pins_per_side-1)/2 - (i-1))
+
+    pins.append(Pin(p, x, y, PIN_ANNULUS, MIN_SPACE, MASK_SWELL, PIN_DRILL, name=str(p)))
+    pins.append(Pad(p,
+        [
+            x-PIN_ANNULUS/2,
+            y-PAD_OFFSET,
+            x+PIN_ANNULUS/2,
+            y+PAD_OFFSET
+         ],
+        MIN_SPACE, MASK_SWELL, name=str(p), sflags=''))
+
+# center EP spring probe
+EP_PIN_DRILL = mil(43.2)
+pins.append(Pin(101,
+    0, 0,
+    EP_PIN_DRILL + 2*MIN_PAD_ANNULUS,
+    MIN_SPACE,
+    MASK_SWELL,
+    EP_PIN_DRILL,
+    name=str(101)))
+
+# plastic locating pins
+PLASTIC_DRILL = mil(61.0)
+pins.append(Pin(102,
+    mil(-380), mil(380),
+    PLASTIC_DRILL + mil(40),
+    MIN_SPACE,
+    MASK_SWELL,
+    PLASTIC_DRILL,
+    name=str(102)))
+pins.append(Pin(103,
+    mil(380), mil(-380),
+    PLASTIC_DRILL + mil(40),
+    MIN_SPACE,
+    MASK_SWELL,
+    PLASTIC_DRILL,
+    name=str(103)))
+
+# silkscreen
+lines = []
+lines.append(ElementBox(
+    mil(-700), mil(-580),
+    mil(730), mil(580),
+    SILK_LINEWIDTH))
+
+lines.append(ElementLine(
+    mil(730-250), mil(-580),
+    mil(730-250), mil(580),
+    SILK_LINEWIDTH))
+
+
+fp = Footprint(
+        padpins=pins,
+        linearcs=lines,
+        sflags='',
+        description=''
+        )
+
+fp.mx = 0
+fp.my = 0
+fp.tx = 0
+fp.ty = 0
+fp.write(sys.stdout)
+
diff --git a/sch-pcb/fp/PLASTRONICS_100QN40S1120120.fp b/sch-pcb/fp/PLASTRONICS_100QN40S1120120.fp
new file mode 100644 (file)
index 0000000..d37ede7
--- /dev/null
@@ -0,0 +1,211 @@
+Element ["" "" "" "" 0nm 0nm 0nm 0nm 0 100 ""]
+(
+Pin [-7874000nm -4800000nm 342392nm 152400nm 596392nm 304800nm "1" "1" ""]
+Pad [-8388604nm -4800000nm -7359396nm -4800000nm 342392nm 152400nm 596392nm "1" "1" ""]
+Pin [-6350000nm -4400000nm 342392nm 152400nm 596392nm 304800nm "2" "2" ""]
+Pad [-6864604nm -4400000nm -5835396nm -4400000nm 342392nm 152400nm 596392nm "2" "2" ""]
+Pin [-7874000nm -4000000nm 342392nm 152400nm 596392nm 304800nm "3" "3" ""]
+Pad [-8388604nm -4000000nm -7359396nm -4000000nm 342392nm 152400nm 596392nm "3" "3" ""]
+Pin [-6350000nm -3600000nm 342392nm 152400nm 596392nm 304800nm "4" "4" ""]
+Pad [-6864604nm -3600000nm -5835396nm -3600000nm 342392nm 152400nm 596392nm "4" "4" ""]
+Pin [-7874000nm -3200000nm 342392nm 152400nm 596392nm 304800nm "5" "5" ""]
+Pad [-8388604nm -3200000nm -7359396nm -3200000nm 342392nm 152400nm 596392nm "5" "5" ""]
+Pin [-6350000nm -2800000nm 342392nm 152400nm 596392nm 304800nm "6" "6" ""]
+Pad [-6864604nm -2800000nm -5835396nm -2800000nm 342392nm 152400nm 596392nm "6" "6" ""]
+Pin [-7874000nm -2400000nm 342392nm 152400nm 596392nm 304800nm "7" "7" ""]
+Pad [-8388604nm -2400000nm -7359396nm -2400000nm 342392nm 152400nm 596392nm "7" "7" ""]
+Pin [-6350000nm -2000000nm 342392nm 152400nm 596392nm 304800nm "8" "8" ""]
+Pad [-6864604nm -2000000nm -5835396nm -2000000nm 342392nm 152400nm 596392nm "8" "8" ""]
+Pin [-7874000nm -1600000nm 342392nm 152400nm 596392nm 304800nm "9" "9" ""]
+Pad [-8388604nm -1600000nm -7359396nm -1600000nm 342392nm 152400nm 596392nm "9" "9" ""]
+Pin [-6350000nm -1200000nm 342392nm 152400nm 596392nm 304800nm "10" "10" ""]
+Pad [-6864604nm -1200000nm -5835396nm -1200000nm 342392nm 152400nm 596392nm "10" "10" ""]
+Pin [-7874000nm -800000nm 342392nm 152400nm 596392nm 304800nm "11" "11" ""]
+Pad [-8388604nm -800000nm -7359396nm -800000nm 342392nm 152400nm 596392nm "11" "11" ""]
+Pin [-6350000nm -400000nm 342392nm 152400nm 596392nm 304800nm "12" "12" ""]
+Pad [-6864604nm -400000nm -5835396nm -400000nm 342392nm 152400nm 596392nm "12" "12" ""]
+Pin [-7874000nm 0nm 342392nm 152400nm 596392nm 304800nm "13" "13" ""]
+Pad [-8388604nm 0nm -7359396nm 0nm 342392nm 152400nm 596392nm "13" "13" ""]
+Pin [-6350000nm 400000nm 342392nm 152400nm 596392nm 304800nm "14" "14" ""]
+Pad [-6864604nm 400000nm -5835396nm 400000nm 342392nm 152400nm 596392nm "14" "14" ""]
+Pin [-7874000nm 800000nm 342392nm 152400nm 596392nm 304800nm "15" "15" ""]
+Pad [-8388604nm 800000nm -7359396nm 800000nm 342392nm 152400nm 596392nm "15" "15" ""]
+Pin [-6350000nm 1200000nm 342392nm 152400nm 596392nm 304800nm "16" "16" ""]
+Pad [-6864604nm 1200000nm -5835396nm 1200000nm 342392nm 152400nm 596392nm "16" "16" ""]
+Pin [-7874000nm 1600000nm 342392nm 152400nm 596392nm 304800nm "17" "17" ""]
+Pad [-8388604nm 1600000nm -7359396nm 1600000nm 342392nm 152400nm 596392nm "17" "17" ""]
+Pin [-6350000nm 2000000nm 342392nm 152400nm 596392nm 304800nm "18" "18" ""]
+Pad [-6864604nm 2000000nm -5835396nm 2000000nm 342392nm 152400nm 596392nm "18" "18" ""]
+Pin [-7874000nm 2400000nm 342392nm 152400nm 596392nm 304800nm "19" "19" ""]
+Pad [-8388604nm 2400000nm -7359396nm 2400000nm 342392nm 152400nm 596392nm "19" "19" ""]
+Pin [-6350000nm 2800000nm 342392nm 152400nm 596392nm 304800nm "20" "20" ""]
+Pad [-6864604nm 2800000nm -5835396nm 2800000nm 342392nm 152400nm 596392nm "20" "20" ""]
+Pin [-7874000nm 3200000nm 342392nm 152400nm 596392nm 304800nm "21" "21" ""]
+Pad [-8388604nm 3200000nm -7359396nm 3200000nm 342392nm 152400nm 596392nm "21" "21" ""]
+Pin [-6350000nm 3600000nm 342392nm 152400nm 596392nm 304800nm "22" "22" ""]
+Pad [-6864604nm 3600000nm -5835396nm 3600000nm 342392nm 152400nm 596392nm "22" "22" ""]
+Pin [-7874000nm 4000000nm 342392nm 152400nm 596392nm 304800nm "23" "23" ""]
+Pad [-8388604nm 4000000nm -7359396nm 4000000nm 342392nm 152400nm 596392nm "23" "23" ""]
+Pin [-6350000nm 4400000nm 342392nm 152400nm 596392nm 304800nm "24" "24" ""]
+Pad [-6864604nm 4400000nm -5835396nm 4400000nm 342392nm 152400nm 596392nm "24" "24" ""]
+Pin [-7874000nm 4800000nm 342392nm 152400nm 596392nm 304800nm "25" "25" ""]
+Pad [-8388604nm 4800000nm -7359396nm 4800000nm 342392nm 152400nm 596392nm "25" "25" ""]
+Pin [-4800000nm 7874000nm 342392nm 152400nm 596392nm 304800nm "26" "26" ""]
+Pad [-4800000nm 7359396nm -4800000nm 8388604nm 342392nm 152400nm 596392nm "26" "26" ""]
+Pin [-4400000nm 6350000nm 342392nm 152400nm 596392nm 304800nm "27" "27" ""]
+Pad [-4400000nm 5835396nm -4400000nm 6864604nm 342392nm 152400nm 596392nm "27" "27" ""]
+Pin [-4000000nm 7874000nm 342392nm 152400nm 596392nm 304800nm "28" "28" ""]
+Pad [-4000000nm 7359396nm -4000000nm 8388604nm 342392nm 152400nm 596392nm "28" "28" ""]
+Pin [-3600000nm 6350000nm 342392nm 152400nm 596392nm 304800nm "29" "29" ""]
+Pad [-3600000nm 5835396nm -3600000nm 6864604nm 342392nm 152400nm 596392nm "29" "29" ""]
+Pin [-3200000nm 7874000nm 342392nm 152400nm 596392nm 304800nm "30" "30" ""]
+Pad [-3200000nm 7359396nm -3200000nm 8388604nm 342392nm 152400nm 596392nm "30" "30" ""]
+Pin [-2800000nm 6350000nm 342392nm 152400nm 596392nm 304800nm "31" "31" ""]
+Pad [-2800000nm 5835396nm -2800000nm 6864604nm 342392nm 152400nm 596392nm "31" "31" ""]
+Pin [-2400000nm 7874000nm 342392nm 152400nm 596392nm 304800nm "32" "32" ""]
+Pad [-2400000nm 7359396nm -2400000nm 8388604nm 342392nm 152400nm 596392nm "32" "32" ""]
+Pin [-2000000nm 6350000nm 342392nm 152400nm 596392nm 304800nm "33" "33" ""]
+Pad [-2000000nm 5835396nm -2000000nm 6864604nm 342392nm 152400nm 596392nm "33" "33" ""]
+Pin [-1600000nm 7874000nm 342392nm 152400nm 596392nm 304800nm "34" "34" ""]
+Pad [-1600000nm 7359396nm -1600000nm 8388604nm 342392nm 152400nm 596392nm "34" "34" ""]
+Pin [-1200000nm 6350000nm 342392nm 152400nm 596392nm 304800nm "35" "35" ""]
+Pad [-1200000nm 5835396nm -1200000nm 6864604nm 342392nm 152400nm 596392nm "35" "35" ""]
+Pin [-800000nm 7874000nm 342392nm 152400nm 596392nm 304800nm "36" "36" ""]
+Pad [-800000nm 7359396nm -800000nm 8388604nm 342392nm 152400nm 596392nm "36" "36" ""]
+Pin [-400000nm 6350000nm 342392nm 152400nm 596392nm 304800nm "37" "37" ""]
+Pad [-400000nm 5835396nm -400000nm 6864604nm 342392nm 152400nm 596392nm "37" "37" ""]
+Pin [0nm 7874000nm 342392nm 152400nm 596392nm 304800nm "38" "38" ""]
+Pad [0nm 7359396nm 0nm 8388604nm 342392nm 152400nm 596392nm "38" "38" ""]
+Pin [400000nm 6350000nm 342392nm 152400nm 596392nm 304800nm "39" "39" ""]
+Pad [400000nm 5835396nm 400000nm 6864604nm 342392nm 152400nm 596392nm "39" "39" ""]
+Pin [800000nm 7874000nm 342392nm 152400nm 596392nm 304800nm "40" "40" ""]
+Pad [800000nm 7359396nm 800000nm 8388604nm 342392nm 152400nm 596392nm "40" "40" ""]
+Pin [1200000nm 6350000nm 342392nm 152400nm 596392nm 304800nm "41" "41" ""]
+Pad [1200000nm 5835396nm 1200000nm 6864604nm 342392nm 152400nm 596392nm "41" "41" ""]
+Pin [1600000nm 7874000nm 342392nm 152400nm 596392nm 304800nm "42" "42" ""]
+Pad [1600000nm 7359396nm 1600000nm 8388604nm 342392nm 152400nm 596392nm "42" "42" ""]
+Pin [2000000nm 6350000nm 342392nm 152400nm 596392nm 304800nm "43" "43" ""]
+Pad [2000000nm 5835396nm 2000000nm 6864604nm 342392nm 152400nm 596392nm "43" "43" ""]
+Pin [2400000nm 7874000nm 342392nm 152400nm 596392nm 304800nm "44" "44" ""]
+Pad [2400000nm 7359396nm 2400000nm 8388604nm 342392nm 152400nm 596392nm "44" "44" ""]
+Pin [2800000nm 6350000nm 342392nm 152400nm 596392nm 304800nm "45" "45" ""]
+Pad [2800000nm 5835396nm 2800000nm 6864604nm 342392nm 152400nm 596392nm "45" "45" ""]
+Pin [3200000nm 7874000nm 342392nm 152400nm 596392nm 304800nm "46" "46" ""]
+Pad [3200000nm 7359396nm 3200000nm 8388604nm 342392nm 152400nm 596392nm "46" "46" ""]
+Pin [3600000nm 6350000nm 342392nm 152400nm 596392nm 304800nm "47" "47" ""]
+Pad [3600000nm 5835396nm 3600000nm 6864604nm 342392nm 152400nm 596392nm "47" "47" ""]
+Pin [4000000nm 7874000nm 342392nm 152400nm 596392nm 304800nm "48" "48" ""]
+Pad [4000000nm 7359396nm 4000000nm 8388604nm 342392nm 152400nm 596392nm "48" "48" ""]
+Pin [4400000nm 6350000nm 342392nm 152400nm 596392nm 304800nm "49" "49" ""]
+Pad [4400000nm 5835396nm 4400000nm 6864604nm 342392nm 152400nm 596392nm "49" "49" ""]
+Pin [4800000nm 7874000nm 342392nm 152400nm 596392nm 304800nm "50" "50" ""]
+Pad [4800000nm 7359396nm 4800000nm 8388604nm 342392nm 152400nm 596392nm "50" "50" ""]
+Pin [7874000nm 4800000nm 342392nm 152400nm 596392nm 304800nm "51" "51" ""]
+Pad [7359396nm 4800000nm 8388604nm 4800000nm 342392nm 152400nm 596392nm "51" "51" ""]
+Pin [6350000nm 4400000nm 342392nm 152400nm 596392nm 304800nm "52" "52" ""]
+Pad [5835396nm 4400000nm 6864604nm 4400000nm 342392nm 152400nm 596392nm "52" "52" ""]
+Pin [7874000nm 4000000nm 342392nm 152400nm 596392nm 304800nm "53" "53" ""]
+Pad [7359396nm 4000000nm 8388604nm 4000000nm 342392nm 152400nm 596392nm "53" "53" ""]
+Pin [6350000nm 3600000nm 342392nm 152400nm 596392nm 304800nm "54" "54" ""]
+Pad [5835396nm 3600000nm 6864604nm 3600000nm 342392nm 152400nm 596392nm "54" "54" ""]
+Pin [7874000nm 3200000nm 342392nm 152400nm 596392nm 304800nm "55" "55" ""]
+Pad [7359396nm 3200000nm 8388604nm 3200000nm 342392nm 152400nm 596392nm "55" "55" ""]
+Pin [6350000nm 2800000nm 342392nm 152400nm 596392nm 304800nm "56" "56" ""]
+Pad [5835396nm 2800000nm 6864604nm 2800000nm 342392nm 152400nm 596392nm "56" "56" ""]
+Pin [7874000nm 2400000nm 342392nm 152400nm 596392nm 304800nm "57" "57" ""]
+Pad [7359396nm 2400000nm 8388604nm 2400000nm 342392nm 152400nm 596392nm "57" "57" ""]
+Pin [6350000nm 2000000nm 342392nm 152400nm 596392nm 304800nm "58" "58" ""]
+Pad [5835396nm 2000000nm 6864604nm 2000000nm 342392nm 152400nm 596392nm "58" "58" ""]
+Pin [7874000nm 1600000nm 342392nm 152400nm 596392nm 304800nm "59" "59" ""]
+Pad [7359396nm 1600000nm 8388604nm 1600000nm 342392nm 152400nm 596392nm "59" "59" ""]
+Pin [6350000nm 1200000nm 342392nm 152400nm 596392nm 304800nm "60" "60" ""]
+Pad [5835396nm 1200000nm 6864604nm 1200000nm 342392nm 152400nm 596392nm "60" "60" ""]
+Pin [7874000nm 800000nm 342392nm 152400nm 596392nm 304800nm "61" "61" ""]
+Pad [7359396nm 800000nm 8388604nm 800000nm 342392nm 152400nm 596392nm "61" "61" ""]
+Pin [6350000nm 400000nm 342392nm 152400nm 596392nm 304800nm "62" "62" ""]
+Pad [5835396nm 400000nm 6864604nm 400000nm 342392nm 152400nm 596392nm "62" "62" ""]
+Pin [7874000nm 0nm 342392nm 152400nm 596392nm 304800nm "63" "63" ""]
+Pad [7359396nm 0nm 8388604nm 0nm 342392nm 152400nm 596392nm "63" "63" ""]
+Pin [6350000nm -400000nm 342392nm 152400nm 596392nm 304800nm "64" "64" ""]
+Pad [5835396nm -400000nm 6864604nm -400000nm 342392nm 152400nm 596392nm "64" "64" ""]
+Pin [7874000nm -800000nm 342392nm 152400nm 596392nm 304800nm "65" "65" ""]
+Pad [7359396nm -800000nm 8388604nm -800000nm 342392nm 152400nm 596392nm "65" "65" ""]
+Pin [6350000nm -1200000nm 342392nm 152400nm 596392nm 304800nm "66" "66" ""]
+Pad [5835396nm -1200000nm 6864604nm -1200000nm 342392nm 152400nm 596392nm "66" "66" ""]
+Pin [7874000nm -1600000nm 342392nm 152400nm 596392nm 304800nm "67" "67" ""]
+Pad [7359396nm -1600000nm 8388604nm -1600000nm 342392nm 152400nm 596392nm "67" "67" ""]
+Pin [6350000nm -2000000nm 342392nm 152400nm 596392nm 304800nm "68" "68" ""]
+Pad [5835396nm -2000000nm 6864604nm -2000000nm 342392nm 152400nm 596392nm "68" "68" ""]
+Pin [7874000nm -2400000nm 342392nm 152400nm 596392nm 304800nm "69" "69" ""]
+Pad [7359396nm -2400000nm 8388604nm -2400000nm 342392nm 152400nm 596392nm "69" "69" ""]
+Pin [6350000nm -2800000nm 342392nm 152400nm 596392nm 304800nm "70" "70" ""]
+Pad [5835396nm -2800000nm 6864604nm -2800000nm 342392nm 152400nm 596392nm "70" "70" ""]
+Pin [7874000nm -3200000nm 342392nm 152400nm 596392nm 304800nm "71" "71" ""]
+Pad [7359396nm -3200000nm 8388604nm -3200000nm 342392nm 152400nm 596392nm "71" "71" ""]
+Pin [6350000nm -3600000nm 342392nm 152400nm 596392nm 304800nm "72" "72" ""]
+Pad [5835396nm -3600000nm 6864604nm -3600000nm 342392nm 152400nm 596392nm "72" "72" ""]
+Pin [7874000nm -4000000nm 342392nm 152400nm 596392nm 304800nm "73" "73" ""]
+Pad [7359396nm -4000000nm 8388604nm -4000000nm 342392nm 152400nm 596392nm "73" "73" ""]
+Pin [6350000nm -4400000nm 342392nm 152400nm 596392nm 304800nm "74" "74" ""]
+Pad [5835396nm -4400000nm 6864604nm -4400000nm 342392nm 152400nm 596392nm "74" "74" ""]
+Pin [7874000nm -4800000nm 342392nm 152400nm 596392nm 304800nm "75" "75" ""]
+Pad [7359396nm -4800000nm 8388604nm -4800000nm 342392nm 152400nm 596392nm "75" "75" ""]
+Pin [4800000nm -7874000nm 342392nm 152400nm 596392nm 304800nm "76" "76" ""]
+Pad [4800000nm -8388604nm 4800000nm -7359396nm 342392nm 152400nm 596392nm "76" "76" ""]
+Pin [4400000nm -6350000nm 342392nm 152400nm 596392nm 304800nm "77" "77" ""]
+Pad [4400000nm -6864604nm 4400000nm -5835396nm 342392nm 152400nm 596392nm "77" "77" ""]
+Pin [4000000nm -7874000nm 342392nm 152400nm 596392nm 304800nm "78" "78" ""]
+Pad [4000000nm -8388604nm 4000000nm -7359396nm 342392nm 152400nm 596392nm "78" "78" ""]
+Pin [3600000nm -6350000nm 342392nm 152400nm 596392nm 304800nm "79" "79" ""]
+Pad [3600000nm -6864604nm 3600000nm -5835396nm 342392nm 152400nm 596392nm "79" "79" ""]
+Pin [3200000nm -7874000nm 342392nm 152400nm 596392nm 304800nm "80" "80" ""]
+Pad [3200000nm -8388604nm 3200000nm -7359396nm 342392nm 152400nm 596392nm "80" "80" ""]
+Pin [2800000nm -6350000nm 342392nm 152400nm 596392nm 304800nm "81" "81" ""]
+Pad [2800000nm -6864604nm 2800000nm -5835396nm 342392nm 152400nm 596392nm "81" "81" ""]
+Pin [2400000nm -7874000nm 342392nm 152400nm 596392nm 304800nm "82" "82" ""]
+Pad [2400000nm -8388604nm 2400000nm -7359396nm 342392nm 152400nm 596392nm "82" "82" ""]
+Pin [2000000nm -6350000nm 342392nm 152400nm 596392nm 304800nm "83" "83" ""]
+Pad [2000000nm -6864604nm 2000000nm -5835396nm 342392nm 152400nm 596392nm "83" "83" ""]
+Pin [1600000nm -7874000nm 342392nm 152400nm 596392nm 304800nm "84" "84" ""]
+Pad [1600000nm -8388604nm 1600000nm -7359396nm 342392nm 152400nm 596392nm "84" "84" ""]
+Pin [1200000nm -6350000nm 342392nm 152400nm 596392nm 304800nm "85" "85" ""]
+Pad [1200000nm -6864604nm 1200000nm -5835396nm 342392nm 152400nm 596392nm "85" "85" ""]
+Pin [800000nm -7874000nm 342392nm 152400nm 596392nm 304800nm "86" "86" ""]
+Pad [800000nm -8388604nm 800000nm -7359396nm 342392nm 152400nm 596392nm "86" "86" ""]
+Pin [400000nm -6350000nm 342392nm 152400nm 596392nm 304800nm "87" "87" ""]
+Pad [400000nm -6864604nm 400000nm -5835396nm 342392nm 152400nm 596392nm "87" "87" ""]
+Pin [0nm -7874000nm 342392nm 152400nm 596392nm 304800nm "88" "88" ""]
+Pad [0nm -8388604nm 0nm -7359396nm 342392nm 152400nm 596392nm "88" "88" ""]
+Pin [-400000nm -6350000nm 342392nm 152400nm 596392nm 304800nm "89" "89" ""]
+Pad [-400000nm -6864604nm -400000nm -5835396nm 342392nm 152400nm 596392nm "89" "89" ""]
+Pin [-800000nm -7874000nm 342392nm 152400nm 596392nm 304800nm "90" "90" ""]
+Pad [-800000nm -8388604nm -800000nm -7359396nm 342392nm 152400nm 596392nm "90" "90" ""]
+Pin [-1200000nm -6350000nm 342392nm 152400nm 596392nm 304800nm "91" "91" ""]
+Pad [-1200000nm -6864604nm -1200000nm -5835396nm 342392nm 152400nm 596392nm "91" "91" ""]
+Pin [-1600000nm -7874000nm 342392nm 152400nm 596392nm 304800nm "92" "92" ""]
+Pad [-1600000nm -8388604nm -1600000nm -7359396nm 342392nm 152400nm 596392nm "92" "92" ""]
+Pin [-2000000nm -6350000nm 342392nm 152400nm 596392nm 304800nm "93" "93" ""]
+Pad [-2000000nm -6864604nm -2000000nm -5835396nm 342392nm 152400nm 596392nm "93" "93" ""]
+Pin [-2400000nm -7874000nm 342392nm 152400nm 596392nm 304800nm "94" "94" ""]
+Pad [-2400000nm -8388604nm -2400000nm -7359396nm 342392nm 152400nm 596392nm "94" "94" ""]
+Pin [-2800000nm -6350000nm 342392nm 152400nm 596392nm 304800nm "95" "95" ""]
+Pad [-2800000nm -6864604nm -2800000nm -5835396nm 342392nm 152400nm 596392nm "95" "95" ""]
+Pin [-3200000nm -7874000nm 342392nm 152400nm 596392nm 304800nm "96" "96" ""]
+Pad [-3200000nm -8388604nm -3200000nm -7359396nm 342392nm 152400nm 596392nm "96" "96" ""]
+Pin [-3600000nm -6350000nm 342392nm 152400nm 596392nm 304800nm "97" "97" ""]
+Pad [-3600000nm -6864604nm -3600000nm -5835396nm 342392nm 152400nm 596392nm "97" "97" ""]
+Pin [-4000000nm -7874000nm 342392nm 152400nm 596392nm 304800nm "98" "98" ""]
+Pad [-4000000nm -8388604nm -4000000nm -7359396nm 342392nm 152400nm 596392nm "98" "98" ""]
+Pin [-4400000nm -6350000nm 342392nm 152400nm 596392nm 304800nm "99" "99" ""]
+Pad [-4400000nm -6864604nm -4400000nm -5835396nm 342392nm 152400nm 596392nm "99" "99" ""]
+Pin [-4800000nm -7874000nm 342392nm 152400nm 596392nm 304800nm "100" "100" ""]
+Pad [-4800000nm -8388604nm -4800000nm -7359396nm 342392nm 152400nm 596392nm "100" "100" ""]
+Pin [0nm 0nm 1859280nm 152400nm 2113280nm 1097280nm "101" "101" ""]
+Pin [-9652000nm 9652000nm 2565400nm 152400nm 2819400nm 1549400nm "102" "102" ""]
+Pin [9652000nm -9652000nm 2565400nm 152400nm 2819400nm 1549400nm "103" "103" ""]
+ElementLine [-17780000nm -14732000nm -17780000nm 14732000nm 203200nm]
+ElementLine [-17780000nm 14732000nm 18542000nm 14732000nm 203200nm]
+ElementLine [18542000nm 14732000nm 18542000nm -14732000nm 203200nm]
+ElementLine [18542000nm -14732000nm -17780000nm -14732000nm 203200nm]
+ElementLine [12192000nm -14732000nm 12192000nm 14732000nm 203200nm]
+)
diff --git a/sch-pcb/fp/PLASTRONICS_100QN40S1120120_padsonly.fp b/sch-pcb/fp/PLASTRONICS_100QN40S1120120_padsonly.fp
new file mode 100644 (file)
index 0000000..7b91576
--- /dev/null
@@ -0,0 +1,103 @@
+Element ["" "" "" "" 0nm 0nm 0nm 0nm 0 100 ""]
+(
+Pad [-8388604nm -4800000nm -7359396nm -4800000nm 342392nm 152400nm 596392nm "1" "1" ""]
+Pad [-6864604nm -4400000nm -5835396nm -4400000nm 342392nm 152400nm 596392nm "2" "2" ""]
+Pad [-8388604nm -4000000nm -7359396nm -4000000nm 342392nm 152400nm 596392nm "3" "3" ""]
+Pad [-6864604nm -3600000nm -5835396nm -3600000nm 342392nm 152400nm 596392nm "4" "4" ""]
+Pad [-8388604nm -3200000nm -7359396nm -3200000nm 342392nm 152400nm 596392nm "5" "5" ""]
+Pad [-6864604nm -2800000nm -5835396nm -2800000nm 342392nm 152400nm 596392nm "6" "6" ""]
+Pad [-8388604nm -2400000nm -7359396nm -2400000nm 342392nm 152400nm 596392nm "7" "7" ""]
+Pad [-6864604nm -2000000nm -5835396nm -2000000nm 342392nm 152400nm 596392nm "8" "8" ""]
+Pad [-8388604nm -1600000nm -7359396nm -1600000nm 342392nm 152400nm 596392nm "9" "9" ""]
+Pad [-6864604nm -1200000nm -5835396nm -1200000nm 342392nm 152400nm 596392nm "10" "10" ""]
+Pad [-8388604nm -800000nm -7359396nm -800000nm 342392nm 152400nm 596392nm "11" "11" ""]
+Pad [-6864604nm -400000nm -5835396nm -400000nm 342392nm 152400nm 596392nm "12" "12" ""]
+Pad [-8388604nm 0nm -7359396nm 0nm 342392nm 152400nm 596392nm "13" "13" ""]
+Pad [-6864604nm 400000nm -5835396nm 400000nm 342392nm 152400nm 596392nm "14" "14" ""]
+Pad [-8388604nm 800000nm -7359396nm 800000nm 342392nm 152400nm 596392nm "15" "15" ""]
+Pad [-6864604nm 1200000nm -5835396nm 1200000nm 342392nm 152400nm 596392nm "16" "16" ""]
+Pad [-8388604nm 1600000nm -7359396nm 1600000nm 342392nm 152400nm 596392nm "17" "17" ""]
+Pad [-6864604nm 2000000nm -5835396nm 2000000nm 342392nm 152400nm 596392nm "18" "18" ""]
+Pad [-8388604nm 2400000nm -7359396nm 2400000nm 342392nm 152400nm 596392nm "19" "19" ""]
+Pad [-6864604nm 2800000nm -5835396nm 2800000nm 342392nm 152400nm 596392nm "20" "20" ""]
+Pad [-8388604nm 3200000nm -7359396nm 3200000nm 342392nm 152400nm 596392nm "21" "21" ""]
+Pad [-6864604nm 3600000nm -5835396nm 3600000nm 342392nm 152400nm 596392nm "22" "22" ""]
+Pad [-8388604nm 4000000nm -7359396nm 4000000nm 342392nm 152400nm 596392nm "23" "23" ""]
+Pad [-6864604nm 4400000nm -5835396nm 4400000nm 342392nm 152400nm 596392nm "24" "24" ""]
+Pad [-8388604nm 4800000nm -7359396nm 4800000nm 342392nm 152400nm 596392nm "25" "25" ""]
+Pad [-4800000nm 7359396nm -4800000nm 8388604nm 342392nm 152400nm 596392nm "26" "26" ""]
+Pad [-4400000nm 5835396nm -4400000nm 6864604nm 342392nm 152400nm 596392nm "27" "27" ""]
+Pad [-4000000nm 7359396nm -4000000nm 8388604nm 342392nm 152400nm 596392nm "28" "28" ""]
+Pad [-3600000nm 5835396nm -3600000nm 6864604nm 342392nm 152400nm 596392nm "29" "29" ""]
+Pad [-3200000nm 7359396nm -3200000nm 8388604nm 342392nm 152400nm 596392nm "30" "30" ""]
+Pad [-2800000nm 5835396nm -2800000nm 6864604nm 342392nm 152400nm 596392nm "31" "31" ""]
+Pad [-2400000nm 7359396nm -2400000nm 8388604nm 342392nm 152400nm 596392nm "32" "32" ""]
+Pad [-2000000nm 5835396nm -2000000nm 6864604nm 342392nm 152400nm 596392nm "33" "33" ""]
+Pad [-1600000nm 7359396nm -1600000nm 8388604nm 342392nm 152400nm 596392nm "34" "34" ""]
+Pad [-1200000nm 5835396nm -1200000nm 6864604nm 342392nm 152400nm 596392nm "35" "35" ""]
+Pad [-800000nm 7359396nm -800000nm 8388604nm 342392nm 152400nm 596392nm "36" "36" ""]
+Pad [-400000nm 5835396nm -400000nm 6864604nm 342392nm 152400nm 596392nm "37" "37" ""]
+Pad [0nm 7359396nm 0nm 8388604nm 342392nm 152400nm 596392nm "38" "38" ""]
+Pad [400000nm 5835396nm 400000nm 6864604nm 342392nm 152400nm 596392nm "39" "39" ""]
+Pad [800000nm 7359396nm 800000nm 8388604nm 342392nm 152400nm 596392nm "40" "40" ""]
+Pad [1200000nm 5835396nm 1200000nm 6864604nm 342392nm 152400nm 596392nm "41" "41" ""]
+Pad [1600000nm 7359396nm 1600000nm 8388604nm 342392nm 152400nm 596392nm "42" "42" ""]
+Pad [2000000nm 5835396nm 2000000nm 6864604nm 342392nm 152400nm 596392nm "43" "43" ""]
+Pad [2400000nm 7359396nm 2400000nm 8388604nm 342392nm 152400nm 596392nm "44" "44" ""]
+Pad [2800000nm 5835396nm 2800000nm 6864604nm 342392nm 152400nm 596392nm "45" "45" ""]
+Pad [3200000nm 7359396nm 3200000nm 8388604nm 342392nm 152400nm 596392nm "46" "46" ""]
+Pad [3600000nm 5835396nm 3600000nm 6864604nm 342392nm 152400nm 596392nm "47" "47" ""]
+Pad [4000000nm 7359396nm 4000000nm 8388604nm 342392nm 152400nm 596392nm "48" "48" ""]
+Pad [4400000nm 5835396nm 4400000nm 6864604nm 342392nm 152400nm 596392nm "49" "49" ""]
+Pad [4800000nm 7359396nm 4800000nm 8388604nm 342392nm 152400nm 596392nm "50" "50" ""]
+Pad [7359396nm 4800000nm 8388604nm 4800000nm 342392nm 152400nm 596392nm "51" "51" ""]
+Pad [5835396nm 4400000nm 6864604nm 4400000nm 342392nm 152400nm 596392nm "52" "52" ""]
+Pad [7359396nm 4000000nm 8388604nm 4000000nm 342392nm 152400nm 596392nm "53" "53" ""]
+Pad [5835396nm 3600000nm 6864604nm 3600000nm 342392nm 152400nm 596392nm "54" "54" ""]
+Pad [7359396nm 3200000nm 8388604nm 3200000nm 342392nm 152400nm 596392nm "55" "55" ""]
+Pad [5835396nm 2800000nm 6864604nm 2800000nm 342392nm 152400nm 596392nm "56" "56" ""]
+Pad [7359396nm 2400000nm 8388604nm 2400000nm 342392nm 152400nm 596392nm "57" "57" ""]
+Pad [5835396nm 2000000nm 6864604nm 2000000nm 342392nm 152400nm 596392nm "58" "58" ""]
+Pad [7359396nm 1600000nm 8388604nm 1600000nm 342392nm 152400nm 596392nm "59" "59" ""]
+Pad [5835396nm 1200000nm 6864604nm 1200000nm 342392nm 152400nm 596392nm "60" "60" ""]
+Pad [7359396nm 800000nm 8388604nm 800000nm 342392nm 152400nm 596392nm "61" "61" ""]
+Pad [5835396nm 400000nm 6864604nm 400000nm 342392nm 152400nm 596392nm "62" "62" ""]
+Pad [7359396nm 0nm 8388604nm 0nm 342392nm 152400nm 596392nm "63" "63" ""]
+Pad [5835396nm -400000nm 6864604nm -400000nm 342392nm 152400nm 596392nm "64" "64" ""]
+Pad [7359396nm -800000nm 8388604nm -800000nm 342392nm 152400nm 596392nm "65" "65" ""]
+Pad [5835396nm -1200000nm 6864604nm -1200000nm 342392nm 152400nm 596392nm "66" "66" ""]
+Pad [7359396nm -1600000nm 8388604nm -1600000nm 342392nm 152400nm 596392nm "67" "67" ""]
+Pad [5835396nm -2000000nm 6864604nm -2000000nm 342392nm 152400nm 596392nm "68" "68" ""]
+Pad [7359396nm -2400000nm 8388604nm -2400000nm 342392nm 152400nm 596392nm "69" "69" ""]
+Pad [5835396nm -2800000nm 6864604nm -2800000nm 342392nm 152400nm 596392nm "70" "70" ""]
+Pad [7359396nm -3200000nm 8388604nm -3200000nm 342392nm 152400nm 596392nm "71" "71" ""]
+Pad [5835396nm -3600000nm 6864604nm -3600000nm 342392nm 152400nm 596392nm "72" "72" ""]
+Pad [7359396nm -4000000nm 8388604nm -4000000nm 342392nm 152400nm 596392nm "73" "73" ""]
+Pad [5835396nm -4400000nm 6864604nm -4400000nm 342392nm 152400nm 596392nm "74" "74" ""]
+Pad [7359396nm -4800000nm 8388604nm -4800000nm 342392nm 152400nm 596392nm "75" "75" ""]
+Pad [4800000nm -8388604nm 4800000nm -7359396nm 342392nm 152400nm 596392nm "76" "76" ""]
+Pad [4400000nm -6864604nm 4400000nm -5835396nm 342392nm 152400nm 596392nm "77" "77" ""]
+Pad [4000000nm -8388604nm 4000000nm -7359396nm 342392nm 152400nm 596392nm "78" "78" ""]
+Pad [3600000nm -6864604nm 3600000nm -5835396nm 342392nm 152400nm 596392nm "79" "79" ""]
+Pad [3200000nm -8388604nm 3200000nm -7359396nm 342392nm 152400nm 596392nm "80" "80" ""]
+Pad [2800000nm -6864604nm 2800000nm -5835396nm 342392nm 152400nm 596392nm "81" "81" ""]
+Pad [2400000nm -8388604nm 2400000nm -7359396nm 342392nm 152400nm 596392nm "82" "82" ""]
+Pad [2000000nm -6864604nm 2000000nm -5835396nm 342392nm 152400nm 596392nm "83" "83" ""]
+Pad [1600000nm -8388604nm 1600000nm -7359396nm 342392nm 152400nm 596392nm "84" "84" ""]
+Pad [1200000nm -6864604nm 1200000nm -5835396nm 342392nm 152400nm 596392nm "85" "85" ""]
+Pad [800000nm -8388604nm 800000nm -7359396nm 342392nm 152400nm 596392nm "86" "86" ""]
+Pad [400000nm -6864604nm 400000nm -5835396nm 342392nm 152400nm 596392nm "87" "87" ""]
+Pad [0nm -8388604nm 0nm -7359396nm 342392nm 152400nm 596392nm "88" "88" ""]
+Pad [-400000nm -6864604nm -400000nm -5835396nm 342392nm 152400nm 596392nm "89" "89" ""]
+Pad [-800000nm -8388604nm -800000nm -7359396nm 342392nm 152400nm 596392nm "90" "90" ""]
+Pad [-1200000nm -6864604nm -1200000nm -5835396nm 342392nm 152400nm 596392nm "91" "91" ""]
+Pad [-1600000nm -8388604nm -1600000nm -7359396nm 342392nm 152400nm 596392nm "92" "92" ""]
+Pad [-2000000nm -6864604nm -2000000nm -5835396nm 342392nm 152400nm 596392nm "93" "93" ""]
+Pad [-2400000nm -8388604nm -2400000nm -7359396nm 342392nm 152400nm 596392nm "94" "94" ""]
+Pad [-2800000nm -6864604nm -2800000nm -5835396nm 342392nm 152400nm 596392nm "95" "95" ""]
+Pad [-3200000nm -8388604nm -3200000nm -7359396nm 342392nm 152400nm 596392nm "96" "96" ""]
+Pad [-3600000nm -6864604nm -3600000nm -5835396nm 342392nm 152400nm 596392nm "97" "97" ""]
+Pad [-4000000nm -8388604nm -4000000nm -7359396nm 342392nm 152400nm 596392nm "98" "98" ""]
+Pad [-4400000nm -6864604nm -4400000nm -5835396nm 342392nm 152400nm 596392nm "99" "99" ""]
+Pad [-4800000nm -8388604nm -4800000nm -7359396nm 342392nm 152400nm 596392nm "100" "100" ""]
+)
diff --git a/sch-pcb/fp/SEMPAC_12x12_100A.fp b/sch-pcb/fp/SEMPAC_12x12_100A.fp
new file mode 100644 (file)
index 0000000..eaceec7
--- /dev/null
@@ -0,0 +1,113 @@
+Element ["" "" "" "" 6000000nm 6000000nm 6000000nm 6000000nm 0 100 ""]
+(
+Pad [-6300000nm -4800000nm -5650000nm -4800000nm 200000nm 152400nm 327000nm "1" "1" "square"]
+Pad [-6300000nm -4400000nm -5650000nm -4400000nm 200000nm 152400nm 327000nm "2" "2" "square"]
+Pad [-6300000nm -4000000nm -5650000nm -4000000nm 200000nm 152400nm 327000nm "3" "3" "square"]
+Pad [-6300000nm -3600000nm -5650000nm -3600000nm 200000nm 152400nm 327000nm "4" "4" "square"]
+Pad [-6300000nm -3200000nm -5650000nm -3200000nm 200000nm 152400nm 327000nm "5" "5" "square"]
+Pad [-6300000nm -2800000nm -5650000nm -2800000nm 200000nm 152400nm 327000nm "6" "6" "square"]
+Pad [-6300000nm -2400000nm -5650000nm -2400000nm 200000nm 152400nm 327000nm "7" "7" "square"]
+Pad [-6300000nm -2000000nm -5650000nm -2000000nm 200000nm 152400nm 327000nm "8" "8" "square"]
+Pad [-6300000nm -1600000nm -5650000nm -1600000nm 200000nm 152400nm 327000nm "9" "9" "square"]
+Pad [-6300000nm -1200000nm -5650000nm -1200000nm 200000nm 152400nm 327000nm "10" "10" "square"]
+Pad [-6300000nm -800000nm -5650000nm -800000nm 200000nm 152400nm 327000nm "11" "11" "square"]
+Pad [-6300000nm -400000nm -5650000nm -400000nm 200000nm 152400nm 327000nm "12" "12" "square"]
+Pad [-6300000nm 0nm -5650000nm 0nm 200000nm 152400nm 327000nm "13" "13" "square"]
+Pad [-6300000nm 400000nm -5650000nm 400000nm 200000nm 152400nm 327000nm "14" "14" "square"]
+Pad [-6300000nm 800000nm -5650000nm 800000nm 200000nm 152400nm 327000nm "15" "15" "square"]
+Pad [-6300000nm 1200000nm -5650000nm 1200000nm 200000nm 152400nm 327000nm "16" "16" "square"]
+Pad [-6300000nm 1600000nm -5650000nm 1600000nm 200000nm 152400nm 327000nm "17" "17" "square"]
+Pad [-6300000nm 2000000nm -5650000nm 2000000nm 200000nm 152400nm 327000nm "18" "18" "square"]
+Pad [-6300000nm 2400000nm -5650000nm 2400000nm 200000nm 152400nm 327000nm "19" "19" "square"]
+Pad [-6300000nm 2800000nm -5650000nm 2800000nm 200000nm 152400nm 327000nm "20" "20" "square"]
+Pad [-6300000nm 3200000nm -5650000nm 3200000nm 200000nm 152400nm 327000nm "21" "21" "square"]
+Pad [-6300000nm 3600000nm -5650000nm 3600000nm 200000nm 152400nm 327000nm "22" "22" "square"]
+Pad [-6300000nm 4000000nm -5650000nm 4000000nm 200000nm 152400nm 327000nm "23" "23" "square"]
+Pad [-6300000nm 4400000nm -5650000nm 4400000nm 200000nm 152400nm 327000nm "24" "24" "square"]
+Pad [-6300000nm 4800000nm -5650000nm 4800000nm 200000nm 152400nm 327000nm "25" "25" "square"]
+Pad [-4800000nm 5650000nm -4800000nm 6300000nm 200000nm 152400nm 327000nm "26" "26" "square"]
+Pad [-4400000nm 5650000nm -4400000nm 6300000nm 200000nm 152400nm 327000nm "27" "27" "square"]
+Pad [-4000000nm 5650000nm -4000000nm 6300000nm 200000nm 152400nm 327000nm "28" "28" "square"]
+Pad [-3600000nm 5650000nm -3600000nm 6300000nm 200000nm 152400nm 327000nm "29" "29" "square"]
+Pad [-3200000nm 5650000nm -3200000nm 6300000nm 200000nm 152400nm 327000nm "30" "30" "square"]
+Pad [-2800000nm 5650000nm -2800000nm 6300000nm 200000nm 152400nm 327000nm "31" "31" "square"]
+Pad [-2400000nm 5650000nm -2400000nm 6300000nm 200000nm 152400nm 327000nm "32" "32" "square"]
+Pad [-2000000nm 5650000nm -2000000nm 6300000nm 200000nm 152400nm 327000nm "33" "33" "square"]
+Pad [-1600000nm 5650000nm -1600000nm 6300000nm 200000nm 152400nm 327000nm "34" "34" "square"]
+Pad [-1200000nm 5650000nm -1200000nm 6300000nm 200000nm 152400nm 327000nm "35" "35" "square"]
+Pad [-800000nm 5650000nm -800000nm 6300000nm 200000nm 152400nm 327000nm "36" "36" "square"]
+Pad [-400000nm 5650000nm -400000nm 6300000nm 200000nm 152400nm 327000nm "37" "37" "square"]
+Pad [0nm 5650000nm 0nm 6300000nm 200000nm 152400nm 327000nm "38" "38" "square"]
+Pad [400000nm 5650000nm 400000nm 6300000nm 200000nm 152400nm 327000nm "39" "39" "square"]
+Pad [800000nm 5650000nm 800000nm 6300000nm 200000nm 152400nm 327000nm "40" "40" "square"]
+Pad [1200000nm 5650000nm 1200000nm 6300000nm 200000nm 152400nm 327000nm "41" "41" "square"]
+Pad [1600000nm 5650000nm 1600000nm 6300000nm 200000nm 152400nm 327000nm "42" "42" "square"]
+Pad [2000000nm 5650000nm 2000000nm 6300000nm 200000nm 152400nm 327000nm "43" "43" "square"]
+Pad [2400000nm 5650000nm 2400000nm 6300000nm 200000nm 152400nm 327000nm "44" "44" "square"]
+Pad [2800000nm 5650000nm 2800000nm 6300000nm 200000nm 152400nm 327000nm "45" "45" "square"]
+Pad [3200000nm 5650000nm 3200000nm 6300000nm 200000nm 152400nm 327000nm "46" "46" "square"]
+Pad [3600000nm 5650000nm 3600000nm 6300000nm 200000nm 152400nm 327000nm "47" "47" "square"]
+Pad [4000000nm 5650000nm 4000000nm 6300000nm 200000nm 152400nm 327000nm "48" "48" "square"]
+Pad [4400000nm 5650000nm 4400000nm 6300000nm 200000nm 152400nm 327000nm "49" "49" "square"]
+Pad [4800000nm 5650000nm 4800000nm 6300000nm 200000nm 152400nm 327000nm "50" "50" "square"]
+Pad [5650000nm 4800000nm 6300000nm 4800000nm 200000nm 152400nm 327000nm "51" "51" "square"]
+Pad [5650000nm 4400000nm 6300000nm 4400000nm 200000nm 152400nm 327000nm "52" "52" "square"]
+Pad [5650000nm 4000000nm 6300000nm 4000000nm 200000nm 152400nm 327000nm "53" "53" "square"]
+Pad [5650000nm 3600000nm 6300000nm 3600000nm 200000nm 152400nm 327000nm "54" "54" "square"]
+Pad [5650000nm 3200000nm 6300000nm 3200000nm 200000nm 152400nm 327000nm "55" "55" "square"]
+Pad [5650000nm 2800000nm 6300000nm 2800000nm 200000nm 152400nm 327000nm "56" "56" "square"]
+Pad [5650000nm 2400000nm 6300000nm 2400000nm 200000nm 152400nm 327000nm "57" "57" "square"]
+Pad [5650000nm 2000000nm 6300000nm 2000000nm 200000nm 152400nm 327000nm "58" "58" "square"]
+Pad [5650000nm 1600000nm 6300000nm 1600000nm 200000nm 152400nm 327000nm "59" "59" "square"]
+Pad [5650000nm 1200000nm 6300000nm 1200000nm 200000nm 152400nm 327000nm "60" "60" "square"]
+Pad [5650000nm 800000nm 6300000nm 800000nm 200000nm 152400nm 327000nm "61" "61" "square"]
+Pad [5650000nm 400000nm 6300000nm 400000nm 200000nm 152400nm 327000nm "62" "62" "square"]
+Pad [5650000nm 0nm 6300000nm 0nm 200000nm 152400nm 327000nm "63" "63" "square"]
+Pad [5650000nm -400000nm 6300000nm -400000nm 200000nm 152400nm 327000nm "64" "64" "square"]
+Pad [5650000nm -800000nm 6300000nm -800000nm 200000nm 152400nm 327000nm "65" "65" "square"]
+Pad [5650000nm -1200000nm 6300000nm -1200000nm 200000nm 152400nm 327000nm "66" "66" "square"]
+Pad [5650000nm -1600000nm 6300000nm -1600000nm 200000nm 152400nm 327000nm "67" "67" "square"]
+Pad [5650000nm -2000000nm 6300000nm -2000000nm 200000nm 152400nm 327000nm "68" "68" "square"]
+Pad [5650000nm -2400000nm 6300000nm -2400000nm 200000nm 152400nm 327000nm "69" "69" "square"]
+Pad [5650000nm -2800000nm 6300000nm -2800000nm 200000nm 152400nm 327000nm "70" "70" "square"]
+Pad [5650000nm -3200000nm 6300000nm -3200000nm 200000nm 152400nm 327000nm "71" "71" "square"]
+Pad [5650000nm -3600000nm 6300000nm -3600000nm 200000nm 152400nm 327000nm "72" "72" "square"]
+Pad [5650000nm -4000000nm 6300000nm -4000000nm 200000nm 152400nm 327000nm "73" "73" "square"]
+Pad [5650000nm -4400000nm 6300000nm -4400000nm 200000nm 152400nm 327000nm "74" "74" "square"]
+Pad [5650000nm -4800000nm 6300000nm -4800000nm 200000nm 152400nm 327000nm "75" "75" "square"]
+Pad [4800000nm -6300000nm 4800000nm -5650000nm 200000nm 152400nm 327000nm "76" "76" "square"]
+Pad [4400000nm -6300000nm 4400000nm -5650000nm 200000nm 152400nm 327000nm "77" "77" "square"]
+Pad [4000000nm -6300000nm 4000000nm -5650000nm 200000nm 152400nm 327000nm "78" "78" "square"]
+Pad [3600000nm -6300000nm 3600000nm -5650000nm 200000nm 152400nm 327000nm "79" "79" "square"]
+Pad [3200000nm -6300000nm 3200000nm -5650000nm 200000nm 152400nm 327000nm "80" "80" "square"]
+Pad [2800000nm -6300000nm 2800000nm -5650000nm 200000nm 152400nm 327000nm "81" "81" "square"]
+Pad [2400000nm -6300000nm 2400000nm -5650000nm 200000nm 152400nm 327000nm "82" "82" "square"]
+Pad [2000000nm -6300000nm 2000000nm -5650000nm 200000nm 152400nm 327000nm "83" "83" "square"]
+Pad [1600000nm -6300000nm 1600000nm -5650000nm 200000nm 152400nm 327000nm "84" "84" "square"]
+Pad [1200000nm -6300000nm 1200000nm -5650000nm 200000nm 152400nm 327000nm "85" "85" "square"]
+Pad [800000nm -6300000nm 800000nm -5650000nm 200000nm 152400nm 327000nm "86" "86" "square"]
+Pad [400000nm -6300000nm 400000nm -5650000nm 200000nm 152400nm 327000nm "87" "87" "square"]
+Pad [0nm -6300000nm 0nm -5650000nm 200000nm 152400nm 327000nm "88" "88" "square"]
+Pad [-400000nm -6300000nm -400000nm -5650000nm 200000nm 152400nm 327000nm "89" "89" "square"]
+Pad [-800000nm -6300000nm -800000nm -5650000nm 200000nm 152400nm 327000nm "90" "90" "square"]
+Pad [-1200000nm -6300000nm -1200000nm -5650000nm 200000nm 152400nm 327000nm "91" "91" "square"]
+Pad [-1600000nm -6300000nm -1600000nm -5650000nm 200000nm 152400nm 327000nm "92" "92" "square"]
+Pad [-2000000nm -6300000nm -2000000nm -5650000nm 200000nm 152400nm 327000nm "93" "93" "square"]
+Pad [-2400000nm -6300000nm -2400000nm -5650000nm 200000nm 152400nm 327000nm "94" "94" "square"]
+Pad [-2800000nm -6300000nm -2800000nm -5650000nm 200000nm 152400nm 327000nm "95" "95" "square"]
+Pad [-3200000nm -6300000nm -3200000nm -5650000nm 200000nm 152400nm 327000nm "96" "96" "square"]
+Pad [-3600000nm -6300000nm -3600000nm -5650000nm 200000nm 152400nm 327000nm "97" "97" "square"]
+Pad [-4000000nm -6300000nm -4000000nm -5650000nm 200000nm 152400nm 327000nm "98" "98" "square"]
+Pad [-4400000nm -6300000nm -4400000nm -5650000nm 200000nm 152400nm 327000nm "99" "99" "square"]
+Pad [-4800000nm -6300000nm -4800000nm -5650000nm 200000nm 152400nm 327000nm "100" "100" "square"]
+Pad [0nm 0nm 0nm 0nm 9200000nm 152400nm 9327000nm "ep" "101" "square"]
+ElementLine [-6654000nm -6654000nm -6654000nm 6654000nm 203200nm]
+ElementLine [-6654000nm 6654000nm 6654000nm 6654000nm 203200nm]
+ElementLine [6654000nm 6654000nm 6654000nm -6654000nm 203200nm]
+ElementLine [6654000nm -6654000nm -6654000nm -6654000nm 203200nm]
+ElementLine [-6654000nm -6369520nm -6369520nm -6654000nm 203200nm]
+ElementLine [-6654000nm -6085040nm -6085040nm -6654000nm 203200nm]
+ElementLine [-6654000nm -5800560nm -5800560nm -6654000nm 203200nm]
+ElementLine [-6654000nm -5516080nm -5516080nm -6654000nm 203200nm]
+ElementLine [-6654000nm -5231600nm -5231600nm -6654000nm 203200nm]
+)
diff --git a/sch-pcb/fp/footprinter.py b/sch-pcb/fp/footprinter.py
new file mode 100644 (file)
index 0000000..55367e0
--- /dev/null
@@ -0,0 +1,157 @@
+# -*- coding: ASCII -*-
+'''footprinter.py version 0.1
+Classes for gEDA PCB footprint creation
+according to <http://www.brorson.com/gEDA/land_patterns_20050129.pdf>
+Copyright (c) 2011, Mel Wilson <mwilson@melwilsonsoftware.ca>
+
+Licence: Creative Commons Attribution 3.0 Unported License
+<http://creativecommons.org/licenses/by-sa/3.0/>
+'''
+
+def um(x):
+       '''Get standard units from a measurement in micrometers.'''
+       return int(x * 1000)
+
+def mm (x):
+       '''Get standard units from a measurement in millimeters.'''
+       return int(x * 1000000)
+       
+def mil (x):
+       '''Get standard units from a measurement in thousandths of an inch.'''
+       return int(x * 25400)
+       
+def inch (x):
+       '''Get standard units from a measurement in inches.'''
+       return int(x * 25400000)
+       
+
+class Footprint (object):
+       def __init__ (self, padpins=None, linearcs=None, sflags='', description=''):
+               if padpins is None:     padpins = []
+               if linearcs is None:    linearcs = []
+               self.mx = 1000
+               self.my = 1000
+               self.tx = 600
+               self.ty = 600
+               self.tdir = 0
+               self.tscale = 100
+               self.tsflags = ''
+               self.sflags = sflags
+               self.pads = padpins
+               self.lines = linearcs
+               self.description = description
+               
+       def file_element (self, filepath):
+               '''Write the footprint to a PCB-compatible file.'''
+               with open (filepath, 'wt') as f:
+                       self.write (f)
+                       
+       def write (self, f):
+               f.write ('Element ["%s" "%s" "" "" %dnm %dnm %dnm %dnm %d %d "%s"]\n'
+                       % (self.sflags, self.description, self.mx, self.my
+                       , self.tx, self.ty, self.tdir, self.tscale, self.tsflags)
+                       )
+               f.write ('(\n')
+               for p in self.pads:
+                       p.write (f)
+               for L in self.lines:
+                       L.write (f)
+               f.write (')\n')
+                       
+                       
+class Pad (object):
+       def __init__ (self, number, padrect, trace_clearance, mask_clearance, name='', sflags=''):
+               px1, py1, px2, py2 = padrect    # x,y of diagonally opposite corners
+               thickness = min (abs (px1-px2), abs (py1-py2))
+               t2 = thickness/2
+               # find the centerline of the pad ..
+               if px1 < px2:
+                       rx1, rx2 = px1 + t2, px2 - t2
+               else:
+                       rx1, rx2 = px1 - t2, px1 + t2
+               if py1 < py2:
+                       ry1, ry2 = py1 + t2, py2 - t2
+               else:
+                       ry1, ry2 = py1 - t2, py1 + t2
+               self.rx1 = rx1
+               self.ry1 = ry1
+               self.rx2 = rx2
+               self.ry2 = ry2
+               self.thickness = thickness
+               self.clearance = trace_clearance
+               #~ self.mask = mask
+               self.mask = thickness + mask_clearance*2
+               self.name = name
+               self.number = number
+               self.sflags = sflags
+               
+       def write (self, f):
+               f.write ('Pad [%dnm %dnm %dnm %dnm %dnm %dnm %dnm "%s" "%s" "%s"]\n'
+                       % (self.rx1, self.ry1, self.rx2, self.ry2
+                               , self.thickness, self.clearance, self.mask
+                               , self.name, self.number, self.sflags
+                               )
+                       )                       
+                       
+class Pin (object):
+       def __init__ (self, number, rx, ry, thickness, trace_clearance, mask_clearance, drill, name='', sflags=''):
+               self.rx = rx
+               self.ry = ry
+               self.thickness = thickness
+               self.clearance = trace_clearance
+               #~ self.mask = mask
+               self.mask = thickness + mask_clearance*2
+               self.drill = drill
+               self.name = str (name)
+               self.number = str (number)
+               self.sflags = sflags
+               
+       def write (self, f):
+               f.write ('Pin [%dnm %dnm %dnm %dnm %dnm %dnm "%s" "%s" "%s"]\n'
+                       % (self.rx, self.ry
+                               , self.thickness, self.clearance, self.mask, self.drill
+                               , self.name, self.number, self.sflags
+                               )
+                       )                       
+
+class ElementLine (object):
+       def __init__ (self, rx1, ry1, rx2, ry2, thickness):
+               self.rx1 = rx1
+               self.ry1 = ry1
+               self.rx2 = rx2
+               self.ry2 = ry2
+               self.thickness = thickness
+       
+       def write (self, f):
+               f.write ('ElementLine [%dnm %dnm %dnm %dnm %dnm]\n'
+                       % (self.rx1, self.ry1, self.rx2, self.ry2, self.thickness)
+                       )                       
+       
+class ElementBox (object):
+       def __init__ (self, rx1, ry1, rx2, ry2, thickness):
+               self.rx1 = rx1
+               self.ry1 = ry1
+               self.rx2 = rx2
+               self.ry2 = ry2
+               self.thickness = thickness
+
+       def write (self, f):
+               ElementLine(self.rx1, self.ry1, self.rx1, self.ry2, self.thickness).write(f)
+               ElementLine(self.rx1, self.ry2, self.rx2, self.ry2, self.thickness).write(f)
+               ElementLine(self.rx2, self.ry2, self.rx2, self.ry1, self.thickness).write(f)
+               ElementLine(self.rx2, self.ry1, self.rx1, self.ry1, self.thickness).write(f)
+
+class ElementArc (object):
+       def __init__ (self, rx, ry, width, height, start_angle, delta_angle, thickness):
+               self.rx = rx
+               self.ry = ry
+               self.width = width
+               self.height = height
+               self.start_angle = start_angle
+               self.delta_angle = delta_angle
+               self.thickness = thickness
+       
+       def write (self, f):
+               f.write ('ElementArc [%dnm %dnm %dnm %dnm %d %d %dnm]\n'
+                       % (self.rx, self.ry, self.width, self.height, self.start_angle, self.delta_angle, self.thickness)
+                       )
diff --git a/sch-pcb/fp/socket-overlay.pdf b/sch-pcb/fp/socket-overlay.pdf
new file mode 100644 (file)
index 0000000..74779ed
Binary files /dev/null and b/sch-pcb/fp/socket-overlay.pdf differ
diff --git a/sch-pcb/gafrc b/sch-pcb/gafrc
new file mode 100644 (file)
index 0000000..3db46e9
--- /dev/null
@@ -0,0 +1,6 @@
+;only show local symbols
+(reset-component-library)
+
+(load "gafrc-libraries")
+
+; vi:ft=scheme
diff --git a/sch-pcb/gafrc-libraries b/sch-pcb/gafrc-libraries
new file mode 100644 (file)
index 0000000..5c73a8d
--- /dev/null
@@ -0,0 +1,14 @@
+; global symbol library
+; git submodule
+(component-library-search "waeda-sym")
+
+; local-only symbols
+(component-library "sym" "local-sym")
+
+; subpage symbols
+(component-library ".")
+
+; subpages
+(source-library ".")
+
+; vim: ft=scheme
diff --git a/sch-pcb/gnetlistrc b/sch-pcb/gnetlistrc
new file mode 100644 (file)
index 0000000..111c726
--- /dev/null
@@ -0,0 +1,11 @@
+
+;load symbol libraries
+(load "gafrc-libraries")
+
+;disable hierarchy traversal (before presenting 'packages to backend)
+(hierarchy-traversal "disabled")
+
+(define refdes-type-order
+      (list "ACS" "*" "TP" "A"))
+
+; vi:ft=scheme
diff --git a/sch-pcb/gschemrc b/sch-pcb/gschemrc
new file mode 100644 (file)
index 0000000..60fc452
--- /dev/null
@@ -0,0 +1,7 @@
+
+(sort-component-library "enabled")
+
+(define default-titleblock "title-wa-85x110.sym")
+(output-type "extents no margins")
+
+; vi:ft=scheme
diff --git a/sch-pcb/pinout-notes.otl b/sch-pcb/pinout-notes.otl
new file mode 100644 (file)
index 0000000..10e44ce
--- /dev/null
@@ -0,0 +1,421 @@
+
+Notes
+       USCI_A0 on cc430 supports SPI, UART
+       USCI_B0 on cc430 supports SPI, I2C
+       After boot, r9 holds RAMER count
+
+Power
+       [X] 100% By-IC
+               [X] 100% wb430
+                       [X] 100% AtoI
+                               [X] AVDD Analog 2.5V
+                               [X] AVSS Analog gnd
+                               [X] VDD_atoi digital core 1.2V
+                               [X] VSS_atoi digital core gnd
+                       [X] 100% NS430
+                               [X] DVDD_PadIO 2.5V
+                               [X] DVDD_PadIO gnd
+                               [X] DVDD_OSCIO 2.5V
+                               [X] DVSS_OSCIO gnd
+                               [X] VDD_430 core 1.2V
+                               [X] VSS_430 core gnd
+                               [X] VDD_osc core 1.2V
+                               [X] VSS_osc core gnd
+               [X] 100% cc430
+                       Processor
+                               : PMMCOREV modes
+                               :       3 - DVcc 2.4 Vmin
+                               :       2 - DVcc 2.2 Vmin
+                               :       1 - DVcc 2.0 Vmin
+                               :       0 - DVcc 1.8 Vmin
+                               [X] DVCC 2.0 Vnom
+                       RF section
+                               [X] AVcc_RF 2.0 Vmin
+                       ADC12
+                               [X] AVcc 2.2 Vmin
+               [X] 100% ADS8201 - 8ch 12b-ADC
+                       : ADC0
+                       [X] 2.2 Vmin
+               [X] 100% DAC8568 - 8ch 16b-DAC
+                       : DAC0
+                       [X] 2.7 Vmin
+               [X] 100% AD5242 - dual 1M pot (biasR)
+                       : DigiPot0
+                       [X] 2.2 Vmin
+               [X] 100% AD5242 - dual 100k pot (LDO)
+                       : DigiPot1
+                       [X] 2.2 Vmin
+               [X] 100% M25PExx - serial flash
+                       : Flash0
+                       [X] 2.7 Vmin
+       [X] 100% By-PS-Domain
+               [X] 100% DCDC - supplies all others
+                       : do not use
+               [X] 100% LDO0 - Digital
+                       : ADP323 triple adj LDO with EN
+                       : Needs separate >= 2.5 Vbias
+                       [X] Vdd_ns430 - core 1.2 V
+                               [X] wb430 VDD_430
+                               [X] wb430 VDD_osc
+                       [X] DVdd_ns430 - Pad 2.5 V
+                               [X] wb430 DVDD_PadIO
+                               [X] wb430 DVDD_OSCIO
+                       [X] AVdd_atoi - AtoI analog 2.5V
+                               [X] wb430 AVDD Analog
+               [X] 100% LDO1 - AtoI, analog
+                       : ADP323 triple adj LDO with EN
+                       : Needs separate >= 2.5 Vbias
+                       [X] Vdd_digi - AtoI digital core 1.2 V
+                               [X] wb430 VDD_atoi
+                       [X] Vdd_dev - cc430 1.8-3.6 V
+                               [X] cc430 DVCC
+                               [X] cc430 AVcc_RF
+                               [X] cc430 AVcc
+                       [X] AVdd_dev - peripheral analog, flash 2.7 V
+                               : full dev board - fixed at 2.7 V
+                               : ADC0 and DigiPotX may be 2.2 V for small board
+                               [X] ADC0 VD
+                               [X] ADC0 VA
+                               [X] DAC0 AVdd
+                               [X] DigiPot0 Vdd
+                               [X] DigiPot1 Vdd
+                               [X] Flash0
+               
+               
+
+Connections
+       [_] 0% ns430
+               [_] 0% Digital Pins
+                       : SPI0 - flash
+                       : SPI1 - AtoI digital
+                       : UART0 - bootloader, comms
+                       : UART1 - ???
+                       : I2C - DigiPots (biasR, LDO)
+                       [_] 94 - GPOut33 / CS1_mux (pad mux)
+                       [_] 95 - GPOut32 / CS1_conf (pad mux)
+                       [_] 96 - GPOut1 / MULT1 (pad mux)
+                       [_] 97 - GPOut0 / MULT0 (pad mux)
+                       [_] 98 - PB7 / RESET (pad mux)
+                       [_] 99 - PB8 / NCO_CLK / timer0 (pad mux)
+                       [_] 100 - INT_SPI
+                               : low: inputs from off-chip to internal digital signals
+                               : high - pads are outputs, monitor internal digital signals
+                       [_] 1 - DOUT0_mux
+                               : jumper to MISO1
+                       [_] 2 - DOUT0_conf
+                               : jumper to MISO1
+                       [_] 3 - PA15 / CS0_conf (pad mux)
+                       [_] 4 - PA14 / RXD1
+                       [_] 5 - PA13 / TXD1
+                       [_] 6 - PA12 / SCLK1 (pad mux)
+                               : internal AtoI SPI connection
+                       [_] 7 - PA11 / MOSI1 (pad mux)
+                               : internal AtoI SPI connection
+                       [_] 8 - PA10 / MISO1
+                               : opt jumpers from DOUT_x
+                       [_] 9 - PA9 / SCL / endianness
+                               : low - noswap
+                               : high - swap bytes
+                               : connect to DigiPots
+                       [_] 10- PA8 / SDA
+                               : connect to DigiPots
+                       [_] 11- PA7 / BSL / CS0_mux (pad mux)
+                               : low - run BSL
+                               : high - copy/run from flash
+                       [_] 12- PA6 / IRQ
+                       [_] 13- PA5 / RDX0
+                               : bootloader
+                       [_] 14- PA4 / TXD0
+                               : bootloader
+                       [_] 15- PA3 / SCLK0
+                               : to flash
+                       [_] 16- PA2 / MOSI0
+                               : to flash
+                       [_] 17- PA1 / MISO0
+                               : to flash
+                       [_] 18- PA0 / CS_flash
+                               : to flash
+               [_] 0% Analog Pins
+                       [_] 0% Single OTA
+                               [_] ota_slow - 2v4 logic
+                               [_] ota_slowinv - 2v4 logic
+                               [_] ota_biasa - current sink
+                               [_] ota_biasb - current sink
+                               [_] ota_biasccp - voltage bias
+                               [_] ota_biasccn - voltage bias
+                               [_] ota_ina - signal input
+                               [_] ota_inb - signal input
+                               [_] ota_cmi - signal input
+                               [_] ota_out - signal output
+                       [_] 0% AtoI Main Channels
+                               [_] 0% Integrator Bias
+                                       [_] biasp - main current setting voltage
+                                               : read only unless...
+                                               [_] send to ADC
+                                       [_] biasccp - cascode bias voltage
+                                               : read only unless...
+                                               [_] send to ADC
+                                       [_] biasR - resistance in bias loop generator
+                                               : TODO expected R range
+                                               : 2 resistors, bottom fixed and known C-V converter for ADC
+                                               [_] send to ADC
+                               [_] 0% Mux Buffer Bias
+                                       [_] buf_biasp - main current setting voltage
+                                               : read only unless...
+                                               [_] send to ADC
+                                       [_] buf_biasccp - cascode bias voltage
+                                               : read only unless...
+                                               [_] send to ADC
+                                       [_] buf_biasR - resistance in bias loop generator
+                                               : TODO expected R range
+                                               : 2 resistors, bottom fixed and known C-V converter for ADC
+                                               [_] send to ADC
+                               [_] 0% Signal Inputs
+                                       [_] INA - diff signal
+                                               [_] from DAC
+                                       [_] INB - diff signal
+                                               [_] from DAC
+                                       [_] CMI - AREF analog "0"
+                                               [_] from AREF generator
+                               [_] 0% Signal Outputs
+                                       : full AVDD-AVSS range
+                                       [_] mux0_outA - AtoI integrator mux/buffer output
+                                               [_] send to ADC
+                                       [_] mux0_outB - AtoI integrator mux/buffer output
+                                               [_] send to ADC
+                                       [_] arb_out0 - Arb output(0) direct
+                                               [_] send to ADC
+                                       [_] arb_out1 - Arb output(1) direct
+                                               [_] send to ADC
+                                       [_] mux1_outA - Arb mux/buffer output
+                                               [_] send to ADC
+                                       [_] mux1_outB - Arb mux/buffer output
+                                               [_] send to ADC
+                                       
+       [_] 16% cc430f5137
+               : on board
+               [_] 16% Pins
+                       [_] 0% (1) RF
+                               [_] 29- RF_P
+                               [_] 30- RF_N
+                               [_] 25- RF_Xi
+                               [_] 26- RF_XOUT
+                               [_] 33- RBIAS
+                               [_] 12- P1.1/RFGDO2
+                               [_] 13- P1.0/RFGDO0
+                               [_] 15- P3.6/RFGDO1
+                       [_] 0% (2) USCI Comm
+                               : UCA0 supports SPI, UART
+                               : UCB0 supports SPI, I2C
+                               [_] 4 - P1.7/UCA0CLK/UCB0STE
+                               [_] 5 - P1.6/UCA0TXD/UCA0MOSI
+                               [_] 6 - P1.5/UCA0RXD/UCA0MISO
+                               [_] 9 - P1.4/UCB0CLK/UCA0STE
+                               [_] 10- P1.3/UCB0MOSI/UCB0SDA
+                               [_] 11- P1.2/UCB0MISO/UCB0SCL
+                       [_] 0% (3) Sys / JTAG / SpyBiWire
+                               [_] 35- PJ.0/TDO
+                               [_] 36- PJ.1/TDI/TCLK
+                               [_] 37- PJ.2/TMS
+                               [_] 38- PJ.3/TCK
+                               [_] 39- TEST/SBWTCK
+                               [_] 40- \_RST\_/NMI/SBWTDIO
+                               [_] 43- P5.1/XOUT
+                               [_] 44- P5.0/Xi
+                       [X] 100% (4) Power
+                               [X] 7 - VCORE
+                                       : filter cap only
+                               [X] 8 - DVCC
+                               [X] 27- AVCC_RF
+                               [X] 28- AVCC_RF
+                               [X] 31- AVCC_RF
+                               [X] 32- AVCC_RF
+                               [X] 22- DVCC
+                               [X] 34- GUARD
+                               [X] 41- DVCC
+                               [X] 42- AVSS
+                               [X] 45- AVCC
+                               [X] 49- VSS_EP
+                       [_] 0% (5) ADC12 / Comp / Ref
+                               : x - arb_out0 ??
+                               : x - arb_out1 ??
+                               : - IVdd_ns430
+                               : - IDVdd_ns430
+                               : - IAVdd_atoi
+                               : - IVdd_digi
+                               : - IVdd_dev
+                               : - IAVdd_dev
+                               [_] 46- P2.5/SVMOUT/CB5/A5/VREF+/VeREF+
+                               [_] 47- P2.4/RTCCLK/CB4/A4/VREF-/VeREF-
+                               [_] 48- P2.3/TA1CCR2A/CB3/A3
+                               [_] 1 - P2.2/TA1CCR1A/CB2/A2
+                               [_] 2 - P2.1/TA1CCR0A/CB1/A1
+                               [_] 3 - P2.0/CBOUT1/TA1CLK/CB0/A0
+                       [_] 0% (6) Timing / GP
+                               [_] 14- P3.7/SMCLK
+                               [_] 24- P2.6/ACLK
+                               [_] 16- P3.5/TA0CCR4A
+                               [_] 17- P3.4/TA0CCR3A
+                               [_] 18- P3.3/TA0CCR2A
+                               [_] 19- P3.2/TA0CCR1A
+                               [_] 20- P3.1/TA0CCR0A
+                               [_] 21- P3.0/CBOUT0/TA0CLK
+                               [_] 23- P2.7/ADC12CLK/DMAE0
+       [_] 47% ADC0 - shared SPI bus
+               : ADS8201 (sampled from TI)
+               : QFN-24
+               : needs Vref
+               : 8ch 12bit 2.2/2.7 Vmin
+               [_] 0% Control
+                       [_] SPI1 on ns430
+                       [_] USCI_A0 or USCI_B0 on cc430
+               [X] 100% Input Signals
+                       [X] 0 - biasR - to infer bias current
+                               : diff with 5 for Vrdac0, or SE for PTAT n*Vt*ln(k)
+                               : buffered by max9912
+                       [X] 1 - 1k biasR Vx
+                               : buffered by max9912
+                       [X] 2 - buf_biasR - to infer bias current
+                               : diff with 7 for Vrdac1, or SE for PTAT n*Vt*ln(k)
+                               : buffered by max9912
+                       [X] 3 - 1k buf_biasR Vx
+                               : buffered by max9912
+                       [X] 4 - mux0_outA
+                       [X] 5 - mux0_outB
+                       [X] 6 - mux1_outA
+                               : or by pcb switch arb_out0 - hardwired to arb0
+                       [X] 7 - mux1_outB
+                               : or by pcb switch arb_out1 - hardwired to arb0
+               [_] 42% Pins
+                       [X] IN[7:0]
+                       [_] /RST - hardware reset
+                       [_] BUSY/INT - indication of activity
+                       [_] SCLK, SDI, SDO, /CS
+                       [X] DGND - interface gnd
+                       [_] /CONVST
+                       [X] VD - interface supply
+                       [X] VA - analog supply
+                       [_] REF - external reference
+                       [X] REFGND - reference gnd
+                       [X] AGND - analog gnd
+                       [_] ADCIN - ADC input
+                       [_] PGAOUT - connected (opt filtered) to ADCIN
+                               : PCB footprints for RC filter or short-to-ADCIN
+                       [_] PGAREF - set to Vanalog/2 for signed codes
+                               : switchable between gnd and Vref/2
+       [_] 4% DAC0 - shared SPI bus
+               : DAC8568 (sampled from TI)
+               : TSSOP-16
+               : 8ch 16bit 2.7 Vmin
+               : 2.5 Vref out
+               [_] 0% Control
+                       [_] SPI1 on ns430
+                       [_] USCI_A0 or USCI_B0 on cc430
+               [_] 0% Output Signals
+                       [_] x - biasR tuning
+                       [_] x - buf_biasR tuning
+                       [_] x - 
+                       [_] x - 
+                       [_] x - 
+                       [_] x - 
+                       [_] x - 
+                       [_] x - 
+               [_] 12% Pins
+                       [_] 1 - /LDAC - load DACs
+                               : tie to extra pin for flexibility
+                       [_] 2 - /SYNC - SPI /CS - frame sync input data
+                       [X] 3 - AVDD
+                       [_] 4 - VoutA
+                       [_] 5 - VoutC
+                       [_] 6 - VoutE
+                       [_] 7 - VoutG
+                       [_] 8 - VrefIN/VrefOUT - 2.5 V reference internal/external
+                       [_] 9 - /CLR - async clear input
+                       [_] 10- VoutH
+                       [_] 11- VoutF
+                       [_] 12- VoutD
+                       [_] 13- VoutB
+                       [X] 14- GND
+                       [_] 15- DIN - SPI MOSI
+                       [_] 16- SCLK - SPI clock
+       [_] 65% DigiPot0 - shared I2C bus
+               : AD5242 (sampled from ADI)
+               : 1M 256tap I2C pot 2.7 Vmin
+               : TSSOP-16
+               [_] 0% Control
+                       [_] I2C on ns430
+                       [_] USCI_B0 on cc430
+               [X] 100% Pot1
+                       [X] A1 - open, test point
+                       [X] W1 - biasR pin, ADC in
+                       [X] B1 - 1k top, ADC in
+                               : 1k bottom to AGND
+               [X] 100% Pot2
+                       [X] A2 - open, test point
+                       [X] W2 - buf_biasR pin, ADC in
+                       [X] B2 - 1k top, ADC in
+                               : 1k bottom to AGND
+               [_] 62% Pins
+                       [_] 1 - O1 - logic out1
+                       [X] 2 - A1 - pot1 top
+                       [X] 3 - W1 - pot1 wiper
+                       [X] 4 - B1 - pot1 bottom
+                       [X] 5 - VDD - 2.2-5.5V
+                       [X] 6 - /SHDN - async short W-B, tie to VDD
+                       [_] 7 - SCL - I2C clock
+                       [_] 8 - SDA - I2C data
+                       [_] 9 - AD0 - I2C address0
+                       [_] 10- AD1 - I2C address1
+                       [X] 11- DGND - logic common
+                       [X] 12- VSS - lowest Vpot - (-2.7-0V)
+                       [_] 13- O2 - logic out2
+                       [X] 14- B2 - pot2 bottom
+                       [X] 15- W2 - pot2 wiper
+                       [X] 16- A2 - pot2 top
+       [_] 0% DigiPot1 - shared I2C bus
+               : AD5242 (sampled from ADI)
+               : 100k 256tap I2C pot 2.7 Vmin
+               : TSSOP-16
+               [_] 0% Control
+                       [_] I2C on ns430
+                       [_] USCI_B0 on cc430
+               [_] 0% Pot1
+                       [_] A1 - 
+                       [_] W1 - 
+                       [_] B1 - 
+               [_] 0% Pot2
+                       [_] A2 - 
+                       [_] W2 - 
+                       [_] B2 - 
+               [_] 0% Pins
+                       [_] 1 - O1 - logic out1
+                       [_] 2 - A1 - pot1 top
+                       [_] 3 - W1 - pot1 wiper
+                       [_] 4 - B1 - pot1 bottom
+                       [_] 5 - VDD - 2.2-5.5V
+                       [_] 6 - /SHDN - async short W-B, tie to VDD
+                       [_] 7 - SCL - I2C clock
+                       [_] 8 - SDA - I2C data
+                       [_] 9 - AD0 - I2C address0
+                       [_] 10- AD1 - I2C address1
+                       [_] 11- DGND - logic common
+                       [_] 12- VSS - lowest Vpot - (-2.7-0V)
+                       [_] 13- O2 - logic out2
+                       [_] 14- B2 - pot2 bottom
+                       [_] 15- W2 - pot2 wiper
+                       [_] 16- A2 - pot2 top
+       [_] 18% M25PExx flash
+               [_] 0% Control
+                       [_] SPI0 on ns430
+               [_] 37% Pins
+                       [_] 1 - /S - chip select
+                       [_] 2 - Q - MISO
+                       [X] 3 - /W - write protect
+                               : tie high
+                       [X] 4 - Vss
+                       [_] 5 - D - MOSI
+                       [_] 6 - C - SCLK
+                       [_] 7 - /Reset
+                       [X] 8 - Vcc (2.7 Vmin)
+
+
diff --git a/sch-pcb/sym/Makefile b/sch-pcb/sym/Makefile
new file mode 100644 (file)
index 0000000..24a792a
--- /dev/null
@@ -0,0 +1,11 @@
+
+SYMBOLS_DJSRC=$(wildcard *.djboxsym)
+SYMBOLS_DJ=$(SYMBOLS_DJSRC:.djboxsym=.sym)
+
+sym: $(SYMBOLS_DJ)
+
+%.sym: %.djboxsym
+       ./djboxsym $< > $@
+
+clean:
+       rm -f $(SYMBOLS_DJ)
diff --git a/sch-pcb/sym/ad5242-1.djboxsym b/sch-pcb/sym/ad5242-1.djboxsym
new file mode 100644 (file)
index 0000000..c43a5a4
--- /dev/null
@@ -0,0 +1,37 @@
+
+
+[labels]
+refdes=U?
+AD5242
+! footprint=QFN_24N__ADI
+! document=ad5242.pdf
+
+[left]
+1      o       O1
+2              A1
+3              W1
+4              B1
+
+5      p       VDD
+6      !i      \_SHDN\_
+
+7      i       SCL
+8      io      SDA
+
+
+
+[right]
+16             A2
+15             W2
+14             B2
+13     o       O2
+
+12     p       VSS
+11     p       DGND
+
+10     i       AD1
+9      i       AD0
+
+
+
+# vim:softtabstop=0 noexpandtab ft=sh
diff --git a/sch-pcb/sym/ad5242-1.sym b/sch-pcb/sym/ad5242-1.sym
new file mode 100644 (file)
index 0000000..df1a7aa
--- /dev/null
@@ -0,0 +1,187 @@
+v 20060123 1
+B 300 300 1500 4400 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+T 1800 4800 9 10 0 0 0 0 1
+document=ad5242.pdf
+T 1800 5000 9 10 0 0 0 0 1
+footprint=QFN_24N__ADI
+T 1100 4100 9 10 1 1 0 3 1
+refdes=U?
+T 1100 3700 9 10 1 1 0 3 1
+AD5242
+P 0 4300 300 4300 1 0 0
+{
+T 350 4300 9 10 1 1 0 1 1
+pinlabel=O1
+T 200 4350 5 8 1 1 0 6 1
+pinnumber=1
+T 200 4350 5 8 0 1 0 6 1
+pinseq=1
+T 200 4350 9 10 0 1 0 6 1
+pintype=out
+}
+P 0 3900 300 3900 1 0 0
+{
+T 350 3900 9 10 1 1 0 1 1
+pinlabel=A1
+T 200 3950 5 8 1 1 0 6 1
+pinnumber=2
+T 200 3950 5 8 0 1 0 6 1
+pinseq=2
+T 200 3950 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 3500 300 3500 1 0 0
+{
+T 350 3500 9 10 1 1 0 1 1
+pinlabel=W1
+T 200 3550 5 8 1 1 0 6 1
+pinnumber=3
+T 200 3550 5 8 0 1 0 6 1
+pinseq=3
+T 200 3550 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 3100 300 3100 1 0 0
+{
+T 350 3100 9 10 1 1 0 1 1
+pinlabel=B1
+T 200 3150 5 8 1 1 0 6 1
+pinnumber=4
+T 200 3150 5 8 0 1 0 6 1
+pinseq=4
+T 200 3150 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 2300 300 2300 1 0 0
+{
+T 350 2300 9 10 1 1 0 1 1
+pinlabel=VDD
+T 200 2350 5 8 1 1 0 6 1
+pinnumber=5
+T 200 2350 5 8 0 1 0 6 1
+pinseq=5
+T 200 2350 9 10 0 1 0 6 1
+pintype=pwr
+}
+V 250 1900 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+P 0 1900 200 1900 1 0 0
+{
+T 350 1900 9 10 1 1 0 1 1
+pinlabel=\_SHDN\_
+T 200 1950 5 8 1 1 0 6 1
+pinnumber=6
+T 200 1950 5 8 0 1 0 6 1
+pinseq=6
+T 200 1950 9 10 0 1 0 6 1
+pintype=in
+}
+P 0 1100 300 1100 1 0 0
+{
+T 350 1100 9 10 1 1 0 1 1
+pinlabel=SCL
+T 200 1150 5 8 1 1 0 6 1
+pinnumber=7
+T 200 1150 5 8 0 1 0 6 1
+pinseq=7
+T 200 1150 9 10 0 1 0 6 1
+pintype=in
+}
+P 0 700 300 700 1 0 0
+{
+T 350 700 9 10 1 1 0 1 1
+pinlabel=SDA
+T 200 750 5 8 1 1 0 6 1
+pinnumber=8
+T 200 750 5 8 0 1 0 6 1
+pinseq=8
+T 200 750 9 10 0 1 0 6 1
+pintype=inout
+}
+P 2100 700 1800 700 1 0 0
+{
+T 1750 700 9 10 1 1 0 7 1
+pinlabel=AD0
+T 1900 750 5 8 1 1 0 0 1
+pinnumber=9
+T 1900 750 5 8 0 1 0 0 1
+pinseq=9
+T 1700 750 9 10 0 1 0 6 1
+pintype=in
+}
+P 2100 1100 1800 1100 1 0 0
+{
+T 1750 1100 9 10 1 1 0 7 1
+pinlabel=AD1
+T 1900 1150 5 8 1 1 0 0 1
+pinnumber=10
+T 1900 1150 5 8 0 1 0 0 1
+pinseq=10
+T 1700 1150 9 10 0 1 0 6 1
+pintype=in
+}
+P 2100 1900 1800 1900 1 0 0
+{
+T 1750 1900 9 10 1 1 0 7 1
+pinlabel=DGND
+T 1900 1950 5 8 1 1 0 0 1
+pinnumber=11
+T 1900 1950 5 8 0 1 0 0 1
+pinseq=11
+T 1700 1950 9 10 0 1 0 6 1
+pintype=pwr
+}
+P 2100 2300 1800 2300 1 0 0
+{
+T 1750 2300 9 10 1 1 0 7 1
+pinlabel=VSS
+T 1900 2350 5 8 1 1 0 0 1
+pinnumber=12
+T 1900 2350 5 8 0 1 0 0 1
+pinseq=12
+T 1700 2350 9 10 0 1 0 6 1
+pintype=pwr
+}
+P 2100 3100 1800 3100 1 0 0
+{
+T 1750 3100 9 10 1 1 0 7 1
+pinlabel=O2
+T 1900 3150 5 8 1 1 0 0 1
+pinnumber=13
+T 1900 3150 5 8 0 1 0 0 1
+pinseq=13
+T 1700 3150 9 10 0 1 0 6 1
+pintype=out
+}
+P 2100 3500 1800 3500 1 0 0
+{
+T 1750 3500 9 10 1 1 0 7 1
+pinlabel=B2
+T 1900 3550 5 8 1 1 0 0 1
+pinnumber=14
+T 1900 3550 5 8 0 1 0 0 1
+pinseq=14
+T 1700 3550 9 10 0 1 0 6 1
+pintype=pas
+}
+P 2100 3900 1800 3900 1 0 0
+{
+T 1750 3900 9 10 1 1 0 7 1
+pinlabel=W2
+T 1900 3950 5 8 1 1 0 0 1
+pinnumber=15
+T 1900 3950 5 8 0 1 0 0 1
+pinseq=15
+T 1700 3950 9 10 0 1 0 6 1
+pintype=pas
+}
+P 2100 4300 1800 4300 1 0 0
+{
+T 1750 4300 9 10 1 1 0 7 1
+pinlabel=A2
+T 1900 4350 5 8 1 1 0 0 1
+pinnumber=16
+T 1900 4350 5 8 0 1 0 0 1
+pinseq=16
+T 1700 4350 9 10 0 1 0 6 1
+pintype=pas
+}
diff --git a/sch-pcb/sym/ads8201-1.djboxsym b/sch-pcb/sym/ads8201-1.djboxsym
new file mode 100644 (file)
index 0000000..0c3c977
--- /dev/null
@@ -0,0 +1,50 @@
+
+--vmode
+
+[labels]
+refdes=U?
+ADS8201
+! footprint=QFN_24N__TI
+! document=ads8201.pdf
+
+[left]
+1      i       IN4
+2      i       IN5
+3      i       IN6
+4      i       IN7
+
+5      i!      \_RST\_
+6      o       BUSY/INT
+.skip 400
+
+
+[right]
+18     i       ADCIN
+
+17     p       GNDA
+16     p       REFGND
+15     p       REF
+14     p       VDDA
+13     p       VDDIO
+.skip 400
+
+
+[top]
+24     i       IN3
+23     i       IN2
+22     i       IN1
+21     i       IN0
+
+20     i       PGAREF
+19     o       PGAOUT
+
+
+[bottom]
+7      i       SCLK
+8      i!      \_CS\_
+9      i       SDI
+10     o       SDO
+11     p       DGND
+12     i!      \_CONVST\_
+
+# vim:softtabstop=0 noexpandtab ft=sh
diff --git a/sch-pcb/sym/ads8201-1.sym b/sch-pcb/sym/ads8201-1.sym
new file mode 100644 (file)
index 0000000..98c462d
--- /dev/null
@@ -0,0 +1,275 @@
+v 20060123 1
+B 300 300 2600 4200 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+T 2900 4600 9 10 0 0 0 0 1
+document=ads8201.pdf
+T 2900 4800 9 10 0 0 0 0 1
+footprint=QFN_24N__TI
+T 1600 3200 9 10 1 1 0 3 1
+refdes=U?
+T 1600 2800 9 10 1 1 0 3 1
+ADS8201
+P 0 3400 300 3400 1 0 0
+{
+T 350 3400 9 10 1 1 0 1 1
+pinlabel=IN4
+T 200 3450 5 8 1 1 0 6 1
+pinnumber=1
+T 200 3450 5 8 0 1 0 6 1
+pinseq=1
+T 200 3450 9 10 0 1 0 6 1
+pintype=in
+}
+P 0 3000 300 3000 1 0 0
+{
+T 350 3000 9 10 1 1 0 1 1
+pinlabel=IN5
+T 200 3050 5 8 1 1 0 6 1
+pinnumber=2
+T 200 3050 5 8 0 1 0 6 1
+pinseq=2
+T 200 3050 9 10 0 1 0 6 1
+pintype=in
+}
+P 0 2600 300 2600 1 0 0
+{
+T 350 2600 9 10 1 1 0 1 1
+pinlabel=IN6
+T 200 2650 5 8 1 1 0 6 1
+pinnumber=3
+T 200 2650 5 8 0 1 0 6 1
+pinseq=3
+T 200 2650 9 10 0 1 0 6 1
+pintype=in
+}
+P 0 2200 300 2200 1 0 0
+{
+T 350 2200 9 10 1 1 0 1 1
+pinlabel=IN7
+T 200 2250 5 8 1 1 0 6 1
+pinnumber=4
+T 200 2250 5 8 0 1 0 6 1
+pinseq=4
+T 200 2250 9 10 0 1 0 6 1
+pintype=in
+}
+V 250 1400 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+P 0 1400 200 1400 1 0 0
+{
+T 350 1400 9 10 1 1 0 1 1
+pinlabel=\_RST\_
+T 200 1450 5 8 1 1 0 6 1
+pinnumber=5
+T 200 1450 5 8 0 1 0 6 1
+pinseq=5
+T 200 1450 9 10 0 1 0 6 1
+pintype=in
+}
+P 0 1000 300 1000 1 0 0
+{
+T 350 1000 9 10 1 1 0 1 1
+pinlabel=BUSY/INT
+T 200 1050 5 8 1 1 0 6 1
+pinnumber=6
+T 200 1050 5 8 0 1 0 6 1
+pinseq=6
+T 200 1050 9 10 0 1 0 6 1
+pintype=out
+}
+P 600 0 600 300 1 0 0
+{
+T 600 350 9 10 1 1 90 1 1
+pinlabel=SCLK
+T 650 250 5 8 1 1 0 2 1
+pinnumber=7
+T 650 250 5 8 0 1 0 2 1
+pinseq=7
+T 500 750 9 10 0 1 0 6 1
+pintype=in
+}
+P 1000 0 1000 300 1 0 0
+{
+T 1000 350 9 10 1 1 90 1 1
+pinlabel=\_CS\_
+T 1050 250 5 8 1 1 0 2 1
+pinnumber=8
+T 1050 250 5 8 0 1 0 2 1
+pinseq=8
+T 900 750 9 10 0 1 0 6 1
+pintype=in
+}
+P 1400 0 1400 300 1 0 0
+{
+T 1400 350 9 10 1 1 90 1 1
+pinlabel=SDI
+T 1450 250 5 8 1 1 0 2 1
+pinnumber=9
+T 1450 250 5 8 0 1 0 2 1
+pinseq=9
+T 1300 750 9 10 0 1 0 6 1
+pintype=in
+}
+P 1800 0 1800 300 1 0 0
+{
+T 1800 350 9 10 1 1 90 1 1
+pinlabel=SDO
+T 1850 250 5 8 1 1 0 2 1
+pinnumber=10
+T 1850 250 5 8 0 1 0 2 1
+pinseq=10
+T 1700 750 9 10 0 1 0 6 1
+pintype=out
+}
+P 2200 0 2200 300 1 0 0
+{
+T 2200 350 9 10 1 1 90 1 1
+pinlabel=DGND
+T 2250 250 5 8 1 1 0 2 1
+pinnumber=11
+T 2250 250 5 8 0 1 0 2 1
+pinseq=11
+T 2100 750 9 10 0 1 0 6 1
+pintype=pwr
+}
+P 2600 0 2600 300 1 0 0
+{
+T 2600 350 9 10 1 1 90 1 1
+pinlabel=\_CONVST\_
+T 2650 250 5 8 1 1 0 2 1
+pinnumber=12
+T 2650 250 5 8 0 1 0 2 1
+pinseq=12
+T 2500 750 9 10 0 1 0 6 1
+pintype=in
+}
+P 3200 1000 2900 1000 1 0 0
+{
+T 2850 1000 9 10 1 1 0 7 1
+pinlabel=VDDIO
+T 3000 1050 5 8 1 1 0 0 1
+pinnumber=13
+T 3000 1050 5 8 0 1 0 0 1
+pinseq=13
+T 2800 1050 9 10 0 1 0 6 1
+pintype=pwr
+}
+P 3200 1400 2900 1400 1 0 0
+{
+T 2850 1400 9 10 1 1 0 7 1
+pinlabel=VDDA
+T 3000 1450 5 8 1 1 0 0 1
+pinnumber=14
+T 3000 1450 5 8 0 1 0 0 1
+pinseq=14
+T 2800 1450 9 10 0 1 0 6 1
+pintype=pwr
+}
+P 3200 1800 2900 1800 1 0 0
+{
+T 2850 1800 9 10 1 1 0 7 1
+pinlabel=REF
+T 3000 1850 5 8 1 1 0 0 1
+pinnumber=15
+T 3000 1850 5 8 0 1 0 0 1
+pinseq=15
+T 2800 1850 9 10 0 1 0 6 1
+pintype=pwr
+}
+P 3200 2200 2900 2200 1 0 0
+{
+T 2850 2200 9 10 1 1 0 7 1
+pinlabel=REFGND
+T 3000 2250 5 8 1 1 0 0 1
+pinnumber=16
+T 3000 2250 5 8 0 1 0 0 1
+pinseq=16
+T 2800 2250 9 10 0 1 0 6 1
+pintype=pwr
+}
+P 3200 2600 2900 2600 1 0 0
+{
+T 2850 2600 9 10 1 1 0 7 1
+pinlabel=GNDA
+T 3000 2650 5 8 1 1 0 0 1
+pinnumber=17
+T 3000 2650 5 8 0 1 0 0 1
+pinseq=17
+T 2800 2650 9 10 0 1 0 6 1
+pintype=pwr
+}
+P 3200 3400 2900 3400 1 0 0
+{
+T 2850 3400 9 10 1 1 0 7 1
+pinlabel=ADCIN
+T 3000 3450 5 8 1 1 0 0 1
+pinnumber=18
+T 3000 3450 5 8 0 1 0 0 1
+pinseq=18
+T 2800 3450 9 10 0 1 0 6 1
+pintype=in
+}
+P 2600 4800 2600 4500 1 0 0
+{
+T 2600 4450 9 10 1 1 90 7 1
+pinlabel=PGAOUT
+T 2650 4550 5 8 1 1 0 0 1
+pinnumber=19
+T 2650 4550 5 8 0 1 0 0 1
+pinseq=19
+T 2500 50 9 10 0 1 0 6 1
+pintype=out
+}
+P 2200 4800 2200 4500 1 0 0
+{
+T 2200 4450 9 10 1 1 90 7 1
+pinlabel=PGAREF
+T 2250 4550 5 8 1 1 0 0 1
+pinnumber=20
+T 2250 4550 5 8 0 1 0 0 1
+pinseq=20
+T 2100 50 9 10 0 1 0 6 1
+pintype=in
+}
+P 1800 4800 1800 4500 1 0 0
+{
+T 1800 4450 9 10 1 1 90 7 1
+pinlabel=IN0
+T 1850 4550 5 8 1 1 0 0 1
+pinnumber=21
+T 1850 4550 5 8 0 1 0 0 1
+pinseq=21
+T 1700 50 9 10 0 1 0 6 1
+pintype=in
+}
+P 1400 4800 1400 4500 1 0 0
+{
+T 1400 4450 9 10 1 1 90 7 1
+pinlabel=IN1
+T 1450 4550 5 8 1 1 0 0 1
+pinnumber=22
+T 1450 4550 5 8 0 1 0 0 1
+pinseq=22
+T 1300 50 9 10 0 1 0 6 1
+pintype=in
+}
+P 1000 4800 1000 4500 1 0 0
+{
+T 1000 4450 9 10 1 1 90 7 1
+pinlabel=IN2
+T 1050 4550 5 8 1 1 0 0 1
+pinnumber=23
+T 1050 4550 5 8 0 1 0 0 1
+pinseq=23
+T 900 50 9 10 0 1 0 6 1
+pintype=in
+}
+P 600 4800 600 4500 1 0 0
+{
+T 600 4450 9 10 1 1 90 7 1
+pinlabel=IN3
+T 650 4550 5 8 1 1 0 0 1
+pinnumber=24
+T 650 4550 5 8 0 1 0 0 1
+pinseq=24
+T 500 50 9 10 0 1 0 6 1
+pintype=in
+}
diff --git a/sch-pcb/sym/cc430f5137-1.djboxsym b/sch-pcb/sym/cc430f5137-1.djboxsym
new file mode 100644 (file)
index 0000000..efc3942
--- /dev/null
@@ -0,0 +1,32 @@
+#
+# cc430f5137
+#
+
+
+[labels]
+refdes=CC430
+CC430F5137
+RF
+1of6
+
+! footprint=QFN_48N__TI.fp
+! document=cc430f5137.pdf
+
+
+[left]
+25     i       RF_Xi
+26     o       RF_XOUT
+
+33             RBIAS
+
+
+[right]
+29     io      RF_P
+30     io      RF_N
+
+12     io      P1.1/RFGDO2
+13     io      P1.0/RFGDO0
+15     io      P3.6/RFGDO1
+
+
+# vim:softtabstop=0 noexpandtab ft=sh
diff --git a/sch-pcb/sym/cc430f5137-1.sym b/sch-pcb/sym/cc430f5137-1.sym
new file mode 100644 (file)
index 0000000..c10973a
--- /dev/null
@@ -0,0 +1,102 @@
+v 20060123 1
+B 300 300 4000 2800 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+T 4300 3200 9 10 0 0 0 0 1
+document=cc430f5137.pdf
+T 4300 3400 9 10 0 0 0 0 1
+footprint=QFN_48N__TI.fp
+T 2300 2500 9 10 1 1 0 3 1
+refdes=CC430
+T 2300 2100 9 10 1 1 0 3 1
+CC430F5137
+T 2300 1700 9 10 1 1 0 3 1
+RF
+T 2300 1300 9 10 1 1 0 3 1
+1of6
+P 4600 1500 4300 1500 1 0 0
+{
+T 4250 1500 9 10 1 1 0 7 1
+pinlabel=P1.1/RFGDO2
+T 4400 1550 5 8 1 1 0 0 1
+pinnumber=12
+T 4400 1550 5 8 0 1 0 0 1
+pinseq=12
+T 4200 1550 9 10 0 1 0 6 1
+pintype=inout
+}
+P 4600 1100 4300 1100 1 0 0
+{
+T 4250 1100 9 10 1 1 0 7 1
+pinlabel=P1.0/RFGDO0
+T 4400 1150 5 8 1 1 0 0 1
+pinnumber=13
+T 4400 1150 5 8 0 1 0 0 1
+pinseq=13
+T 4200 1150 9 10 0 1 0 6 1
+pintype=inout
+}
+P 4600 700 4300 700 1 0 0
+{
+T 4250 700 9 10 1 1 0 7 1
+pinlabel=P3.6/RFGDO1
+T 4400 750 5 8 1 1 0 0 1
+pinnumber=15
+T 4400 750 5 8 0 1 0 0 1
+pinseq=15
+T 4200 750 9 10 0 1 0 6 1
+pintype=inout
+}
+P 0 2700 300 2700 1 0 0
+{
+T 350 2700 9 10 1 1 0 1 1
+pinlabel=RF_Xi
+T 200 2750 5 8 1 1 0 6 1
+pinnumber=25
+T 200 2750 5 8 0 1 0 6 1
+pinseq=25
+T 200 2750 9 10 0 1 0 6 1
+pintype=in
+}
+P 0 2300 300 2300 1 0 0
+{
+T 350 2300 9 10 1 1 0 1 1
+pinlabel=RF_XOUT
+T 200 2350 5 8 1 1 0 6 1
+pinnumber=26
+T 200 2350 5 8 0 1 0 6 1
+pinseq=26
+T 200 2350 9 10 0 1 0 6 1
+pintype=out
+}
+P 4600 2700 4300 2700 1 0 0
+{
+T 4250 2700 9 10 1 1 0 7 1
+pinlabel=RF_P
+T 4400 2750 5 8 1 1 0 0 1
+pinnumber=29
+T 4400 2750 5 8 0 1 0 0 1
+pinseq=29
+T 4200 2750 9 10 0 1 0 6 1
+pintype=inout
+}
+P 4600 2300 4300 2300 1 0 0
+{
+T 4250 2300 9 10 1 1 0 7 1
+pinlabel=RF_N
+T 4400 2350 5 8 1 1 0 0 1
+pinnumber=30
+T 4400 2350 5 8 0 1 0 0 1
+pinseq=30
+T 4200 2350 9 10 0 1 0 6 1
+pintype=inout
+}
+P 0 1500 300 1500 1 0 0
+{
+T 350 1500 9 10 1 1 0 1 1
+pinlabel=RBIAS
+T 200 1550 5 8 1 1 0 6 1
+pinnumber=33
+T 200 1550 5 8 0 1 0 6 1
+pinseq=33
+T 200 1550 9 10 0 1 0 6 1
+pintype=pas
+}
diff --git a/sch-pcb/sym/cc430f5137-2.djboxsym b/sch-pcb/sym/cc430f5137-2.djboxsym
new file mode 100644 (file)
index 0000000..6b667ff
--- /dev/null
@@ -0,0 +1,20 @@
+#
+# cc430f5137
+#
+
+
+[labels]
+refdes=CC430
+CC430F5137
+USCI
+2of6
+
+[left]
+4      io      P1.7/UCA0CLK/UCB0STE
+5      io      P1.6/UCA0TXD/UCA0MOSI
+6      io      P1.5/UCA0RXD/UCA0MISO
+9      io      P1.4/UCB0CLK/UCA0STE
+10     io      P1.3/UCB0MOSI/UCB0SDA
+11     io      P1.2/UCB0MISO/UCB0SCL
+
+# vim:softtabstop=0 noexpandtab ft=sh
diff --git a/sch-pcb/sym/cc430f5137-2.sym b/sch-pcb/sym/cc430f5137-2.sym
new file mode 100644 (file)
index 0000000..7e10f74
--- /dev/null
@@ -0,0 +1,76 @@
+v 20060123 1
+B 300 300 6100 2800 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+T 3400 2500 9 10 1 1 0 3 1
+refdes=CC430
+T 3400 2100 9 10 1 1 0 3 1
+CC430F5137
+T 3400 1700 9 10 1 1 0 3 1
+USCI
+T 3400 1300 9 10 1 1 0 3 1
+2of6
+P 0 2700 300 2700 1 0 0
+{
+T 350 2700 9 10 1 1 0 1 1
+pinlabel=P1.7/UCA0CLK/UCB0STE
+T 200 2750 5 8 1 1 0 6 1
+pinnumber=4
+T 200 2750 5 8 0 1 0 6 1
+pinseq=4
+T 200 2750 9 10 0 1 0 6 1
+pintype=inout
+}
+P 0 2300 300 2300 1 0 0
+{
+T 350 2300 9 10 1 1 0 1 1
+pinlabel=P1.6/UCA0TXD/UCA0MOSI
+T 200 2350 5 8 1 1 0 6 1
+pinnumber=5
+T 200 2350 5 8 0 1 0 6 1
+pinseq=5
+T 200 2350 9 10 0 1 0 6 1
+pintype=inout
+}
+P 0 1900 300 1900 1 0 0
+{
+T 350 1900 9 10 1 1 0 1 1
+pinlabel=P1.5/UCA0RXD/UCA0MISO
+T 200 1950 5 8 1 1 0 6 1
+pinnumber=6
+T 200 1950 5 8 0 1 0 6 1
+pinseq=6
+T 200 1950 9 10 0 1 0 6 1
+pintype=inout
+}
+P 0 1500 300 1500 1 0 0
+{
+T 350 1500 9 10 1 1 0 1 1
+pinlabel=P1.4/UCB0CLK/UCA0STE
+T 200 1550 5 8 1 1 0 6 1
+pinnumber=9
+T 200 1550 5 8 0 1 0 6 1
+pinseq=9
+T 200 1550 9 10 0 1 0 6 1
+pintype=inout
+}
+P 0 1100 300 1100 1 0 0
+{
+T 350 1100 9 10 1 1 0 1 1
+pinlabel=P1.3/UCB0MOSI/UCB0SDA
+T 200 1150 5 8 1 1 0 6 1
+pinnumber=10
+T 200 1150 5 8 0 1 0 6 1
+pinseq=10
+T 200 1150 9 10 0 1 0 6 1
+pintype=inout
+}
+P 0 700 300 700 1 0 0
+{
+T 350 700 9 10 1 1 0 1 1
+pinlabel=P1.2/UCB0MISO/UCB0SCL
+T 200 750 5 8 1 1 0 6 1
+pinnumber=11
+T 200 750 5 8 0 1 0 6 1
+pinseq=11
+T 200 750 9 10 0 1 0 6 1
+pintype=inout
+}
diff --git a/sch-pcb/sym/cc430f5137-3.djboxsym b/sch-pcb/sym/cc430f5137-3.djboxsym
new file mode 100644 (file)
index 0000000..9a02e91
--- /dev/null
@@ -0,0 +1,22 @@
+#
+# cc430f5137
+#
+
+
+[labels]
+refdes=CC430
+CC430F5137
+Sys/JTAG/SBW
+3of6
+
+[left]
+35     io      PJ.0/TDO
+36     io      PJ.1/TDI/TCLK
+37     io      PJ.2/TMS
+38     io      PJ.3/TCK
+39     i       TEST/SBWTCK
+40     io      \_RST\_/NMI/SBWTDIO
+43     io      P5.1/XOUT
+44     io      P5.0/Xi
+
+# vim:softtabstop=0 noexpandtab ft=sh
diff --git a/sch-pcb/sym/cc430f5137-3.sym b/sch-pcb/sym/cc430f5137-3.sym
new file mode 100644 (file)
index 0000000..4b534f3
--- /dev/null
@@ -0,0 +1,98 @@
+v 20060123 1
+B 300 300 4500 3600 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+T 2600 3300 9 10 1 1 0 3 1
+refdes=CC430
+T 2600 2900 9 10 1 1 0 3 1
+CC430F5137
+T 2600 2500 9 10 1 1 0 3 1
+Sys/JTAG/SBW
+T 2600 2100 9 10 1 1 0 3 1
+3of6
+P 0 3500 300 3500 1 0 0
+{
+T 350 3500 9 10 1 1 0 1 1
+pinlabel=PJ.0/TDO
+T 200 3550 5 8 1 1 0 6 1
+pinnumber=35
+T 200 3550 5 8 0 1 0 6 1
+pinseq=35
+T 200 3550 9 10 0 1 0 6 1
+pintype=inout
+}
+P 0 3100 300 3100 1 0 0
+{
+T 350 3100 9 10 1 1 0 1 1
+pinlabel=PJ.1/TDI/TCLK
+T 200 3150 5 8 1 1 0 6 1
+pinnumber=36
+T 200 3150 5 8 0 1 0 6 1
+pinseq=36
+T 200 3150 9 10 0 1 0 6 1
+pintype=inout
+}
+P 0 2700 300 2700 1 0 0
+{
+T 350 2700 9 10 1 1 0 1 1
+pinlabel=PJ.2/TMS
+T 200 2750 5 8 1 1 0 6 1
+pinnumber=37
+T 200 2750 5 8 0 1 0 6 1
+pinseq=37
+T 200 2750 9 10 0 1 0 6 1
+pintype=inout
+}
+P 0 2300 300 2300 1 0 0
+{
+T 350 2300 9 10 1 1 0 1 1
+pinlabel=PJ.3/TCK
+T 200 2350 5 8 1 1 0 6 1
+pinnumber=38
+T 200 2350 5 8 0 1 0 6 1
+pinseq=38
+T 200 2350 9 10 0 1 0 6 1
+pintype=inout
+}
+P 0 1900 300 1900 1 0 0
+{
+T 350 1900 9 10 1 1 0 1 1
+pinlabel=TEST/SBWTCK
+T 200 1950 5 8 1 1 0 6 1
+pinnumber=39
+T 200 1950 5 8 0 1 0 6 1
+pinseq=39
+T 200 1950 9 10 0 1 0 6 1
+pintype=in
+}
+P 0 1500 300 1500 1 0 0
+{
+T 350 1500 9 10 1 1 0 1 1
+pinlabel=\_RST\_/NMI/SBWTDIO
+T 200 1550 5 8 1 1 0 6 1
+pinnumber=40
+T 200 1550 5 8 0 1 0 6 1
+pinseq=40
+T 200 1550 9 10 0 1 0 6 1
+pintype=inout
+}
+P 0 1100 300 1100 1 0 0
+{
+T 350 1100 9 10 1 1 0 1 1
+pinlabel=P5.1/XOUT
+T 200 1150 5 8 1 1 0 6 1
+pinnumber=43
+T 200 1150 5 8 0 1 0 6 1
+pinseq=43
+T 200 1150 9 10 0 1 0 6 1
+pintype=inout
+}
+P 0 700 300 700 1 0 0
+{
+T 350 700 9 10 1 1 0 1 1
+pinlabel=P5.0/Xi
+T 200 750 5 8 1 1 0 6 1
+pinnumber=44
+T 200 750 5 8 0 1 0 6 1
+pinseq=44
+T 200 750 9 10 0 1 0 6 1
+pintype=inout
+}
diff --git a/sch-pcb/sym/cc430f5137-4.djboxsym b/sch-pcb/sym/cc430f5137-4.djboxsym
new file mode 100644 (file)
index 0000000..c8c7788
--- /dev/null
@@ -0,0 +1,40 @@
+#
+# cc430f5137
+#
+
+--vmode
+
+[labels]
+refdes=CC430
+CC430F5137
+Power
+4of6
+
+[left]
+8      p       DVCC
+22     p       DVCC
+41     p       DVCC
+
+7      p       VCORE
+
+45     p       AVCC
+
+42     p       AVSS
+
+
+[right]
+27     p       AVCC_RF
+28     p       AVCC_RF
+31     p       AVCC_RF
+32     p       AVCC_RF
+34             GUARD
+
+
+
+[top]
+
+
+[bottom]
+49     p       VSS_EP
+
+# vim:softtabstop=0 noexpandtab ft=sh
diff --git a/sch-pcb/sym/cc430f5137-4.sym b/sch-pcb/sym/cc430f5137-4.sym
new file mode 100644 (file)
index 0000000..a06371e
--- /dev/null
@@ -0,0 +1,142 @@
+v 20060123 1
+B 300 300 3100 4300 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+T 1900 4000 9 10 1 1 0 3 1
+refdes=CC430
+T 1900 3600 9 10 1 1 0 3 1
+CC430F5137
+T 1900 3200 9 10 1 1 0 3 1
+Power
+T 1900 2800 9 10 1 1 0 3 1
+4of6
+P 0 2600 300 2600 1 0 0
+{
+T 350 2600 9 10 1 1 0 1 1
+pinlabel=VCORE
+T 200 2650 5 8 1 1 0 6 1
+pinnumber=7
+T 200 2650 5 8 0 1 0 6 1
+pinseq=7
+T 200 2650 9 10 0 1 0 6 1
+pintype=pwr
+}
+P 0 4200 300 4200 1 0 0
+{
+T 350 4200 9 10 1 1 0 1 1
+pinlabel=DVCC
+T 200 4250 5 8 1 1 0 6 1
+pinnumber=8
+T 200 4250 5 8 0 1 0 6 1
+pinseq=8
+T 200 4250 9 10 0 1 0 6 1
+pintype=pwr
+}
+P 0 3800 300 3800 1 0 0
+{
+T 350 3800 9 10 1 1 0 1 1
+pinlabel=DVCC
+T 200 3850 5 8 1 1 0 6 1
+pinnumber=22
+T 200 3850 5 8 0 1 0 6 1
+pinseq=22
+T 200 3850 9 10 0 1 0 6 1
+pintype=pwr
+}
+P 3700 4200 3400 4200 1 0 0
+{
+T 3350 4200 9 10 1 1 0 7 1
+pinlabel=AVCC_RF
+T 3500 4250 5 8 1 1 0 0 1
+pinnumber=27
+T 3500 4250 5 8 0 1 0 0 1
+pinseq=27
+T 3300 4250 9 10 0 1 0 6 1
+pintype=pwr
+}
+P 3700 3800 3400 3800 1 0 0
+{
+T 3350 3800 9 10 1 1 0 7 1
+pinlabel=AVCC_RF
+T 3500 3850 5 8 1 1 0 0 1
+pinnumber=28
+T 3500 3850 5 8 0 1 0 0 1
+pinseq=28
+T 3300 3850 9 10 0 1 0 6 1
+pintype=pwr
+}
+P 3700 3400 3400 3400 1 0 0
+{
+T 3350 3400 9 10 1 1 0 7 1
+pinlabel=AVCC_RF
+T 3500 3450 5 8 1 1 0 0 1
+pinnumber=31
+T 3500 3450 5 8 0 1 0 0 1
+pinseq=31
+T 3300 3450 9 10 0 1 0 6 1
+pintype=pwr
+}
+P 3700 3000 3400 3000 1 0 0
+{
+T 3350 3000 9 10 1 1 0 7 1
+pinlabel=AVCC_RF
+T 3500 3050 5 8 1 1 0 0 1
+pinnumber=32
+T 3500 3050 5 8 0 1 0 0 1
+pinseq=32
+T 3300 3050 9 10 0 1 0 6 1
+pintype=pwr
+}
+P 3700 2600 3400 2600 1 0 0
+{
+T 3350 2600 9 10 1 1 0 7 1
+pinlabel=GUARD
+T 3500 2650 5 8 1 1 0 0 1
+pinnumber=34
+T 3500 2650 5 8 0 1 0 0 1
+pinseq=34
+T 3300 2650 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 3400 300 3400 1 0 0
+{
+T 350 3400 9 10 1 1 0 1 1
+pinlabel=DVCC
+T 200 3450 5 8 1 1 0 6 1
+pinnumber=41
+T 200 3450 5 8 0 1 0 6 1
+pinseq=41
+T 200 3450 9 10 0 1 0 6 1
+pintype=pwr
+}
+P 0 1000 300 1000 1 0 0
+{
+T 350 1000 9 10 1 1 0 1 1
+pinlabel=AVSS
+T 200 1050 5 8 1 1 0 6 1
+pinnumber=42
+T 200 1050 5 8 0 1 0 6 1
+pinseq=42
+T 200 1050 9 10 0 1 0 6 1
+pintype=pwr
+}
+P 0 1800 300 1800 1 0 0
+{
+T 350 1800 9 10 1 1 0 1 1
+pinlabel=AVCC
+T 200 1850 5 8 1 1 0 6 1
+pinnumber=45
+T 200 1850 5 8 0 1 0 6 1
+pinseq=45
+T 200 1850 9 10 0 1 0 6 1
+pintype=pwr
+}
+P 1900 0 1900 300 1 0 0
+{
+T 1900 350 9 10 1 1 90 1 1
+pinlabel=VSS_EP
+T 1950 250 5 8 1 1 0 2 1
+pinnumber=49
+T 1950 250 5 8 0 1 0 2 1
+pinseq=49
+T 1800 750 9 10 0 1 0 6 1
+pintype=pwr
+}
diff --git a/sch-pcb/sym/cc430f5137-5.djboxsym b/sch-pcb/sym/cc430f5137-5.djboxsym
new file mode 100644 (file)
index 0000000..e248501
--- /dev/null
@@ -0,0 +1,20 @@
+#
+# cc430f5137
+#
+
+
+[labels]
+refdes=CC430
+CC430F5137
+Analog
+5of6
+
+[left]
+46     io      P2.5/SVMOUT/CB5/A5/VREF+/VeREF+
+47     io      P2.4/RTCCLK/CB4/A4/VREF-/VeREF-
+48     io      P2.3/TA1CCR2A/CB3/A3
+1      io      P2.2/TA1CCR1A/CB2/A2
+2      io      P2.1/TA1CCR0A/CB1/A1
+3      io      P2.0/CBOUT1/TA1CLK/CB0/A0
+
+# vim:softtabstop=0 noexpandtab ft=sh
diff --git a/sch-pcb/sym/cc430f5137-5.sym b/sch-pcb/sym/cc430f5137-5.sym
new file mode 100644 (file)
index 0000000..c742f20
--- /dev/null
@@ -0,0 +1,76 @@
+v 20060123 1
+B 300 300 8300 2800 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+T 4500 2500 9 10 1 1 0 3 1
+refdes=CC430
+T 4500 2100 9 10 1 1 0 3 1
+CC430F5137
+T 4500 1700 9 10 1 1 0 3 1
+Analog
+T 4500 1300 9 10 1 1 0 3 1
+5of6
+P 0 1500 300 1500 1 0 0
+{
+T 350 1500 9 10 1 1 0 1 1
+pinlabel=P2.2/TA1CCR1A/CB2/A2
+T 200 1550 5 8 1 1 0 6 1
+pinnumber=1
+T 200 1550 5 8 0 1 0 6 1
+pinseq=1
+T 200 1550 9 10 0 1 0 6 1
+pintype=inout
+}
+P 0 1100 300 1100 1 0 0
+{
+T 350 1100 9 10 1 1 0 1 1
+pinlabel=P2.1/TA1CCR0A/CB1/A1
+T 200 1150 5 8 1 1 0 6 1
+pinnumber=2
+T 200 1150 5 8 0 1 0 6 1
+pinseq=2
+T 200 1150 9 10 0 1 0 6 1
+pintype=inout
+}
+P 0 700 300 700 1 0 0
+{
+T 350 700 9 10 1 1 0 1 1
+pinlabel=P2.0/CBOUT1/TA1CLK/CB0/A0
+T 200 750 5 8 1 1 0 6 1
+pinnumber=3
+T 200 750 5 8 0 1 0 6 1
+pinseq=3
+T 200 750 9 10 0 1 0 6 1
+pintype=inout
+}
+P 0 2700 300 2700 1 0 0
+{
+T 350 2700 9 10 1 1 0 1 1
+pinlabel=P2.5/SVMOUT/CB5/A5/VREF+/VeREF+
+T 200 2750 5 8 1 1 0 6 1
+pinnumber=46
+T 200 2750 5 8 0 1 0 6 1
+pinseq=46
+T 200 2750 9 10 0 1 0 6 1
+pintype=inout
+}
+P 0 2300 300 2300 1 0 0
+{
+T 350 2300 9 10 1 1 0 1 1
+pinlabel=P2.4/RTCCLK/CB4/A4/VREF-/VeREF-
+T 200 2350 5 8 1 1 0 6 1
+pinnumber=47
+T 200 2350 5 8 0 1 0 6 1
+pinseq=47
+T 200 2350 9 10 0 1 0 6 1
+pintype=inout
+}
+P 0 1900 300 1900 1 0 0
+{
+T 350 1900 9 10 1 1 0 1 1
+pinlabel=P2.3/TA1CCR2A/CB3/A3
+T 200 1950 5 8 1 1 0 6 1
+pinnumber=48
+T 200 1950 5 8 0 1 0 6 1
+pinseq=48
+T 200 1950 9 10 0 1 0 6 1
+pintype=inout
+}
diff --git a/sch-pcb/sym/cc430f5137-6.djboxsym b/sch-pcb/sym/cc430f5137-6.djboxsym
new file mode 100644 (file)
index 0000000..9a073ce
--- /dev/null
@@ -0,0 +1,23 @@
+#
+# cc430f5137
+#
+
+
+[labels]
+refdes=CC430
+CC430F5137
+Timer/GP
+6of6
+
+[right]
+14     io      P3.7/SMCLK
+16     io      P3.5/TA0CCR4A
+17     io      P3.4/TA0CCR3A
+18     io      P3.3/TA0CCR2A
+19     io      P3.2/TA0CCR1A
+20     io      P3.1/TA0CCR0A
+21     io      P3.0/CBOUT0/TA0CLK
+23     io      P2.7/ADC12CLK/DMAE0
+24     io      P2.6/ACLK
+
+# vim:softtabstop=0 noexpandtab ft=sh
diff --git a/sch-pcb/sym/cc430f5137-6.sym b/sch-pcb/sym/cc430f5137-6.sym
new file mode 100644 (file)
index 0000000..fb1c1ad
--- /dev/null
@@ -0,0 +1,109 @@
+v 20060123 1
+B 300 300 4300 4000 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+T 2500 3700 9 10 1 1 0 3 1
+refdes=CC430
+T 2500 3300 9 10 1 1 0 3 1
+CC430F5137
+T 2500 2900 9 10 1 1 0 3 1
+Timer/GP
+T 2500 2500 9 10 1 1 0 3 1
+6of6
+P 4900 3900 4600 3900 1 0 0
+{
+T 4550 3900 9 10 1 1 0 7 1
+pinlabel=P3.7/SMCLK
+T 4700 3950 5 8 1 1 0 0 1
+pinnumber=14
+T 4700 3950 5 8 0 1 0 0 1
+pinseq=14
+T 4500 3950 9 10 0 1 0 6 1
+pintype=inout
+}
+P 4900 3500 4600 3500 1 0 0
+{
+T 4550 3500 9 10 1 1 0 7 1
+pinlabel=P3.5/TA0CCR4A
+T 4700 3550 5 8 1 1 0 0 1
+pinnumber=16
+T 4700 3550 5 8 0 1 0 0 1
+pinseq=16
+T 4500 3550 9 10 0 1 0 6 1
+pintype=inout
+}
+P 4900 3100 4600 3100 1 0 0
+{
+T 4550 3100 9 10 1 1 0 7 1
+pinlabel=P3.4/TA0CCR3A
+T 4700 3150 5 8 1 1 0 0 1
+pinnumber=17
+T 4700 3150 5 8 0 1 0 0 1
+pinseq=17
+T 4500 3150 9 10 0 1 0 6 1
+pintype=inout
+}
+P 4900 2700 4600 2700 1 0 0
+{
+T 4550 2700 9 10 1 1 0 7 1
+pinlabel=P3.3/TA0CCR2A
+T 4700 2750 5 8 1 1 0 0 1
+pinnumber=18
+T 4700 2750 5 8 0 1 0 0 1
+pinseq=18
+T 4500 2750 9 10 0 1 0 6 1
+pintype=inout
+}
+P 4900 2300 4600 2300 1 0 0
+{
+T 4550 2300 9 10 1 1 0 7 1
+pinlabel=P3.2/TA0CCR1A
+T 4700 2350 5 8 1 1 0 0 1
+pinnumber=19
+T 4700 2350 5 8 0 1 0 0 1
+pinseq=19
+T 4500 2350 9 10 0 1 0 6 1
+pintype=inout
+}
+P 4900 1900 4600 1900 1 0 0
+{
+T 4550 1900 9 10 1 1 0 7 1
+pinlabel=P3.1/TA0CCR0A
+T 4700 1950 5 8 1 1 0 0 1
+pinnumber=20
+T 4700 1950 5 8 0 1 0 0 1
+pinseq=20
+T 4500 1950 9 10 0 1 0 6 1
+pintype=inout
+}
+P 4900 1500 4600 1500 1 0 0
+{
+T 4550 1500 9 10 1 1 0 7 1
+pinlabel=P3.0/CBOUT0/TA0CLK
+T 4700 1550 5 8 1 1 0 0 1
+pinnumber=21
+T 4700 1550 5 8 0 1 0 0 1
+pinseq=21
+T 4500 1550 9 10 0 1 0 6 1
+pintype=inout
+}
+P 4900 1100 4600 1100 1 0 0
+{
+T 4550 1100 9 10 1 1 0 7 1
+pinlabel=P2.7/ADC12CLK/DMAE0
+T 4700 1150 5 8 1 1 0 0 1
+pinnumber=23
+T 4700 1150 5 8 0 1 0 0 1
+pinseq=23
+T 4500 1150 9 10 0 1 0 6 1
+pintype=inout
+}
+P 4900 700 4600 700 1 0 0
+{
+T 4550 700 9 10 1 1 0 7 1
+pinlabel=P2.6/ACLK
+T 4700 750 5 8 1 1 0 0 1
+pinnumber=24
+T 4700 750 5 8 0 1 0 0 1
+pinseq=24
+T 4500 750 9 10 0 1 0 6 1
+pintype=inout
+}
diff --git a/sch-pcb/sym/dac8568-1.djboxsym b/sch-pcb/sym/dac8568-1.djboxsym
new file mode 100644 (file)
index 0000000..6c03fc1
--- /dev/null
@@ -0,0 +1,43 @@
+
+--vmode
+
+[labels]
+refdes=U?
+DAC8568
+! footprint=TSSOP_16N__TI
+! document=dac8586.pdf
+
+
+[left]
+1      i!      \_LDAC\_
+2      i!      \_SYNC\_
+
+4      o       Vout0
+5      o       Vout2
+6      o       Vout4
+7      o       Vout6
+
+8      o       Vref
+
+
+[right]
+16     i       SCLK
+15     i       DIN
+
+13     o       Vout1
+12     o       Vout3
+11     o       Vout5
+10     o       Vout7
+
+9      i!      \_CLR\_
+
+
+[top]
+3      p       AVDD
+
+
+[bottom]
+14     p       GND
+
+
+# vim:softtabstop=0 noexpandtab ft=sh
diff --git a/sch-pcb/sym/dac8568-1.sym b/sch-pcb/sym/dac8568-1.sym
new file mode 100644 (file)
index 0000000..6e520e9
--- /dev/null
@@ -0,0 +1,189 @@
+v 20060123 1
+B 300 300 2300 4500 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+T 2600 4900 9 10 0 0 0 0 1
+document=dac8586.pdf
+T 2600 5100 9 10 0 0 0 0 1
+footprint=TSSOP_16N__TI
+T 1500 3700 9 10 1 1 0 3 1
+refdes=U?
+T 1500 3300 9 10 1 1 0 3 1
+DAC8568
+V 250 3900 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+P 0 3900 200 3900 1 0 0
+{
+T 350 3900 9 10 1 1 0 1 1
+pinlabel=\_LDAC\_
+T 200 3950 5 8 1 1 0 6 1
+pinnumber=1
+T 200 3950 5 8 0 1 0 6 1
+pinseq=1
+T 200 3950 9 10 0 1 0 6 1
+pintype=in
+}
+V 250 3500 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+P 0 3500 200 3500 1 0 0
+{
+T 350 3500 9 10 1 1 0 1 1
+pinlabel=\_SYNC\_
+T 200 3550 5 8 1 1 0 6 1
+pinnumber=2
+T 200 3550 5 8 0 1 0 6 1
+pinseq=2
+T 200 3550 9 10 0 1 0 6 1
+pintype=in
+}
+P 1500 5100 1500 4800 1 0 0
+{
+T 1500 4750 9 10 1 1 90 7 1
+pinlabel=AVDD
+T 1550 4850 5 8 1 1 0 0 1
+pinnumber=3
+T 1550 4850 5 8 0 1 0 0 1
+pinseq=3
+T 1400 50 9 10 0 1 0 6 1
+pintype=pwr
+}
+P 0 2700 300 2700 1 0 0
+{
+T 350 2700 9 10 1 1 0 1 1
+pinlabel=Vout0
+T 200 2750 5 8 1 1 0 6 1
+pinnumber=4
+T 200 2750 5 8 0 1 0 6 1
+pinseq=4
+T 200 2750 9 10 0 1 0 6 1
+pintype=out
+}
+P 0 2300 300 2300 1 0 0
+{
+T 350 2300 9 10 1 1 0 1 1
+pinlabel=Vout2
+T 200 2350 5 8 1 1 0 6 1
+pinnumber=5
+T 200 2350 5 8 0 1 0 6 1
+pinseq=5
+T 200 2350 9 10 0 1 0 6 1
+pintype=out
+}
+P 0 1900 300 1900 1 0 0
+{
+T 350 1900 9 10 1 1 0 1 1
+pinlabel=Vout4
+T 200 1950 5 8 1 1 0 6 1
+pinnumber=6
+T 200 1950 5 8 0 1 0 6 1
+pinseq=6
+T 200 1950 9 10 0 1 0 6 1
+pintype=out
+}
+P 0 1500 300 1500 1 0 0
+{
+T 350 1500 9 10 1 1 0 1 1
+pinlabel=Vout6
+T 200 1550 5 8 1 1 0 6 1
+pinnumber=7
+T 200 1550 5 8 0 1 0 6 1
+pinseq=7
+T 200 1550 9 10 0 1 0 6 1
+pintype=out
+}
+P 0 700 300 700 1 0 0
+{
+T 350 700 9 10 1 1 0 1 1
+pinlabel=Vref
+T 200 750 5 8 1 1 0 6 1
+pinnumber=8
+T 200 750 5 8 0 1 0 6 1
+pinseq=8
+T 200 750 9 10 0 1 0 6 1
+pintype=out
+}
+V 2650 700 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+P 2900 700 2700 700 1 0 0
+{
+T 2550 700 9 10 1 1 0 7 1
+pinlabel=\_CLR\_
+T 2700 750 5 8 1 1 0 0 1
+pinnumber=9
+T 2700 750 5 8 0 1 0 0 1
+pinseq=9
+T 2500 750 9 10 0 1 0 6 1
+pintype=in
+}
+P 2900 1500 2600 1500 1 0 0
+{
+T 2550 1500 9 10 1 1 0 7 1
+pinlabel=Vout7
+T 2700 1550 5 8 1 1 0 0 1
+pinnumber=10
+T 2700 1550 5 8 0 1 0 0 1
+pinseq=10
+T 2500 1550 9 10 0 1 0 6 1
+pintype=out
+}
+P 2900 1900 2600 1900 1 0 0
+{
+T 2550 1900 9 10 1 1 0 7 1
+pinlabel=Vout5
+T 2700 1950 5 8 1 1 0 0 1
+pinnumber=11
+T 2700 1950 5 8 0 1 0 0 1
+pinseq=11
+T 2500 1950 9 10 0 1 0 6 1
+pintype=out
+}
+P 2900 2300 2600 2300 1 0 0
+{
+T 2550 2300 9 10 1 1 0 7 1
+pinlabel=Vout3
+T 2700 2350 5 8 1 1 0 0 1
+pinnumber=12
+T 2700 2350 5 8 0 1 0 0 1
+pinseq=12
+T 2500 2350 9 10 0 1 0 6 1
+pintype=out
+}
+P 2900 2700 2600 2700 1 0 0
+{
+T 2550 2700 9 10 1 1 0 7 1
+pinlabel=Vout1
+T 2700 2750 5 8 1 1 0 0 1
+pinnumber=13
+T 2700 2750 5 8 0 1 0 0 1
+pinseq=13
+T 2500 2750 9 10 0 1 0 6 1
+pintype=out
+}
+P 1500 0 1500 300 1 0 0
+{
+T 1500 350 9 10 1 1 90 1 1
+pinlabel=GND
+T 1550 250 5 8 1 1 0 2 1
+pinnumber=14
+T 1550 250 5 8 0 1 0 2 1
+pinseq=14
+T 1400 450 9 10 0 1 0 6 1
+pintype=pwr
+}
+P 2900 3500 2600 3500 1 0 0
+{
+T 2550 3500 9 10 1 1 0 7 1
+pinlabel=DIN
+T 2700 3550 5 8 1 1 0 0 1
+pinnumber=15
+T 2700 3550 5 8 0 1 0 0 1
+pinseq=15
+T 2500 3550 9 10 0 1 0 6 1
+pintype=in
+}
+P 2900 3900 2600 3900 1 0 0
+{
+T 2550 3900 9 10 1 1 0 7 1
+pinlabel=SCLK
+T 2700 3950 5 8 1 1 0 0 1
+pinnumber=16
+T 2700 3950 5 8 0 1 0 0 1
+pinseq=16
+T 2500 3950 9 10 0 1 0 6 1
+pintype=in
+}
diff --git a/sch-pcb/sym/djboxsym b/sch-pcb/sym/djboxsym
new file mode 100755 (executable)
index 0000000..688c0fd
--- /dev/null
@@ -0,0 +1,474 @@
+#!/usr/bin/perl
+# -*- perl -*-
+
+# Copyright (C) 2006 DJ Delorie dj@delorie.com
+# Released under the terms of the GNU General Public License, version 2
+
+# Usage: djboxsym sample.symdef > sample.sym
+
+$y{left} = 400;
+$y{right} = 400;
+$y{labels} = 600;
+$labelpin = 0;
+
+$busspace = 200;
+$groupspace = 400;
+$skipspace = 800;
+$yinvert = 300;
+$minwidth = 0;
+
+# If set, top and bottom labels are vertical.
+$vmode = 0;
+
+# Read in the file, storing information about each pin.
+
+while (<>) {
+    next if /^#/;
+    s/^\s+//;
+    s/\s+$//;
+    s/[\s\t]+/ /g;
+    s/[\r\n]+$//;
+
+    # options
+    if (/^--(\S*)\s*(.*)/) {
+       $opt = $1;
+       @args = split(' ', $2);
+       ($opt, $value) = split(' ', $opt, 2);
+       if ($opt eq "vmode") {
+           $vmode = 1;
+#          $y{left} = $y{right} = 0;
+       }
+       if ($opt eq "square") {
+           $square = 1;
+       }
+       if ($opt eq "minwidth") {
+           $minwidth = $args[0];
+       }
+       if ($opt eq "compact") {
+           $groupspace = 200;
+           $skipspace = 400;
+           $yinvert = 400;
+           $compactmode = 1;
+       }
+       next;
+    }
+
+    # Note change of section.
+    if (/^\[(.*)\]/) {
+       $side = $1;
+       $space = 0;
+       next;
+    }
+
+    # Start a bus
+    if (/^\.bus/) {
+       $busmode = 1;
+       next;
+    }
+
+    # blank lines - cancel bus, add gap.
+    if (! /\S/) {
+       if ($busmode) {
+           $y{$side} += $busspace;
+       }
+       $busmode = 0;
+       if ($space) {
+           if ($side =~ /left|right/) {
+               $y{$side} += $groupspace;
+           }
+           if ($side =~ /top|bottom/) {
+               $x{$side} += 400;
+           }
+           $space = 0;
+       }
+       next;
+    }
+
+    if (/^\.skip (\d+)/) {
+       $skip = $1;
+       $space = 0;
+       if ($side =~ /left|right/) {
+           $y{$side} += $skip;
+       }
+       next;
+    }
+
+    # Hidden labels are stored separately, because we don't care how
+    # big they are.
+    if (/! (\S.*)/ && $side eq "labels") {
+       push(@attrs, $1);
+       next;
+    }
+
+    # Visible labels are stored as pins because their size affects the
+    # size of the symbols' box.
+    if (/\S/ && $side eq "labels") {
+       $labelpin --;
+       $pinside{$labelpin} = $side;
+       $piny{$labelpin} = $y{labels};
+       $pinlabel{$labelpin} = $_;
+       $y{labels} += $groupspace;
+       $rlen{$labelpin} = &textlen($_);
+       next;
+    }
+
+    # Regular pins are handled here.
+    if (/^(\S+)\s*(.*)/) {
+       $space = 1;
+       ($pin, $rest) = ($1,$2);
+
+       if ($pin =~ /^\d+$/) {
+           $pinseq_used[$pin] = 1;
+       }
+
+       if ($saw_pin{$pin}) {
+           print STDERR "DUPLICATE PIN $pin (was $pinlabel{$pin}, now $rest)\n";
+           $errors ++;
+       }
+       $saw_pin{$pin} = 1;
+       $maxpin = $pin if $maxpin < $pin;
+
+       $pinside{$pin} = $side;
+       $pintype{$pin} = "pas";
+       next if $side eq "nc";
+       if ($rest =~ /^([!>iop]+) (.*)/) {
+           $flags = $1;
+           $pinlabel{$pin} = $2;
+           $bubble{$pin} = 1 if $flags =~ /!/;
+           $edge{$pin} = 1 if $flags =~ />/;
+           $pintype{$pin} = "in" if $flags =~ /i/;
+           $pintype{$pin} = "out" if $flags =~ /o/;
+           $pintype{$pin} = "pwr" if $flags =~ /p/;
+           $pintype{$pin} = "inout" if $flags =~ /io/;
+       } else {
+           $pinlabel{$pin} = $rest;
+       }
+       $rlen{$pin} = &textlen($pinlabel{$pin});
+
+       if ($side =~ /left|right/) {
+           $y = $piny{$pin} = $y{$side};
+           $y{$side} += ($busmode ? $busspace : $groupspace);
+       }
+       if ($side =~ /top|bottom/) {
+           $tw = &alignpin((200 + $rlen{$pin}) / 2);
+           if ($vmode) {
+               $pinx{$pin} = $w{$side};
+               $w{$side} += (($busmode || $compactmode) ? 200 : 400);
+           } else {
+               $pinx{$pin} = $w{$side} + $tw;
+               $w{$side} += $tw + $tw;
+           }
+       }
+
+    }
+
+}
+
+$pinseq = 1;
+
+$minpin = $labelpin;
+$boxwidth = $minwidth;
+%bw = ();
+
+# for each horizontal slice of the symbol, keep track of how much
+# width is used up by the left, middle, and right labels.
+for $lp (keys %pinside) {
+    next unless $pinside{$lp} =~ /left|right|label/;
+    $yb = &alignpin($piny{$lp});
+    for ($y=$yb-300; $y<=$yb+300; $y+=100) {
+       if ($bw{$y}{$pinside{$lp}} < $rlen{$lp}) {
+           $bw{$y}{$pinside{$lp}} = $rlen{$lp};
+       }
+    }
+}
+
+# Compute the height of the box. 
+for $p (keys %pinside) {
+    next unless $pinside{$p} =~ /left|right/;
+    if ($maxy < $piny{$p}) {
+       $maxy = $piny{$p};
+    }
+}
+if (! $vmode) {
+    $maxy += $groupspace;
+}
+
+# Now, use the slice widths to compute the minimum width of the box.
+for ($i=0; $i<$maxy; $i+=100) {
+    $w = $bw{$i}{left} + $bw{$i}{labels} + $bw{$i}{right};
+    if ($bw{$i}{labels}) {
+       $wl = ($bw{$i}{left} + $bw{$i}{labels}/2) * 2;
+       $w = $wl if $w < $wl;
+       $wl = ($bw{$i}{right} + $bw{$i}{labels}/2) * 2;
+       $w = $wl if $w < $wl;
+    }
+    if ($bw{$i}{left} && $bw{$i}{labels}) {
+       $w += 100;
+    } elsif ($bw{$i}{left} && $bw{$i}{right}) {
+       $w += 200;
+    }
+    if ($bw{$i}{right} && $bw{$i}{labels}) {
+       $w += 100;
+    }
+    if ($boxwidth < $w) {
+       $boxwidth = $w;
+    }
+}
+
+$boxwidth = $w{top} if $boxwidth < $w{top};
+$boxwidth = $w{bottom} if $boxwidth < $w{bottom};
+
+# Flip Y coordinates (we count from the top, but symbols coordinates
+# are from the bottom).
+for $p (keys %pinside) {
+    next unless $pinside{$p} =~ /left|right|labels/;
+    $piny{$p} = $maxy - $piny{$p} + $yinvert;
+}
+
+$boxwidth = &alignpin($boxwidth);
+$boxwidth += 200;
+
+# Adjust the position of the top/bottom pins so that, as a group,
+# they're centered.
+%maxh = ();
+for $p (keys %pinside) {
+    next unless $pinside{$p} =~ /top|bottom/;
+    $pinx{$p} += &alignpin(($boxwidth - $w{$pinside{$p}})/2) + 300;
+    if ($vmode) {
+       $pinx{$p} += 200;
+       $h = &textlen($pinlabel{$p});
+       $maxh{$pinside{$p}} = $h if $maxh{$pinside{$p}} < $h;
+    }
+}
+
+if ($vmode) {
+    $dy = &alignpin($maxh{bottom});
+    for $lp ($minpin..-1) {
+       $piny{$lp} += $dy;
+    }
+    for $p (keys %pinside) {
+       if ($pinside{$p} =~ /left|right|bottom/) {
+           $piny{$p} += $dy;
+       }
+    }
+    $maxy += $dy + &alignpin ($maxh{top});
+}
+
+if ($square) {
+    if ($boxwidth < $maxy) {
+       $delta = $maxy - $boxwidth;
+       $delta = &alignpin($delta / 2);
+       $boxwidth = $maxy;
+       for $p (keys %pinside) {
+           if ($pinside{$p} =~ /top|bottom/) {
+               $pinx{$p} += $delta;
+           }
+       }
+    }
+    if ($maxy < $boxwidth) {
+       $maxy = $boxwidth;
+    }
+}
+
+# Labels are centered in the box.
+for $lp ($minpin..-1) {
+    $pinx{$lp} = &alignpin($boxwidth/2) + 300;
+}
+
+# Version.
+print "v 20060123 1\n";
+
+# Symbol box.
+printf("B %d %d %d %d 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1\n",
+       300, 300, $boxwidth, $maxy);
+
+# These are the hidden labels.
+$ax = 300 + $boxwidth;
+$ay = 400 + $maxy;
+for $a (reverse @attrs) {
+    printf("T %d %d 9 10 0 0 0 0 1\n%s\n",
+          $ax, $ay, $a);
+    $ay += 200;
+}
+
+sub pinsort {
+    my ($a, $b) = @_;
+    $a =~ tr/a-z/A-Z/;
+    $b =~ tr/a-z/A-Z/;
+    $a =~ s/(\d+)/sprintf("%06d", $1);/ge;
+    $b =~ s/(\d+)/sprintf("%06d", $1);/ge;
+    return $a cmp $b;
+}
+
+# Now print all the pins.
+for $p (sort {&pinsort($a,$b)} keys %pinside) {
+    next unless $pinside{$p};
+    if ($pinside{$p} eq "left") {
+       $pinx{$p} = 300;
+    }
+    if ($pinside{$p} eq "right") {
+       $pinx{$p} = 300 + $boxwidth;
+    }
+    if ($p > 0 && !$saw_pin{$p}) {
+       print STDERR "MISSING PIN $p\n";
+       $errors++;
+    } else {
+       printf STDERR ("%3s  %-6s  %4d %4d  %s\n",
+                      $p, $pinside{$p}, $pinx{$p}, $piny{$p}, $pinlabel{$p});
+    }
+
+    eval "&drawpin_$pinside{\"$p\"} (\"$p\")";
+}
+
+# what remains are helper functions; for drawing each type of pin,
+# each type of label, etc.
+
+sub drawpin_nc {
+}
+
+sub drawpin_top {
+    my($pin) = @_;
+    $y = $maxy + 300;
+    printf("P %d %d %d %d 1 0 0\n",
+          $pinx{$pin}, $y+300, $pinx{$pin}, $y);
+    print "{\n";
+    if ($vmode) {
+       &pvltext($pinx{$pin}, $y-50, 7, $pinlabel{$pin});
+    } else {
+       &pltext($pinx{$pin}, $y-50, 5, $pinlabel{$pin});
+    }
+    &ntext($pinx{$pin}+50, $y+50, 0, $pin);
+    &pttext($pinx{$pin} -100, $piny{$pin} + 50, 6, $pintype{$pin});
+    print "}\n";
+}
+
+sub drawpin_bottom {
+    my($pin) = @_;
+    printf("P %d %d %d %d 1 0 0\n",
+          $pinx{$pin}, 0, $pinx{$pin}, 300);
+    print "{\n";
+    if ($vmode) {
+       &pvltext($pinx{$pin}, 350, 1, $pinlabel{$pin});
+    } else {
+       &pltext($pinx{$pin}, 350, 3, $pinlabel{$pin});
+    }
+    &ntext($pinx{$pin}+50, 250, 2, $pin);
+    &pttext($pinx{$pin} -100, $piny{$pin} + 50, 6, $pintype{$pin});
+    print "}\n";
+}
+
+sub drawpin_labels {
+    my($pin) = @_;
+    &ltext($pinx{$pin}, $piny{$pin}, 3, $pinlabel{$pin});
+}
+
+sub circle {
+    my ($x, $y) = @_;
+    # color 6 (logic bubble)
+    print "V $x $y 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1\n";
+}
+
+sub drawpin_left {
+    my($pin) = @_;
+    $x = $pinx{$pin};
+    $px = 50;
+    if ($bubble{$pin}) {
+       $x -= 100;
+       &circle($x+50, $piny{$pin});
+    }
+    if ($edge{$pin}) {
+       $px += 100;
+       printf("L %d %d %d %d 3 0 0 0 0 0\n",
+              $pinx{$pin}, $piny{$pin}-50,
+              $pinx{$pin}+100, $piny{$pin});
+       printf("L %d %d %d %d 3 0 0 0 0 0\n",
+              $pinx{$pin}+100, $piny{$pin},
+              $pinx{$pin}, $piny{$pin}+50);
+
+    }
+    printf("P %d %d %d %d 1 0 0\n",
+          $pinx{$pin} - 300, $piny{$pin}, $x, $piny{$pin});
+    print "{\n";
+    &pltext($pinx{$pin} + $px, $piny{$pin}, 1, $pinlabel{$pin});
+    &ntext($pinx{$pin} -100, $piny{$pin} + 50, 6, $pin);
+    &pttext($pinx{$pin} -100, $piny{$pin} + 50, 6, $pintype{$pin});
+    print "}\n";
+}
+
+sub drawpin_right {
+    my($pin) = @_;
+    $x = $pinx{$pin};
+    $px = 50;
+    if ($bubble{$pin}) {
+       $x += 100;
+       &circle($x-50, $piny{$pin});
+    }
+    if ($edge{$pin}) {
+       $px += 100;
+       printf("L %d %d %d %d 3 0 0 0 0 0\n",
+              $pinx{$pin}, $piny{$pin}-50,
+              $pinx{$pin}-100, $piny{$pin});
+       printf("L %d %d %d %d 3 0 0 0 0 0\n",
+              $pinx{$pin}-100, $piny{$pin},
+              $pinx{$pin}, $piny{$pin}+50);
+
+    }
+    printf("P %d %d %d %d 1 0 0\n",
+          $pinx{$pin} + 300, $piny{$pin}, $x, $piny{$pin});
+    print "{\n";
+    &pltext($pinx{$pin} - $px, $piny{$pin}, 7, $pinlabel{$pin});
+    &ntext($pinx{$pin} +100, $piny{$pin} + 50, 0, $pin);
+    &pttext($pinx{$pin} -100, $piny{$pin} + 50, 6, $pintype{$pin});
+    print "}\n";
+}
+
+sub ntext {
+    my ($x, $y, $a, $s) = @_;
+    printf("T %d %d 5 8 1 1 0 %s 1\npinnumber=%s\n", $x, $y, $a, $s);
+    if ($s =~ /^\d+$/) {
+       $my_pinseq = $s;
+    } else {
+       while ($pinseq_used[$pinseq]) {
+           $pinseq ++;
+       }
+       $pinseq_used[$pinseq] = 1;
+       $my_pinseq = $pinseq;
+    }
+    printf("T %d %d 5 8 0 1 0 %s 1\npinseq=%d\n", $x, $y, $a, $my_pinseq);
+}
+
+sub pttext {
+    my ($x, $y, $a, $s) = @_;
+    printf("T %d %d 9 10 0 1 0 %s 1\npintype=%s\n", $x, $y, $a, $s);
+}
+
+sub pltext {
+    my ($x, $y, $a, $s) = @_;
+    $s = "pinlabel=$s" unless $s =~ /=/;
+    printf("T %d %d 9 10 1 1 0 %s 1\n%s\n", $x, $y, $a, $s);
+}
+
+sub pvltext {
+    my ($x, $y, $a, $s) = @_;
+    $s = "pinlabel=$s" unless $s =~ /=/;
+    printf("T %d %d 9 10 1 1 90 %s 1\n%s\n", $x, $y, $a, $s);
+}
+
+sub ltext {
+    my ($x, $y, $a, $s) = @_;
+    printf("T %d %d 9 10 1 1 0 %s 1\n%s\n", $x, $y, $a, $s);
+}
+
+sub textlen {
+    my($t) = @_;
+    $t =~ s/^[^=]*=//;
+    $t =~ s@\\_@@g;
+    return length($t) * 110;
+}
+
+sub alignpin {
+    my($v) = @_;
+    return int(($v + 99) / 100) * 100;
+}
+
+exit $errors;
diff --git a/sch-pcb/sym/m25pe80-1.djboxsym b/sch-pcb/sym/m25pe80-1.djboxsym
new file mode 100644 (file)
index 0000000..d44f18c
--- /dev/null
@@ -0,0 +1,31 @@
+
+
+[labels]
+refdes=U?
+M25PE80
+! footprint=SO8
+! document=M25PE80.pdf
+
+
+[left]
+1      i!      \_S\_
+3      i!      \_W\_
+5      i       D
+6      i       C
+
+7      i!      \_Reset\_
+
+
+[right]
+2      o       Q
+
+
+[top]
+8      p       Vcc
+
+
+[bottom]
+4      p       Vss
+
+
+# vim:softtabstop=0 noexpandtab ft=sh
diff --git a/sch-pcb/sym/m25pe80-1.sym b/sch-pcb/sym/m25pe80-1.sym
new file mode 100644 (file)
index 0000000..66f6e29
--- /dev/null
@@ -0,0 +1,101 @@
+v 20060123 1
+B 300 300 1400 2800 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+T 1700 3200 9 10 0 0 0 0 1
+document=M25PE80.pdf
+T 1700 3400 9 10 0 0 0 0 1
+footprint=SO8
+T 1000 2500 9 10 1 1 0 3 1
+refdes=U?
+T 1000 2100 9 10 1 1 0 3 1
+M25PE80
+V 250 2700 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+P 0 2700 200 2700 1 0 0
+{
+T 350 2700 9 10 1 1 0 1 1
+pinlabel=\_S\_
+T 200 2750 5 8 1 1 0 6 1
+pinnumber=1
+T 200 2750 5 8 0 1 0 6 1
+pinseq=1
+T 200 2750 9 10 0 1 0 6 1
+pintype=in
+}
+P 2000 2700 1700 2700 1 0 0
+{
+T 1650 2700 9 10 1 1 0 7 1
+pinlabel=Q
+T 1800 2750 5 8 1 1 0 0 1
+pinnumber=2
+T 1800 2750 5 8 0 1 0 0 1
+pinseq=2
+T 1600 2750 9 10 0 1 0 6 1
+pintype=out
+}
+V 250 2300 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+P 0 2300 200 2300 1 0 0
+{
+T 350 2300 9 10 1 1 0 1 1
+pinlabel=\_W\_
+T 200 2350 5 8 1 1 0 6 1
+pinnumber=3
+T 200 2350 5 8 0 1 0 6 1
+pinseq=3
+T 200 2350 9 10 0 1 0 6 1
+pintype=in
+}
+P 1000 0 1000 300 1 0 0
+{
+T 1000 350 9 10 1 1 0 3 1
+pinlabel=Vss
+T 1050 250 5 8 1 1 0 2 1
+pinnumber=4
+T 1050 250 5 8 0 1 0 2 1
+pinseq=4
+T 900 50 9 10 0 1 0 6 1
+pintype=pwr
+}
+P 0 1900 300 1900 1 0 0
+{
+T 350 1900 9 10 1 1 0 1 1
+pinlabel=D
+T 200 1950 5 8 1 1 0 6 1
+pinnumber=5
+T 200 1950 5 8 0 1 0 6 1
+pinseq=5
+T 200 1950 9 10 0 1 0 6 1
+pintype=in
+}
+P 0 1500 300 1500 1 0 0
+{
+T 350 1500 9 10 1 1 0 1 1
+pinlabel=C
+T 200 1550 5 8 1 1 0 6 1
+pinnumber=6
+T 200 1550 5 8 0 1 0 6 1
+pinseq=6
+T 200 1550 9 10 0 1 0 6 1
+pintype=in
+}
+V 250 700 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+P 0 700 200 700 1 0 0
+{
+T 350 700 9 10 1 1 0 1 1
+pinlabel=\_Reset\_
+T 200 750 5 8 1 1 0 6 1
+pinnumber=7
+T 200 750 5 8 0 1 0 6 1
+pinseq=7
+T 200 750 9 10 0 1 0 6 1
+pintype=in
+}
+P 1000 3400 1000 3100 1 0 0
+{
+T 1000 3050 9 10 1 1 0 5 1
+pinlabel=Vcc
+T 1050 3150 5 8 1 1 0 0 1
+pinnumber=8
+T 1050 3150 5 8 0 1 0 0 1
+pinseq=8
+T 900 50 9 10 0 1 0 6 1
+pintype=pwr
+}
diff --git a/sch-pcb/sym/pad-l.sym b/sch-pcb/sym/pad-l.sym
new file mode 100644 (file)
index 0000000..7d76b07
--- /dev/null
@@ -0,0 +1,12 @@
+v 20060123 1
+P 900 200 1200 200 1 0 1
+{
+T 1000 300 5 10 0 1 0 0 1
+pinnumber=1
+T 1000 300 5 10 0 0 0 0 1
+pinseq=1
+}
+T 0 200 8 10 1 1 0 0 1
+refdes=pad?
+V 700 200 200 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+V 700 200 100 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
diff --git a/sch-pcb/sym/pad-r.sym b/sch-pcb/sym/pad-r.sym
new file mode 100644 (file)
index 0000000..5572243
--- /dev/null
@@ -0,0 +1,12 @@
+v 20060123 1
+P 0 200 300 200 1 0 0
+{
+T 100 300 5 10 0 1 0 0 1
+pinnumber=1
+T 100 300 5 10 0 0 0 0 1
+pinseq=1
+}
+T 800 200 8 10 1 1 0 0 1
+refdes=pad?
+V 500 200 200 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+V 500 200 100 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
diff --git a/sch-pcb/sym/plastronics-100QN40S1120120-1.djboxsym b/sch-pcb/sym/plastronics-100QN40S1120120-1.djboxsym
new file mode 100644 (file)
index 0000000..7b31c89
--- /dev/null
@@ -0,0 +1,116 @@
+--vmode
+--square
+
+[labels]
+refdes=U?
+footprint=PLASTRONICS_100QN40S1120120
+
+[left]
+1              1
+2              2
+3              3
+4              4
+5              5
+6              6
+7              7
+8              8
+9              9
+10             10
+11             11
+12             12
+13             13
+14             14
+15             15
+16             16
+17             17
+18             18
+19             19
+20             20
+21             21
+22             22
+23             23
+24             24
+25             25
+
+[bottom]
+26             26
+27             27
+28             28
+29             29
+30             30
+31             31
+32             32
+33             33
+34             34
+35             35
+36             36
+37             37
+38             38
+39             39
+40             40
+41             41
+42             42
+43             43
+44             44
+45             45
+46             46
+47             47
+48             48
+49             49
+50             50
+
+[right]
+75             75
+74             74
+73             73
+72             72
+71             71
+70             70
+69             69
+68             68
+67             67
+66             66
+65             65
+64             64
+63             63
+62             62
+61             61
+60             60
+59             59
+58             58
+57             57
+56             56
+55             55
+54             54
+53             53
+52             52
+51             51
+
+[top]
+100            100
+99             99
+98             98
+97             97
+96             96
+95             95
+94             94
+93             93
+92             92
+91             91
+90             90
+89             89
+88             88
+87             87
+86             86
+85             85
+84             84
+83             83
+82             82
+81             81
+80             80
+79             79
+78             78
+77             77
+76             76
+
+# vim:softtabstop=0 noexpandtab ft=sh
diff --git a/sch-pcb/sym/plastronics-100QN40S1120120-1.sym b/sch-pcb/sym/plastronics-100QN40S1120120-1.sym
new file mode 100644 (file)
index 0000000..d94446b
--- /dev/null
@@ -0,0 +1,1106 @@
+v 20060123 1
+B 300 300 10700 10700 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+T 5700 10000 9 10 1 1 0 3 1
+refdes=U?
+T 5700 9600 9 10 1 1 0 3 1
+footprint=PLASTRONICS_100QN40S1120120
+P 0 10200 300 10200 1 0 0
+{
+T 350 10200 9 10 1 1 0 1 1
+pinlabel=1
+T 200 10250 5 8 1 1 0 6 1
+pinnumber=1
+T 200 10250 5 8 0 1 0 6 1
+pinseq=1
+T 200 10250 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 9800 300 9800 1 0 0
+{
+T 350 9800 9 10 1 1 0 1 1
+pinlabel=2
+T 200 9850 5 8 1 1 0 6 1
+pinnumber=2
+T 200 9850 5 8 0 1 0 6 1
+pinseq=2
+T 200 9850 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 9400 300 9400 1 0 0
+{
+T 350 9400 9 10 1 1 0 1 1
+pinlabel=3
+T 200 9450 5 8 1 1 0 6 1
+pinnumber=3
+T 200 9450 5 8 0 1 0 6 1
+pinseq=3
+T 200 9450 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 9000 300 9000 1 0 0
+{
+T 350 9000 9 10 1 1 0 1 1
+pinlabel=4
+T 200 9050 5 8 1 1 0 6 1
+pinnumber=4
+T 200 9050 5 8 0 1 0 6 1
+pinseq=4
+T 200 9050 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 8600 300 8600 1 0 0
+{
+T 350 8600 9 10 1 1 0 1 1
+pinlabel=5
+T 200 8650 5 8 1 1 0 6 1
+pinnumber=5
+T 200 8650 5 8 0 1 0 6 1
+pinseq=5
+T 200 8650 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 8200 300 8200 1 0 0
+{
+T 350 8200 9 10 1 1 0 1 1
+pinlabel=6
+T 200 8250 5 8 1 1 0 6 1
+pinnumber=6
+T 200 8250 5 8 0 1 0 6 1
+pinseq=6
+T 200 8250 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 7800 300 7800 1 0 0
+{
+T 350 7800 9 10 1 1 0 1 1
+pinlabel=7
+T 200 7850 5 8 1 1 0 6 1
+pinnumber=7
+T 200 7850 5 8 0 1 0 6 1
+pinseq=7
+T 200 7850 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 7400 300 7400 1 0 0
+{
+T 350 7400 9 10 1 1 0 1 1
+pinlabel=8
+T 200 7450 5 8 1 1 0 6 1
+pinnumber=8
+T 200 7450 5 8 0 1 0 6 1
+pinseq=8
+T 200 7450 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 7000 300 7000 1 0 0
+{
+T 350 7000 9 10 1 1 0 1 1
+pinlabel=9
+T 200 7050 5 8 1 1 0 6 1
+pinnumber=9
+T 200 7050 5 8 0 1 0 6 1
+pinseq=9
+T 200 7050 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 6600 300 6600 1 0 0
+{
+T 350 6600 9 10 1 1 0 1 1
+pinlabel=10
+T 200 6650 5 8 1 1 0 6 1
+pinnumber=10
+T 200 6650 5 8 0 1 0 6 1
+pinseq=10
+T 200 6650 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 6200 300 6200 1 0 0
+{
+T 350 6200 9 10 1 1 0 1 1
+pinlabel=11
+T 200 6250 5 8 1 1 0 6 1
+pinnumber=11
+T 200 6250 5 8 0 1 0 6 1
+pinseq=11
+T 200 6250 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 5800 300 5800 1 0 0
+{
+T 350 5800 9 10 1 1 0 1 1
+pinlabel=12
+T 200 5850 5 8 1 1 0 6 1
+pinnumber=12
+T 200 5850 5 8 0 1 0 6 1
+pinseq=12
+T 200 5850 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 5400 300 5400 1 0 0
+{
+T 350 5400 9 10 1 1 0 1 1
+pinlabel=13
+T 200 5450 5 8 1 1 0 6 1
+pinnumber=13
+T 200 5450 5 8 0 1 0 6 1
+pinseq=13
+T 200 5450 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 5000 300 5000 1 0 0
+{
+T 350 5000 9 10 1 1 0 1 1
+pinlabel=14
+T 200 5050 5 8 1 1 0 6 1
+pinnumber=14
+T 200 5050 5 8 0 1 0 6 1
+pinseq=14
+T 200 5050 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 4600 300 4600 1 0 0
+{
+T 350 4600 9 10 1 1 0 1 1
+pinlabel=15
+T 200 4650 5 8 1 1 0 6 1
+pinnumber=15
+T 200 4650 5 8 0 1 0 6 1
+pinseq=15
+T 200 4650 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 4200 300 4200 1 0 0
+{
+T 350 4200 9 10 1 1 0 1 1
+pinlabel=16
+T 200 4250 5 8 1 1 0 6 1
+pinnumber=16
+T 200 4250 5 8 0 1 0 6 1
+pinseq=16
+T 200 4250 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 3800 300 3800 1 0 0
+{
+T 350 3800 9 10 1 1 0 1 1
+pinlabel=17
+T 200 3850 5 8 1 1 0 6 1
+pinnumber=17
+T 200 3850 5 8 0 1 0 6 1
+pinseq=17
+T 200 3850 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 3400 300 3400 1 0 0
+{
+T 350 3400 9 10 1 1 0 1 1
+pinlabel=18
+T 200 3450 5 8 1 1 0 6 1
+pinnumber=18
+T 200 3450 5 8 0 1 0 6 1
+pinseq=18
+T 200 3450 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 3000 300 3000 1 0 0
+{
+T 350 3000 9 10 1 1 0 1 1
+pinlabel=19
+T 200 3050 5 8 1 1 0 6 1
+pinnumber=19
+T 200 3050 5 8 0 1 0 6 1
+pinseq=19
+T 200 3050 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 2600 300 2600 1 0 0
+{
+T 350 2600 9 10 1 1 0 1 1
+pinlabel=20
+T 200 2650 5 8 1 1 0 6 1
+pinnumber=20
+T 200 2650 5 8 0 1 0 6 1
+pinseq=20
+T 200 2650 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 2200 300 2200 1 0 0
+{
+T 350 2200 9 10 1 1 0 1 1
+pinlabel=21
+T 200 2250 5 8 1 1 0 6 1
+pinnumber=21
+T 200 2250 5 8 0 1 0 6 1
+pinseq=21
+T 200 2250 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 1800 300 1800 1 0 0
+{
+T 350 1800 9 10 1 1 0 1 1
+pinlabel=22
+T 200 1850 5 8 1 1 0 6 1
+pinnumber=22
+T 200 1850 5 8 0 1 0 6 1
+pinseq=22
+T 200 1850 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 1400 300 1400 1 0 0
+{
+T 350 1400 9 10 1 1 0 1 1
+pinlabel=23
+T 200 1450 5 8 1 1 0 6 1
+pinnumber=23
+T 200 1450 5 8 0 1 0 6 1
+pinseq=23
+T 200 1450 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 1000 300 1000 1 0 0
+{
+T 350 1000 9 10 1 1 0 1 1
+pinlabel=24
+T 200 1050 5 8 1 1 0 6 1
+pinnumber=24
+T 200 1050 5 8 0 1 0 6 1
+pinseq=24
+T 200 1050 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 600 300 600 1 0 0
+{
+T 350 600 9 10 1 1 0 1 1
+pinlabel=25
+T 200 650 5 8 1 1 0 6 1
+pinnumber=25
+T 200 650 5 8 0 1 0 6 1
+pinseq=25
+T 200 650 9 10 0 1 0 6 1
+pintype=pas
+}
+P 900 0 900 300 1 0 0
+{
+T 900 350 9 10 1 1 90 1 1
+pinlabel=26
+T 950 250 5 8 1 1 0 2 1
+pinnumber=26
+T 950 250 5 8 0 1 0 2 1
+pinseq=26
+T 800 350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 1300 0 1300 300 1 0 0
+{
+T 1300 350 9 10 1 1 90 1 1
+pinlabel=27
+T 1350 250 5 8 1 1 0 2 1
+pinnumber=27
+T 1350 250 5 8 0 1 0 2 1
+pinseq=27
+T 1200 350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 1700 0 1700 300 1 0 0
+{
+T 1700 350 9 10 1 1 90 1 1
+pinlabel=28
+T 1750 250 5 8 1 1 0 2 1
+pinnumber=28
+T 1750 250 5 8 0 1 0 2 1
+pinseq=28
+T 1600 350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 2100 0 2100 300 1 0 0
+{
+T 2100 350 9 10 1 1 90 1 1
+pinlabel=29
+T 2150 250 5 8 1 1 0 2 1
+pinnumber=29
+T 2150 250 5 8 0 1 0 2 1
+pinseq=29
+T 2000 350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 2500 0 2500 300 1 0 0
+{
+T 2500 350 9 10 1 1 90 1 1
+pinlabel=30
+T 2550 250 5 8 1 1 0 2 1
+pinnumber=30
+T 2550 250 5 8 0 1 0 2 1
+pinseq=30
+T 2400 350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 2900 0 2900 300 1 0 0
+{
+T 2900 350 9 10 1 1 90 1 1
+pinlabel=31
+T 2950 250 5 8 1 1 0 2 1
+pinnumber=31
+T 2950 250 5 8 0 1 0 2 1
+pinseq=31
+T 2800 350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 3300 0 3300 300 1 0 0
+{
+T 3300 350 9 10 1 1 90 1 1
+pinlabel=32
+T 3350 250 5 8 1 1 0 2 1
+pinnumber=32
+T 3350 250 5 8 0 1 0 2 1
+pinseq=32
+T 3200 350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 3700 0 3700 300 1 0 0
+{
+T 3700 350 9 10 1 1 90 1 1
+pinlabel=33
+T 3750 250 5 8 1 1 0 2 1
+pinnumber=33
+T 3750 250 5 8 0 1 0 2 1
+pinseq=33
+T 3600 350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 4100 0 4100 300 1 0 0
+{
+T 4100 350 9 10 1 1 90 1 1
+pinlabel=34
+T 4150 250 5 8 1 1 0 2 1
+pinnumber=34
+T 4150 250 5 8 0 1 0 2 1
+pinseq=34
+T 4000 350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 4500 0 4500 300 1 0 0
+{
+T 4500 350 9 10 1 1 90 1 1
+pinlabel=35
+T 4550 250 5 8 1 1 0 2 1
+pinnumber=35
+T 4550 250 5 8 0 1 0 2 1
+pinseq=35
+T 4400 350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 4900 0 4900 300 1 0 0
+{
+T 4900 350 9 10 1 1 90 1 1
+pinlabel=36
+T 4950 250 5 8 1 1 0 2 1
+pinnumber=36
+T 4950 250 5 8 0 1 0 2 1
+pinseq=36
+T 4800 350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 5300 0 5300 300 1 0 0
+{
+T 5300 350 9 10 1 1 90 1 1
+pinlabel=37
+T 5350 250 5 8 1 1 0 2 1
+pinnumber=37
+T 5350 250 5 8 0 1 0 2 1
+pinseq=37
+T 5200 350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 5700 0 5700 300 1 0 0
+{
+T 5700 350 9 10 1 1 90 1 1
+pinlabel=38
+T 5750 250 5 8 1 1 0 2 1
+pinnumber=38
+T 5750 250 5 8 0 1 0 2 1
+pinseq=38
+T 5600 350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 6100 0 6100 300 1 0 0
+{
+T 6100 350 9 10 1 1 90 1 1
+pinlabel=39
+T 6150 250 5 8 1 1 0 2 1
+pinnumber=39
+T 6150 250 5 8 0 1 0 2 1
+pinseq=39
+T 6000 350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 6500 0 6500 300 1 0 0
+{
+T 6500 350 9 10 1 1 90 1 1
+pinlabel=40
+T 6550 250 5 8 1 1 0 2 1
+pinnumber=40
+T 6550 250 5 8 0 1 0 2 1
+pinseq=40
+T 6400 350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 6900 0 6900 300 1 0 0
+{
+T 6900 350 9 10 1 1 90 1 1
+pinlabel=41
+T 6950 250 5 8 1 1 0 2 1
+pinnumber=41
+T 6950 250 5 8 0 1 0 2 1
+pinseq=41
+T 6800 350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 7300 0 7300 300 1 0 0
+{
+T 7300 350 9 10 1 1 90 1 1
+pinlabel=42
+T 7350 250 5 8 1 1 0 2 1
+pinnumber=42
+T 7350 250 5 8 0 1 0 2 1
+pinseq=42
+T 7200 350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 7700 0 7700 300 1 0 0
+{
+T 7700 350 9 10 1 1 90 1 1
+pinlabel=43
+T 7750 250 5 8 1 1 0 2 1
+pinnumber=43
+T 7750 250 5 8 0 1 0 2 1
+pinseq=43
+T 7600 350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 8100 0 8100 300 1 0 0
+{
+T 8100 350 9 10 1 1 90 1 1
+pinlabel=44
+T 8150 250 5 8 1 1 0 2 1
+pinnumber=44
+T 8150 250 5 8 0 1 0 2 1
+pinseq=44
+T 8000 350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 8500 0 8500 300 1 0 0
+{
+T 8500 350 9 10 1 1 90 1 1
+pinlabel=45
+T 8550 250 5 8 1 1 0 2 1
+pinnumber=45
+T 8550 250 5 8 0 1 0 2 1
+pinseq=45
+T 8400 350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 8900 0 8900 300 1 0 0
+{
+T 8900 350 9 10 1 1 90 1 1
+pinlabel=46
+T 8950 250 5 8 1 1 0 2 1
+pinnumber=46
+T 8950 250 5 8 0 1 0 2 1
+pinseq=46
+T 8800 350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 9300 0 9300 300 1 0 0
+{
+T 9300 350 9 10 1 1 90 1 1
+pinlabel=47
+T 9350 250 5 8 1 1 0 2 1
+pinnumber=47
+T 9350 250 5 8 0 1 0 2 1
+pinseq=47
+T 9200 350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 9700 0 9700 300 1 0 0
+{
+T 9700 350 9 10 1 1 90 1 1
+pinlabel=48
+T 9750 250 5 8 1 1 0 2 1
+pinnumber=48
+T 9750 250 5 8 0 1 0 2 1
+pinseq=48
+T 9600 350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 10100 0 10100 300 1 0 0
+{
+T 10100 350 9 10 1 1 90 1 1
+pinlabel=49
+T 10150 250 5 8 1 1 0 2 1
+pinnumber=49
+T 10150 250 5 8 0 1 0 2 1
+pinseq=49
+T 10000 350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 10500 0 10500 300 1 0 0
+{
+T 10500 350 9 10 1 1 90 1 1
+pinlabel=50
+T 10550 250 5 8 1 1 0 2 1
+pinnumber=50
+T 10550 250 5 8 0 1 0 2 1
+pinseq=50
+T 10400 350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 11300 600 11000 600 1 0 0
+{
+T 10950 600 9 10 1 1 0 7 1
+pinlabel=51
+T 11100 650 5 8 1 1 0 0 1
+pinnumber=51
+T 11100 650 5 8 0 1 0 0 1
+pinseq=51
+T 10900 650 9 10 0 1 0 6 1
+pintype=pas
+}
+P 11300 1000 11000 1000 1 0 0
+{
+T 10950 1000 9 10 1 1 0 7 1
+pinlabel=52
+T 11100 1050 5 8 1 1 0 0 1
+pinnumber=52
+T 11100 1050 5 8 0 1 0 0 1
+pinseq=52
+T 10900 1050 9 10 0 1 0 6 1
+pintype=pas
+}
+P 11300 1400 11000 1400 1 0 0
+{
+T 10950 1400 9 10 1 1 0 7 1
+pinlabel=53
+T 11100 1450 5 8 1 1 0 0 1
+pinnumber=53
+T 11100 1450 5 8 0 1 0 0 1
+pinseq=53
+T 10900 1450 9 10 0 1 0 6 1
+pintype=pas
+}
+P 11300 1800 11000 1800 1 0 0
+{
+T 10950 1800 9 10 1 1 0 7 1
+pinlabel=54
+T 11100 1850 5 8 1 1 0 0 1
+pinnumber=54
+T 11100 1850 5 8 0 1 0 0 1
+pinseq=54
+T 10900 1850 9 10 0 1 0 6 1
+pintype=pas
+}
+P 11300 2200 11000 2200 1 0 0
+{
+T 10950 2200 9 10 1 1 0 7 1
+pinlabel=55
+T 11100 2250 5 8 1 1 0 0 1
+pinnumber=55
+T 11100 2250 5 8 0 1 0 0 1
+pinseq=55
+T 10900 2250 9 10 0 1 0 6 1
+pintype=pas
+}
+P 11300 2600 11000 2600 1 0 0
+{
+T 10950 2600 9 10 1 1 0 7 1
+pinlabel=56
+T 11100 2650 5 8 1 1 0 0 1
+pinnumber=56
+T 11100 2650 5 8 0 1 0 0 1
+pinseq=56
+T 10900 2650 9 10 0 1 0 6 1
+pintype=pas
+}
+P 11300 3000 11000 3000 1 0 0
+{
+T 10950 3000 9 10 1 1 0 7 1
+pinlabel=57
+T 11100 3050 5 8 1 1 0 0 1
+pinnumber=57
+T 11100 3050 5 8 0 1 0 0 1
+pinseq=57
+T 10900 3050 9 10 0 1 0 6 1
+pintype=pas
+}
+P 11300 3400 11000 3400 1 0 0
+{
+T 10950 3400 9 10 1 1 0 7 1
+pinlabel=58
+T 11100 3450 5 8 1 1 0 0 1
+pinnumber=58
+T 11100 3450 5 8 0 1 0 0 1
+pinseq=58
+T 10900 3450 9 10 0 1 0 6 1
+pintype=pas
+}
+P 11300 3800 11000 3800 1 0 0
+{
+T 10950 3800 9 10 1 1 0 7 1
+pinlabel=59
+T 11100 3850 5 8 1 1 0 0 1
+pinnumber=59
+T 11100 3850 5 8 0 1 0 0 1
+pinseq=59
+T 10900 3850 9 10 0 1 0 6 1
+pintype=pas
+}
+P 11300 4200 11000 4200 1 0 0
+{
+T 10950 4200 9 10 1 1 0 7 1
+pinlabel=60
+T 11100 4250 5 8 1 1 0 0 1
+pinnumber=60
+T 11100 4250 5 8 0 1 0 0 1
+pinseq=60
+T 10900 4250 9 10 0 1 0 6 1
+pintype=pas
+}
+P 11300 4600 11000 4600 1 0 0
+{
+T 10950 4600 9 10 1 1 0 7 1
+pinlabel=61
+T 11100 4650 5 8 1 1 0 0 1
+pinnumber=61
+T 11100 4650 5 8 0 1 0 0 1
+pinseq=61
+T 10900 4650 9 10 0 1 0 6 1
+pintype=pas
+}
+P 11300 5000 11000 5000 1 0 0
+{
+T 10950 5000 9 10 1 1 0 7 1
+pinlabel=62
+T 11100 5050 5 8 1 1 0 0 1
+pinnumber=62
+T 11100 5050 5 8 0 1 0 0 1
+pinseq=62
+T 10900 5050 9 10 0 1 0 6 1
+pintype=pas
+}
+P 11300 5400 11000 5400 1 0 0
+{
+T 10950 5400 9 10 1 1 0 7 1
+pinlabel=63
+T 11100 5450 5 8 1 1 0 0 1
+pinnumber=63
+T 11100 5450 5 8 0 1 0 0 1
+pinseq=63
+T 10900 5450 9 10 0 1 0 6 1
+pintype=pas
+}
+P 11300 5800 11000 5800 1 0 0
+{
+T 10950 5800 9 10 1 1 0 7 1
+pinlabel=64
+T 11100 5850 5 8 1 1 0 0 1
+pinnumber=64
+T 11100 5850 5 8 0 1 0 0 1
+pinseq=64
+T 10900 5850 9 10 0 1 0 6 1
+pintype=pas
+}
+P 11300 6200 11000 6200 1 0 0
+{
+T 10950 6200 9 10 1 1 0 7 1
+pinlabel=65
+T 11100 6250 5 8 1 1 0 0 1
+pinnumber=65
+T 11100 6250 5 8 0 1 0 0 1
+pinseq=65
+T 10900 6250 9 10 0 1 0 6 1
+pintype=pas
+}
+P 11300 6600 11000 6600 1 0 0
+{
+T 10950 6600 9 10 1 1 0 7 1
+pinlabel=66
+T 11100 6650 5 8 1 1 0 0 1
+pinnumber=66
+T 11100 6650 5 8 0 1 0 0 1
+pinseq=66
+T 10900 6650 9 10 0 1 0 6 1
+pintype=pas
+}
+P 11300 7000 11000 7000 1 0 0
+{
+T 10950 7000 9 10 1 1 0 7 1
+pinlabel=67
+T 11100 7050 5 8 1 1 0 0 1
+pinnumber=67
+T 11100 7050 5 8 0 1 0 0 1
+pinseq=67
+T 10900 7050 9 10 0 1 0 6 1
+pintype=pas
+}
+P 11300 7400 11000 7400 1 0 0
+{
+T 10950 7400 9 10 1 1 0 7 1
+pinlabel=68
+T 11100 7450 5 8 1 1 0 0 1
+pinnumber=68
+T 11100 7450 5 8 0 1 0 0 1
+pinseq=68
+T 10900 7450 9 10 0 1 0 6 1
+pintype=pas
+}
+P 11300 7800 11000 7800 1 0 0
+{
+T 10950 7800 9 10 1 1 0 7 1
+pinlabel=69
+T 11100 7850 5 8 1 1 0 0 1
+pinnumber=69
+T 11100 7850 5 8 0 1 0 0 1
+pinseq=69
+T 10900 7850 9 10 0 1 0 6 1
+pintype=pas
+}
+P 11300 8200 11000 8200 1 0 0
+{
+T 10950 8200 9 10 1 1 0 7 1
+pinlabel=70
+T 11100 8250 5 8 1 1 0 0 1
+pinnumber=70
+T 11100 8250 5 8 0 1 0 0 1
+pinseq=70
+T 10900 8250 9 10 0 1 0 6 1
+pintype=pas
+}
+P 11300 8600 11000 8600 1 0 0
+{
+T 10950 8600 9 10 1 1 0 7 1
+pinlabel=71
+T 11100 8650 5 8 1 1 0 0 1
+pinnumber=71
+T 11100 8650 5 8 0 1 0 0 1
+pinseq=71
+T 10900 8650 9 10 0 1 0 6 1
+pintype=pas
+}
+P 11300 9000 11000 9000 1 0 0
+{
+T 10950 9000 9 10 1 1 0 7 1
+pinlabel=72
+T 11100 9050 5 8 1 1 0 0 1
+pinnumber=72
+T 11100 9050 5 8 0 1 0 0 1
+pinseq=72
+T 10900 9050 9 10 0 1 0 6 1
+pintype=pas
+}
+P 11300 9400 11000 9400 1 0 0
+{
+T 10950 9400 9 10 1 1 0 7 1
+pinlabel=73
+T 11100 9450 5 8 1 1 0 0 1
+pinnumber=73
+T 11100 9450 5 8 0 1 0 0 1
+pinseq=73
+T 10900 9450 9 10 0 1 0 6 1
+pintype=pas
+}
+P 11300 9800 11000 9800 1 0 0
+{
+T 10950 9800 9 10 1 1 0 7 1
+pinlabel=74
+T 11100 9850 5 8 1 1 0 0 1
+pinnumber=74
+T 11100 9850 5 8 0 1 0 0 1
+pinseq=74
+T 10900 9850 9 10 0 1 0 6 1
+pintype=pas
+}
+P 11300 10200 11000 10200 1 0 0
+{
+T 10950 10200 9 10 1 1 0 7 1
+pinlabel=75
+T 11100 10250 5 8 1 1 0 0 1
+pinnumber=75
+T 11100 10250 5 8 0 1 0 0 1
+pinseq=75
+T 10900 10250 9 10 0 1 0 6 1
+pintype=pas
+}
+P 10500 11300 10500 11000 1 0 0
+{
+T 10500 10950 9 10 1 1 90 7 1
+pinlabel=76
+T 10550 11050 5 8 1 1 0 0 1
+pinnumber=76
+T 10550 11050 5 8 0 1 0 0 1
+pinseq=76
+T 10400 50 9 10 0 1 0 6 1
+pintype=pas
+}
+P 10100 11300 10100 11000 1 0 0
+{
+T 10100 10950 9 10 1 1 90 7 1
+pinlabel=77
+T 10150 11050 5 8 1 1 0 0 1
+pinnumber=77
+T 10150 11050 5 8 0 1 0 0 1
+pinseq=77
+T 10000 50 9 10 0 1 0 6 1
+pintype=pas
+}
+P 9700 11300 9700 11000 1 0 0
+{
+T 9700 10950 9 10 1 1 90 7 1
+pinlabel=78
+T 9750 11050 5 8 1 1 0 0 1
+pinnumber=78
+T 9750 11050 5 8 0 1 0 0 1
+pinseq=78
+T 9600 50 9 10 0 1 0 6 1
+pintype=pas
+}
+P 9300 11300 9300 11000 1 0 0
+{
+T 9300 10950 9 10 1 1 90 7 1
+pinlabel=79
+T 9350 11050 5 8 1 1 0 0 1
+pinnumber=79
+T 9350 11050 5 8 0 1 0 0 1
+pinseq=79
+T 9200 50 9 10 0 1 0 6 1
+pintype=pas
+}
+P 8900 11300 8900 11000 1 0 0
+{
+T 8900 10950 9 10 1 1 90 7 1
+pinlabel=80
+T 8950 11050 5 8 1 1 0 0 1
+pinnumber=80
+T 8950 11050 5 8 0 1 0 0 1
+pinseq=80
+T 8800 50 9 10 0 1 0 6 1
+pintype=pas
+}
+P 8500 11300 8500 11000 1 0 0
+{
+T 8500 10950 9 10 1 1 90 7 1
+pinlabel=81
+T 8550 11050 5 8 1 1 0 0 1
+pinnumber=81
+T 8550 11050 5 8 0 1 0 0 1
+pinseq=81
+T 8400 50 9 10 0 1 0 6 1
+pintype=pas
+}
+P 8100 11300 8100 11000 1 0 0
+{
+T 8100 10950 9 10 1 1 90 7 1
+pinlabel=82
+T 8150 11050 5 8 1 1 0 0 1
+pinnumber=82
+T 8150 11050 5 8 0 1 0 0 1
+pinseq=82
+T 8000 50 9 10 0 1 0 6 1
+pintype=pas
+}
+P 7700 11300 7700 11000 1 0 0
+{
+T 7700 10950 9 10 1 1 90 7 1
+pinlabel=83
+T 7750 11050 5 8 1 1 0 0 1
+pinnumber=83
+T 7750 11050 5 8 0 1 0 0 1
+pinseq=83
+T 7600 50 9 10 0 1 0 6 1
+pintype=pas
+}
+P 7300 11300 7300 11000 1 0 0
+{
+T 7300 10950 9 10 1 1 90 7 1
+pinlabel=84
+T 7350 11050 5 8 1 1 0 0 1
+pinnumber=84
+T 7350 11050 5 8 0 1 0 0 1
+pinseq=84
+T 7200 50 9 10 0 1 0 6 1
+pintype=pas
+}
+P 6900 11300 6900 11000 1 0 0
+{
+T 6900 10950 9 10 1 1 90 7 1
+pinlabel=85
+T 6950 11050 5 8 1 1 0 0 1
+pinnumber=85
+T 6950 11050 5 8 0 1 0 0 1
+pinseq=85
+T 6800 50 9 10 0 1 0 6 1
+pintype=pas
+}
+P 6500 11300 6500 11000 1 0 0
+{
+T 6500 10950 9 10 1 1 90 7 1
+pinlabel=86
+T 6550 11050 5 8 1 1 0 0 1
+pinnumber=86
+T 6550 11050 5 8 0 1 0 0 1
+pinseq=86
+T 6400 50 9 10 0 1 0 6 1
+pintype=pas
+}
+P 6100 11300 6100 11000 1 0 0
+{
+T 6100 10950 9 10 1 1 90 7 1
+pinlabel=87
+T 6150 11050 5 8 1 1 0 0 1
+pinnumber=87
+T 6150 11050 5 8 0 1 0 0 1
+pinseq=87
+T 6000 50 9 10 0 1 0 6 1
+pintype=pas
+}
+P 5700 11300 5700 11000 1 0 0
+{
+T 5700 10950 9 10 1 1 90 7 1
+pinlabel=88
+T 5750 11050 5 8 1 1 0 0 1
+pinnumber=88
+T 5750 11050 5 8 0 1 0 0 1
+pinseq=88
+T 5600 50 9 10 0 1 0 6 1
+pintype=pas
+}
+P 5300 11300 5300 11000 1 0 0
+{
+T 5300 10950 9 10 1 1 90 7 1
+pinlabel=89
+T 5350 11050 5 8 1 1 0 0 1
+pinnumber=89
+T 5350 11050 5 8 0 1 0 0 1
+pinseq=89
+T 5200 50 9 10 0 1 0 6 1
+pintype=pas
+}
+P 4900 11300 4900 11000 1 0 0
+{
+T 4900 10950 9 10 1 1 90 7 1
+pinlabel=90
+T 4950 11050 5 8 1 1 0 0 1
+pinnumber=90
+T 4950 11050 5 8 0 1 0 0 1
+pinseq=90
+T 4800 50 9 10 0 1 0 6 1
+pintype=pas
+}
+P 4500 11300 4500 11000 1 0 0
+{
+T 4500 10950 9 10 1 1 90 7 1
+pinlabel=91
+T 4550 11050 5 8 1 1 0 0 1
+pinnumber=91
+T 4550 11050 5 8 0 1 0 0 1
+pinseq=91
+T 4400 50 9 10 0 1 0 6 1
+pintype=pas
+}
+P 4100 11300 4100 11000 1 0 0
+{
+T 4100 10950 9 10 1 1 90 7 1
+pinlabel=92
+T 4150 11050 5 8 1 1 0 0 1
+pinnumber=92
+T 4150 11050 5 8 0 1 0 0 1
+pinseq=92
+T 4000 50 9 10 0 1 0 6 1
+pintype=pas
+}
+P 3700 11300 3700 11000 1 0 0
+{
+T 3700 10950 9 10 1 1 90 7 1
+pinlabel=93
+T 3750 11050 5 8 1 1 0 0 1
+pinnumber=93
+T 3750 11050 5 8 0 1 0 0 1
+pinseq=93
+T 3600 50 9 10 0 1 0 6 1
+pintype=pas
+}
+P 3300 11300 3300 11000 1 0 0
+{
+T 3300 10950 9 10 1 1 90 7 1
+pinlabel=94
+T 3350 11050 5 8 1 1 0 0 1
+pinnumber=94
+T 3350 11050 5 8 0 1 0 0 1
+pinseq=94
+T 3200 50 9 10 0 1 0 6 1
+pintype=pas
+}
+P 2900 11300 2900 11000 1 0 0
+{
+T 2900 10950 9 10 1 1 90 7 1
+pinlabel=95
+T 2950 11050 5 8 1 1 0 0 1
+pinnumber=95
+T 2950 11050 5 8 0 1 0 0 1
+pinseq=95
+T 2800 50 9 10 0 1 0 6 1
+pintype=pas
+}
+P 2500 11300 2500 11000 1 0 0
+{
+T 2500 10950 9 10 1 1 90 7 1
+pinlabel=96
+T 2550 11050 5 8 1 1 0 0 1
+pinnumber=96
+T 2550 11050 5 8 0 1 0 0 1
+pinseq=96
+T 2400 50 9 10 0 1 0 6 1
+pintype=pas
+}
+P 2100 11300 2100 11000 1 0 0
+{
+T 2100 10950 9 10 1 1 90 7 1
+pinlabel=97
+T 2150 11050 5 8 1 1 0 0 1
+pinnumber=97
+T 2150 11050 5 8 0 1 0 0 1
+pinseq=97
+T 2000 50 9 10 0 1 0 6 1
+pintype=pas
+}
+P 1700 11300 1700 11000 1 0 0
+{
+T 1700 10950 9 10 1 1 90 7 1
+pinlabel=98
+T 1750 11050 5 8 1 1 0 0 1
+pinnumber=98
+T 1750 11050 5 8 0 1 0 0 1
+pinseq=98
+T 1600 50 9 10 0 1 0 6 1
+pintype=pas
+}
+P 1300 11300 1300 11000 1 0 0
+{
+T 1300 10950 9 10 1 1 90 7 1
+pinlabel=99
+T 1350 11050 5 8 1 1 0 0 1
+pinnumber=99
+T 1350 11050 5 8 0 1 0 0 1
+pinseq=99
+T 1200 50 9 10 0 1 0 6 1
+pintype=pas
+}
+P 900 11300 900 11000 1 0 0
+{
+T 900 10950 9 10 1 1 90 7 1
+pinlabel=100
+T 950 11050 5 8 1 1 0 0 1
+pinnumber=100
+T 950 11050 5 8 0 1 0 0 1
+pinseq=100
+T 800 50 9 10 0 1 0 6 1
+pintype=pas
+}
diff --git a/sch-pcb/sym/title-phd-85x110.sym b/sch-pcb/sym/title-phd-85x110.sym
new file mode 100644 (file)
index 0000000..40879f4
--- /dev/null
@@ -0,0 +1,128 @@
+v 20100214 2
+T 9900 600 9 10 1 1 0 0 1
+date=---
+T 13700 600 9 10 1 1 0 0 1
+rev=---
+T 13700 300 9 10 1 1 0 0 1
+auth=DJW
+T 9900 900 9 8 1 1 0 0 1
+fname=$Id: $
+T 9900 1300 9 14 1 1 0 0 1
+title=TITLE
+T 9300 900 15 8 1 0 0 0 1
+FILE:
+T 10600 300 9 10 1 1 0 6 1
+pagenum=1
+T 12100 300 9 10 1 1 0 6 1
+pageof=1
+T 9300 1300 15 8 1 0 0 0 1
+TITLE
+T 9300 600 15 8 1 0 0 0 1
+DATE
+B 0 0 17000 13100 15 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+L 12700 800 12700 200 15 0 0 0 -1 -1
+B 9200 200 7600 1400 15 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+L 9200 800 16800 800 15 0 0 0 -1 -1
+B 200 200 16600 12700 15 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+L 200 2000 0 2000 15 0 0 0 -1 -1
+L 200 4000 0 4000 15 0 0 0 -1 -1
+L 200 6000 0 6000 15 0 0 0 -1 -1
+L 200 8000 0 8000 15 0 0 0 -1 -1
+L 2000 200 2000 0 15 0 0 0 -1 -1
+L 4000 200 4000 0 15 0 0 0 -1 -1
+L 6000 200 6000 0 15 0 0 0 -1 -1
+L 8000 200 8000 0 15 0 0 0 -1 -1
+L 10000 200 10000 0 15 0 0 0 -1 -1
+L 200 10000 0 10000 15 0 0 0 -1 -1
+L 12000 200 12000 0 15 0 0 0 -1 -1
+L 14000 200 14000 0 15 0 0 0 -1 -1
+L 16000 200 16000 0 15 0 0 0 -1 -1
+L 17000 10000 16800 10000 15 0 0 0 -1 -1
+L 17000 8000 16800 8000 15 0 0 0 -1 -1
+L 17000 6000 16800 6000 15 0 0 0 -1 -1
+L 17000 4000 16800 4000 15 0 0 0 -1 -1
+L 17000 2000 16800 2000 15 0 0 0 -1 -1
+L 2000 13100 2000 12900 15 0 0 0 -1 -1
+L 4000 13100 4000 12900 15 0 0 0 -1 -1
+L 6000 13100 6000 12900 15 0 0 0 -1 -1
+L 8000 13100 8000 12900 15 0 0 0 -1 -1
+L 10000 13100 10000 12900 15 0 0 0 -1 -1
+L 12000 13100 12000 12900 15 0 0 0 -1 -1
+L 14000 13100 14000 12900 15 0 0 0 -1 -1
+L 16000 13100 16000 12900 15 0 0 0 -1 -1
+T 15100 1700 5 10 0 0 0 0 1
+graphical=1
+T 12800 600 15 8 1 0 0 0 1
+REVISION:
+T 12800 300 15 8 1 0 0 0 1
+DRAWN BY: 
+T 9300 300 15 8 1 0 0 0 1
+PAGE
+T 11000 300 15 8 1 0 0 0 1
+OF
+T 100 1000 15 8 1 0 0 4 1
+A
+T 100 3000 15 8 1 0 0 4 1
+B
+T 100 5000 15 8 1 0 0 4 1
+C
+T 100 7000 15 8 1 0 0 4 1
+D
+T 1000 100 15 8 1 0 0 4 1
+1
+T 3000 100 15 8 1 0 0 4 1
+2
+T 5000 100 15 8 1 0 0 4 1
+3
+T 7000 100 15 8 1 0 0 4 1
+4
+T 9000 100 15 8 1 0 0 4 1
+5
+T 100 9000 15 8 1 0 0 4 1
+E
+T 100 11000 15 8 1 0 0 4 1
+F
+T 11000 100 15 8 1 0 0 4 1
+6
+T 13000 100 15 8 1 0 0 4 1
+7
+T 15000 100 15 8 1 0 0 4 1
+8
+T 16500 100 15 8 1 0 0 4 1
+9
+T 16900 1000 15 8 1 0 0 4 1
+A
+T 16900 3000 15 8 1 0 0 4 1
+B
+T 16900 5000 15 8 1 0 0 4 1
+C
+T 16900 7000 15 8 1 0 0 4 1
+D
+T 16900 9000 15 8 1 0 0 4 1
+E
+T 16900 11000 15 8 1 0 0 4 1
+F
+T 1000 13000 15 8 1 0 0 4 1
+1
+T 3000 13000 15 8 1 0 0 4 1
+2
+T 5000 13000 15 8 1 0 0 4 1
+3
+T 7000 13000 15 8 1 0 0 4 1
+4
+T 9000 13000 15 8 1 0 0 4 1
+5
+T 11000 13000 15 8 1 0 0 4 1
+6
+T 13000 13000 15 8 1 0 0 4 1
+7
+T 15000 13000 15 8 1 0 0 4 1
+8
+T 16500 13000 15 8 1 0 0 4 1
+9
+L 17000 12000 16800 12000 15 0 0 0 -1 -1
+L 200 12000 0 12000 15 0 0 0 -1 -1
+T 16900 12500 15 8 1 0 0 4 1
+G
+T 100 12500 15 8 1 0 0 4 1
+G
diff --git a/sch-pcb/sym/wb430-1.djboxsym b/sch-pcb/sym/wb430-1.djboxsym
new file mode 100644 (file)
index 0000000..ec08425
--- /dev/null
@@ -0,0 +1,83 @@
+#
+# WB430 digital
+#   ns430 and atoi_digital
+#
+
+--vmode
+
+[labels]
+AtoI
+NS430
+AtoI digi
+1of4
+refdes=AtoI0
+footprint=SEMPAC_12x12_100A.fp
+
+
+[left]
+100            INT_SPI
+
+3              PA15/CS0_conf
+4              PA14/RXD1
+5              PA13/TXD1
+6              PA12/SCLK1
+7              PA11/MOSI1
+8              PA10/MISO1
+9              PA9/SCL/swap
+10             PA8/SDA
+11             PA7/CS0_mux/BSL
+12             PA6/IRQ
+13             PA5/RDX0
+14             PA4/TXD0
+# M25PExx serial flash
+15             PA3/SCLK0
+16             PA2/MOSI0
+17             PA1/MISO0
+18             PA0/CS_flash
+
+19     !       \_RST\_
+
+24             LFXTALI
+25             LFXTALO
+26             NC
+27             HFXTALI
+28             HFXTALO
+
+
+[right]
+1              DOUT0_mux
+2              DOUT0_conf
+
+99             NCO_CLK
+97             MULT0
+96             MULT1
+95             CS1_conf
+94             CS1_mux
+
+98     !       \_RESET\_
+
+
+
+[top]
+93             DVDD
+22             DVDD
+30             DVDD
+20             VDD
+32             VDD
+91             VDD
+
+89             VDD_digi
+
+
+[bottom]
+92             DVSS
+23             DVSS
+29             DVSS
+21             VSS
+31             VSS
+90             VSS
+
+88             VSS_digi
+
+
+# vim:softtabstop=0 noexpandtab ft=sh
diff --git a/sch-pcb/sym/wb430-1.sym b/sch-pcb/sym/wb430-1.sym
new file mode 100644 (file)
index 0000000..682b6bf
--- /dev/null
@@ -0,0 +1,511 @@
+v 20060123 1
+B 300 300 4800 12200 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+T 2700 11000 9 10 1 1 0 3 1
+AtoI
+T 2700 10600 9 10 1 1 0 3 1
+NS430
+T 2700 10200 9 10 1 1 0 3 1
+AtoI digi
+T 2700 9800 9 10 1 1 0 3 1
+1of4
+T 2700 9400 9 10 1 1 0 3 1
+refdes=AtoI0
+T 2700 9000 9 10 1 1 0 3 1
+footprint=SEMPAC_12x12_100A.fp
+P 5400 11200 5100 11200 1 0 0
+{
+T 5050 11200 9 10 1 1 0 7 1
+pinlabel=DOUT0_mux
+T 5200 11250 5 8 1 1 0 0 1
+pinnumber=1
+T 5200 11250 5 8 0 1 0 0 1
+pinseq=1
+T 5000 11250 9 10 0 1 0 6 1
+pintype=pas
+}
+P 5400 10800 5100 10800 1 0 0
+{
+T 5050 10800 9 10 1 1 0 7 1
+pinlabel=DOUT0_conf
+T 5200 10850 5 8 1 1 0 0 1
+pinnumber=2
+T 5200 10850 5 8 0 1 0 0 1
+pinseq=2
+T 5000 10850 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 10400 300 10400 1 0 0
+{
+T 350 10400 9 10 1 1 0 1 1
+pinlabel=PA15/CS0_conf
+T 200 10450 5 8 1 1 0 6 1
+pinnumber=3
+T 200 10450 5 8 0 1 0 6 1
+pinseq=3
+T 200 10450 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 10000 300 10000 1 0 0
+{
+T 350 10000 9 10 1 1 0 1 1
+pinlabel=PA14/RXD1
+T 200 10050 5 8 1 1 0 6 1
+pinnumber=4
+T 200 10050 5 8 0 1 0 6 1
+pinseq=4
+T 200 10050 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 9600 300 9600 1 0 0
+{
+T 350 9600 9 10 1 1 0 1 1
+pinlabel=PA13/TXD1
+T 200 9650 5 8 1 1 0 6 1
+pinnumber=5
+T 200 9650 5 8 0 1 0 6 1
+pinseq=5
+T 200 9650 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 9200 300 9200 1 0 0
+{
+T 350 9200 9 10 1 1 0 1 1
+pinlabel=PA12/SCLK1
+T 200 9250 5 8 1 1 0 6 1
+pinnumber=6
+T 200 9250 5 8 0 1 0 6 1
+pinseq=6
+T 200 9250 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 8800 300 8800 1 0 0
+{
+T 350 8800 9 10 1 1 0 1 1
+pinlabel=PA11/MOSI1
+T 200 8850 5 8 1 1 0 6 1
+pinnumber=7
+T 200 8850 5 8 0 1 0 6 1
+pinseq=7
+T 200 8850 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 8400 300 8400 1 0 0
+{
+T 350 8400 9 10 1 1 0 1 1
+pinlabel=PA10/MISO1
+T 200 8450 5 8 1 1 0 6 1
+pinnumber=8
+T 200 8450 5 8 0 1 0 6 1
+pinseq=8
+T 200 8450 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 8000 300 8000 1 0 0
+{
+T 350 8000 9 10 1 1 0 1 1
+pinlabel=PA9/SCL/swap
+T 200 8050 5 8 1 1 0 6 1
+pinnumber=9
+T 200 8050 5 8 0 1 0 6 1
+pinseq=9
+T 200 8050 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 7600 300 7600 1 0 0
+{
+T 350 7600 9 10 1 1 0 1 1
+pinlabel=PA8/SDA
+T 200 7650 5 8 1 1 0 6 1
+pinnumber=10
+T 200 7650 5 8 0 1 0 6 1
+pinseq=10
+T 200 7650 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 7200 300 7200 1 0 0
+{
+T 350 7200 9 10 1 1 0 1 1
+pinlabel=PA7/CS0_mux/BSL
+T 200 7250 5 8 1 1 0 6 1
+pinnumber=11
+T 200 7250 5 8 0 1 0 6 1
+pinseq=11
+T 200 7250 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 6800 300 6800 1 0 0
+{
+T 350 6800 9 10 1 1 0 1 1
+pinlabel=PA6/IRQ
+T 200 6850 5 8 1 1 0 6 1
+pinnumber=12
+T 200 6850 5 8 0 1 0 6 1
+pinseq=12
+T 200 6850 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 6400 300 6400 1 0 0
+{
+T 350 6400 9 10 1 1 0 1 1
+pinlabel=PA5/RDX0
+T 200 6450 5 8 1 1 0 6 1
+pinnumber=13
+T 200 6450 5 8 0 1 0 6 1
+pinseq=13
+T 200 6450 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 6000 300 6000 1 0 0
+{
+T 350 6000 9 10 1 1 0 1 1
+pinlabel=PA4/TXD0
+T 200 6050 5 8 1 1 0 6 1
+pinnumber=14
+T 200 6050 5 8 0 1 0 6 1
+pinseq=14
+T 200 6050 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 5600 300 5600 1 0 0
+{
+T 350 5600 9 10 1 1 0 1 1
+pinlabel=PA3/SCLK0
+T 200 5650 5 8 1 1 0 6 1
+pinnumber=15
+T 200 5650 5 8 0 1 0 6 1
+pinseq=15
+T 200 5650 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 5200 300 5200 1 0 0
+{
+T 350 5200 9 10 1 1 0 1 1
+pinlabel=PA2/MOSI0
+T 200 5250 5 8 1 1 0 6 1
+pinnumber=16
+T 200 5250 5 8 0 1 0 6 1
+pinseq=16
+T 200 5250 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 4800 300 4800 1 0 0
+{
+T 350 4800 9 10 1 1 0 1 1
+pinlabel=PA1/MISO0
+T 200 4850 5 8 1 1 0 6 1
+pinnumber=17
+T 200 4850 5 8 0 1 0 6 1
+pinseq=17
+T 200 4850 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 4400 300 4400 1 0 0
+{
+T 350 4400 9 10 1 1 0 1 1
+pinlabel=PA0/CS_flash
+T 200 4450 5 8 1 1 0 6 1
+pinnumber=18
+T 200 4450 5 8 0 1 0 6 1
+pinseq=18
+T 200 4450 9 10 0 1 0 6 1
+pintype=pas
+}
+V 250 3600 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+P 0 3600 200 3600 1 0 0
+{
+T 350 3600 9 10 1 1 0 1 1
+pinlabel=\_RST\_
+T 200 3650 5 8 1 1 0 6 1
+pinnumber=19
+T 200 3650 5 8 0 1 0 6 1
+pinseq=19
+T 200 3650 9 10 0 1 0 6 1
+pintype=pas
+}
+P 2700 12800 2700 12500 1 0 0
+{
+T 2700 12450 9 10 1 1 90 7 1
+pinlabel=VDD
+T 2750 12550 5 8 1 1 0 0 1
+pinnumber=20
+T 2750 12550 5 8 0 1 0 0 1
+pinseq=20
+T 2600 50 9 10 0 1 0 6 1
+pintype=pas
+}
+P 2700 0 2700 300 1 0 0
+{
+T 2700 350 9 10 1 1 90 1 1
+pinlabel=VSS
+T 2750 250 5 8 1 1 0 2 1
+pinnumber=21
+T 2750 250 5 8 0 1 0 2 1
+pinseq=21
+T 2600 950 9 10 0 1 0 6 1
+pintype=pas
+}
+P 1900 12800 1900 12500 1 0 0
+{
+T 1900 12450 9 10 1 1 90 7 1
+pinlabel=DVDD
+T 1950 12550 5 8 1 1 0 0 1
+pinnumber=22
+T 1950 12550 5 8 0 1 0 0 1
+pinseq=22
+T 1800 50 9 10 0 1 0 6 1
+pintype=pas
+}
+P 1900 0 1900 300 1 0 0
+{
+T 1900 350 9 10 1 1 90 1 1
+pinlabel=DVSS
+T 1950 250 5 8 1 1 0 2 1
+pinnumber=23
+T 1950 250 5 8 0 1 0 2 1
+pinseq=23
+T 1800 950 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 2800 300 2800 1 0 0
+{
+T 350 2800 9 10 1 1 0 1 1
+pinlabel=LFXTALI
+T 200 2850 5 8 1 1 0 6 1
+pinnumber=24
+T 200 2850 5 8 0 1 0 6 1
+pinseq=24
+T 200 2850 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 2400 300 2400 1 0 0
+{
+T 350 2400 9 10 1 1 0 1 1
+pinlabel=LFXTALO
+T 200 2450 5 8 1 1 0 6 1
+pinnumber=25
+T 200 2450 5 8 0 1 0 6 1
+pinseq=25
+T 200 2450 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 2000 300 2000 1 0 0
+{
+T 350 2000 9 10 1 1 0 1 1
+pinlabel=NC
+T 200 2050 5 8 1 1 0 6 1
+pinnumber=26
+T 200 2050 5 8 0 1 0 6 1
+pinseq=26
+T 200 2050 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 1600 300 1600 1 0 0
+{
+T 350 1600 9 10 1 1 0 1 1
+pinlabel=HFXTALI
+T 200 1650 5 8 1 1 0 6 1
+pinnumber=27
+T 200 1650 5 8 0 1 0 6 1
+pinseq=27
+T 200 1650 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 1200 300 1200 1 0 0
+{
+T 350 1200 9 10 1 1 0 1 1
+pinlabel=HFXTALO
+T 200 1250 5 8 1 1 0 6 1
+pinnumber=28
+T 200 1250 5 8 0 1 0 6 1
+pinseq=28
+T 200 1250 9 10 0 1 0 6 1
+pintype=pas
+}
+P 2300 0 2300 300 1 0 0
+{
+T 2300 350 9 10 1 1 90 1 1
+pinlabel=DVSS
+T 2350 250 5 8 1 1 0 2 1
+pinnumber=29
+T 2350 250 5 8 0 1 0 2 1
+pinseq=29
+T 2200 950 9 10 0 1 0 6 1
+pintype=pas
+}
+P 2300 12800 2300 12500 1 0 0
+{
+T 2300 12450 9 10 1 1 90 7 1
+pinlabel=DVDD
+T 2350 12550 5 8 1 1 0 0 1
+pinnumber=30
+T 2350 12550 5 8 0 1 0 0 1
+pinseq=30
+T 2200 50 9 10 0 1 0 6 1
+pintype=pas
+}
+P 3100 0 3100 300 1 0 0
+{
+T 3100 350 9 10 1 1 90 1 1
+pinlabel=VSS
+T 3150 250 5 8 1 1 0 2 1
+pinnumber=31
+T 3150 250 5 8 0 1 0 2 1
+pinseq=31
+T 3000 950 9 10 0 1 0 6 1
+pintype=pas
+}
+P 3100 12800 3100 12500 1 0 0
+{
+T 3100 12450 9 10 1 1 90 7 1
+pinlabel=VDD
+T 3150 12550 5 8 1 1 0 0 1
+pinnumber=32
+T 3150 12550 5 8 0 1 0 0 1
+pinseq=32
+T 3000 50 9 10 0 1 0 6 1
+pintype=pas
+}
+P 3900 0 3900 300 1 0 0
+{
+T 3900 350 9 10 1 1 90 1 1
+pinlabel=VSS_digi
+T 3950 250 5 8 1 1 0 2 1
+pinnumber=88
+T 3950 250 5 8 0 1 0 2 1
+pinseq=88
+T 3800 950 9 10 0 1 0 6 1
+pintype=pas
+}
+P 3900 12800 3900 12500 1 0 0
+{
+T 3900 12450 9 10 1 1 90 7 1
+pinlabel=VDD_digi
+T 3950 12550 5 8 1 1 0 0 1
+pinnumber=89
+T 3950 12550 5 8 0 1 0 0 1
+pinseq=89
+T 3800 50 9 10 0 1 0 6 1
+pintype=pas
+}
+P 3500 0 3500 300 1 0 0
+{
+T 3500 350 9 10 1 1 90 1 1
+pinlabel=VSS
+T 3550 250 5 8 1 1 0 2 1
+pinnumber=90
+T 3550 250 5 8 0 1 0 2 1
+pinseq=90
+T 3400 950 9 10 0 1 0 6 1
+pintype=pas
+}
+P 3500 12800 3500 12500 1 0 0
+{
+T 3500 12450 9 10 1 1 90 7 1
+pinlabel=VDD
+T 3550 12550 5 8 1 1 0 0 1
+pinnumber=91
+T 3550 12550 5 8 0 1 0 0 1
+pinseq=91
+T 3400 50 9 10 0 1 0 6 1
+pintype=pas
+}
+P 1500 0 1500 300 1 0 0
+{
+T 1500 350 9 10 1 1 90 1 1
+pinlabel=DVSS
+T 1550 250 5 8 1 1 0 2 1
+pinnumber=92
+T 1550 250 5 8 0 1 0 2 1
+pinseq=92
+T 1400 950 9 10 0 1 0 6 1
+pintype=pas
+}
+P 1500 12800 1500 12500 1 0 0
+{
+T 1500 12450 9 10 1 1 90 7 1
+pinlabel=DVDD
+T 1550 12550 5 8 1 1 0 0 1
+pinnumber=93
+T 1550 12550 5 8 0 1 0 0 1
+pinseq=93
+T 1400 50 9 10 0 1 0 6 1
+pintype=pas
+}
+P 5400 8400 5100 8400 1 0 0
+{
+T 5050 8400 9 10 1 1 0 7 1
+pinlabel=CS1_mux
+T 5200 8450 5 8 1 1 0 0 1
+pinnumber=94
+T 5200 8450 5 8 0 1 0 0 1
+pinseq=94
+T 5000 8450 9 10 0 1 0 6 1
+pintype=pas
+}
+P 5400 8800 5100 8800 1 0 0
+{
+T 5050 8800 9 10 1 1 0 7 1
+pinlabel=CS1_conf
+T 5200 8850 5 8 1 1 0 0 1
+pinnumber=95
+T 5200 8850 5 8 0 1 0 0 1
+pinseq=95
+T 5000 8850 9 10 0 1 0 6 1
+pintype=pas
+}
+P 5400 9200 5100 9200 1 0 0
+{
+T 5050 9200 9 10 1 1 0 7 1
+pinlabel=MULT1
+T 5200 9250 5 8 1 1 0 0 1
+pinnumber=96
+T 5200 9250 5 8 0 1 0 0 1
+pinseq=96
+T 5000 9250 9 10 0 1 0 6 1
+pintype=pas
+}
+P 5400 9600 5100 9600 1 0 0
+{
+T 5050 9600 9 10 1 1 0 7 1
+pinlabel=MULT0
+T 5200 9650 5 8 1 1 0 0 1
+pinnumber=97
+T 5200 9650 5 8 0 1 0 0 1
+pinseq=97
+T 5000 9650 9 10 0 1 0 6 1
+pintype=pas
+}
+V 5150 7600 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+P 5400 7600 5200 7600 1 0 0
+{
+T 5050 7600 9 10 1 1 0 7 1
+pinlabel=\_RESET\_
+T 5200 7650 5 8 1 1 0 0 1
+pinnumber=98
+T 5200 7650 5 8 0 1 0 0 1
+pinseq=98
+T 5000 7650 9 10 0 1 0 6 1
+pintype=pas
+}
+P 5400 10000 5100 10000 1 0 0
+{
+T 5050 10000 9 10 1 1 0 7 1
+pinlabel=NCO_CLK
+T 5200 10050 5 8 1 1 0 0 1
+pinnumber=99
+T 5200 10050 5 8 0 1 0 0 1
+pinseq=99
+T 5000 10050 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 11200 300 11200 1 0 0
+{
+T 350 11200 9 10 1 1 0 1 1
+pinlabel=INT_SPI
+T 200 11250 5 8 1 1 0 6 1
+pinnumber=100
+T 200 11250 5 8 0 1 0 6 1
+pinseq=100
+T 200 11250 9 10 0 1 0 6 1
+pintype=pas
+}
diff --git a/sch-pcb/sym/wb430-2.djboxsym b/sch-pcb/sym/wb430-2.djboxsym
new file mode 100644 (file)
index 0000000..00209a7
--- /dev/null
@@ -0,0 +1,45 @@
+#
+# AtoI Analog
+#
+
+[labels]
+AtoI
+Analog
+2of4
+refdes=AtoI0
+
+
+[top]
+77             AVDD
+
+
+[bottom]
+76             AVSS
+
+
+[left]
+75             biasp
+74             biasccp
+73             biasR
+
+72             buf_biasp
+71             buf_biasccp
+70             buf_biasR
+
+69             INA
+68             INB
+67             CMI
+
+
+[right]
+66             mux0_outA
+65             mux0_outB
+
+64             arb_out0
+63             arb_out1
+
+62             mux1_outA
+61             mux1_outB
+
+
+# vim:softtabstop=0 noexpandtab ft=sh
diff --git a/sch-pcb/sym/wb430-2.sym b/sch-pcb/sym/wb430-2.sym
new file mode 100644 (file)
index 0000000..9b0848d
--- /dev/null
@@ -0,0 +1,197 @@
+v 20060123 1
+B 300 300 3400 4800 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+T 2000 4500 9 10 1 1 0 3 1
+AtoI
+T 2000 4100 9 10 1 1 0 3 1
+Analog
+T 2000 3700 9 10 1 1 0 3 1
+2of4
+T 2000 3300 9 10 1 1 0 3 1
+refdes=AtoI0
+P 4000 1900 3700 1900 1 0 0
+{
+T 3650 1900 9 10 1 1 0 7 1
+pinlabel=mux1_outB
+T 3800 1950 5 8 1 1 0 0 1
+pinnumber=61
+T 3800 1950 5 8 0 1 0 0 1
+pinseq=61
+T 3600 1950 9 10 0 1 0 6 1
+pintype=pas
+}
+P 4000 2300 3700 2300 1 0 0
+{
+T 3650 2300 9 10 1 1 0 7 1
+pinlabel=mux1_outA
+T 3800 2350 5 8 1 1 0 0 1
+pinnumber=62
+T 3800 2350 5 8 0 1 0 0 1
+pinseq=62
+T 3600 2350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 4000 3100 3700 3100 1 0 0
+{
+T 3650 3100 9 10 1 1 0 7 1
+pinlabel=arb_out1
+T 3800 3150 5 8 1 1 0 0 1
+pinnumber=63
+T 3800 3150 5 8 0 1 0 0 1
+pinseq=63
+T 3600 3150 9 10 0 1 0 6 1
+pintype=pas
+}
+P 4000 3500 3700 3500 1 0 0
+{
+T 3650 3500 9 10 1 1 0 7 1
+pinlabel=arb_out0
+T 3800 3550 5 8 1 1 0 0 1
+pinnumber=64
+T 3800 3550 5 8 0 1 0 0 1
+pinseq=64
+T 3600 3550 9 10 0 1 0 6 1
+pintype=pas
+}
+P 4000 4300 3700 4300 1 0 0
+{
+T 3650 4300 9 10 1 1 0 7 1
+pinlabel=mux0_outB
+T 3800 4350 5 8 1 1 0 0 1
+pinnumber=65
+T 3800 4350 5 8 0 1 0 0 1
+pinseq=65
+T 3600 4350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 4000 4700 3700 4700 1 0 0
+{
+T 3650 4700 9 10 1 1 0 7 1
+pinlabel=mux0_outA
+T 3800 4750 5 8 1 1 0 0 1
+pinnumber=66
+T 3800 4750 5 8 0 1 0 0 1
+pinseq=66
+T 3600 4750 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 700 300 700 1 0 0
+{
+T 350 700 9 10 1 1 0 1 1
+pinlabel=CMI
+T 200 750 5 8 1 1 0 6 1
+pinnumber=67
+T 200 750 5 8 0 1 0 6 1
+pinseq=67
+T 200 750 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 1100 300 1100 1 0 0
+{
+T 350 1100 9 10 1 1 0 1 1
+pinlabel=INB
+T 200 1150 5 8 1 1 0 6 1
+pinnumber=68
+T 200 1150 5 8 0 1 0 6 1
+pinseq=68
+T 200 1150 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 1500 300 1500 1 0 0
+{
+T 350 1500 9 10 1 1 0 1 1
+pinlabel=INA
+T 200 1550 5 8 1 1 0 6 1
+pinnumber=69
+T 200 1550 5 8 0 1 0 6 1
+pinseq=69
+T 200 1550 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 2300 300 2300 1 0 0
+{
+T 350 2300 9 10 1 1 0 1 1
+pinlabel=buf_biasR
+T 200 2350 5 8 1 1 0 6 1
+pinnumber=70
+T 200 2350 5 8 0 1 0 6 1
+pinseq=70
+T 200 2350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 2700 300 2700 1 0 0
+{
+T 350 2700 9 10 1 1 0 1 1
+pinlabel=buf_biasccp
+T 200 2750 5 8 1 1 0 6 1
+pinnumber=71
+T 200 2750 5 8 0 1 0 6 1
+pinseq=71
+T 200 2750 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 3100 300 3100 1 0 0
+{
+T 350 3100 9 10 1 1 0 1 1
+pinlabel=buf_biasp
+T 200 3150 5 8 1 1 0 6 1
+pinnumber=72
+T 200 3150 5 8 0 1 0 6 1
+pinseq=72
+T 200 3150 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 3900 300 3900 1 0 0
+{
+T 350 3900 9 10 1 1 0 1 1
+pinlabel=biasR
+T 200 3950 5 8 1 1 0 6 1
+pinnumber=73
+T 200 3950 5 8 0 1 0 6 1
+pinseq=73
+T 200 3950 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 4300 300 4300 1 0 0
+{
+T 350 4300 9 10 1 1 0 1 1
+pinlabel=biasccp
+T 200 4350 5 8 1 1 0 6 1
+pinnumber=74
+T 200 4350 5 8 0 1 0 6 1
+pinseq=74
+T 200 4350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 4700 300 4700 1 0 0
+{
+T 350 4700 9 10 1 1 0 1 1
+pinlabel=biasp
+T 200 4750 5 8 1 1 0 6 1
+pinnumber=75
+T 200 4750 5 8 0 1 0 6 1
+pinseq=75
+T 200 4750 9 10 0 1 0 6 1
+pintype=pas
+}
+P 2000 0 2000 300 1 0 0
+{
+T 2000 350 9 10 1 1 0 3 1
+pinlabel=AVSS
+T 2050 250 5 8 1 1 0 2 1
+pinnumber=76
+T 2050 250 5 8 0 1 0 2 1
+pinseq=76
+T 1900 50 9 10 0 1 0 6 1
+pintype=pas
+}
+P 2000 5400 2000 5100 1 0 0
+{
+T 2000 5050 9 10 1 1 0 5 1
+pinlabel=AVDD
+T 2050 5150 5 8 1 1 0 0 1
+pinnumber=77
+T 2050 5150 5 8 0 1 0 0 1
+pinseq=77
+T 1900 50 9 10 0 1 0 6 1
+pintype=pas
+}
diff --git a/sch-pcb/sym/wb430-3.djboxsym b/sch-pcb/sym/wb430-3.djboxsym
new file mode 100644 (file)
index 0000000..c211fd9
--- /dev/null
@@ -0,0 +1,28 @@
+#
+# AtoI OTA
+#
+
+[labels]
+AtoI
+OTA
+3of4
+refdes=AtoI0
+
+
+[left]
+87             ota_slow
+86             ota_slowinv
+85             ota_biasa
+84             ota_biasb
+83             ota_biasccp
+82             ota_biasccn
+81             ota_ina
+80             ota_inb
+79             ota_cmi
+
+
+[right]
+78             ota_out
+
+
+# vim:softtabstop=0 noexpandtab ft=sh
diff --git a/sch-pcb/sym/wb430-3.sym b/sch-pcb/sym/wb430-3.sym
new file mode 100644 (file)
index 0000000..d9a8b06
--- /dev/null
@@ -0,0 +1,120 @@
+v 20060123 1
+B 300 300 3300 4000 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+T 2000 3700 9 10 1 1 0 3 1
+AtoI
+T 2000 3300 9 10 1 1 0 3 1
+OTA
+T 2000 2900 9 10 1 1 0 3 1
+3of4
+T 2000 2500 9 10 1 1 0 3 1
+refdes=AtoI0
+P 3900 3900 3600 3900 1 0 0
+{
+T 3550 3900 9 10 1 1 0 7 1
+pinlabel=ota_out
+T 3700 3950 5 8 1 1 0 0 1
+pinnumber=78
+T 3700 3950 5 8 0 1 0 0 1
+pinseq=78
+T 3500 3950 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 700 300 700 1 0 0
+{
+T 350 700 9 10 1 1 0 1 1
+pinlabel=ota_cmi
+T 200 750 5 8 1 1 0 6 1
+pinnumber=79
+T 200 750 5 8 0 1 0 6 1
+pinseq=79
+T 200 750 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 1100 300 1100 1 0 0
+{
+T 350 1100 9 10 1 1 0 1 1
+pinlabel=ota_inb
+T 200 1150 5 8 1 1 0 6 1
+pinnumber=80
+T 200 1150 5 8 0 1 0 6 1
+pinseq=80
+T 200 1150 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 1500 300 1500 1 0 0
+{
+T 350 1500 9 10 1 1 0 1 1
+pinlabel=ota_ina
+T 200 1550 5 8 1 1 0 6 1
+pinnumber=81
+T 200 1550 5 8 0 1 0 6 1
+pinseq=81
+T 200 1550 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 1900 300 1900 1 0 0
+{
+T 350 1900 9 10 1 1 0 1 1
+pinlabel=ota_biasccn
+T 200 1950 5 8 1 1 0 6 1
+pinnumber=82
+T 200 1950 5 8 0 1 0 6 1
+pinseq=82
+T 200 1950 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 2300 300 2300 1 0 0
+{
+T 350 2300 9 10 1 1 0 1 1
+pinlabel=ota_biasccp
+T 200 2350 5 8 1 1 0 6 1
+pinnumber=83
+T 200 2350 5 8 0 1 0 6 1
+pinseq=83
+T 200 2350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 2700 300 2700 1 0 0
+{
+T 350 2700 9 10 1 1 0 1 1
+pinlabel=ota_biasb
+T 200 2750 5 8 1 1 0 6 1
+pinnumber=84
+T 200 2750 5 8 0 1 0 6 1
+pinseq=84
+T 200 2750 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 3100 300 3100 1 0 0
+{
+T 350 3100 9 10 1 1 0 1 1
+pinlabel=ota_biasa
+T 200 3150 5 8 1 1 0 6 1
+pinnumber=85
+T 200 3150 5 8 0 1 0 6 1
+pinseq=85
+T 200 3150 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 3500 300 3500 1 0 0
+{
+T 350 3500 9 10 1 1 0 1 1
+pinlabel=ota_slowinv
+T 200 3550 5 8 1 1 0 6 1
+pinnumber=86
+T 200 3550 5 8 0 1 0 6 1
+pinseq=86
+T 200 3550 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 3900 300 3900 1 0 0
+{
+T 350 3900 9 10 1 1 0 1 1
+pinlabel=ota_slow
+T 200 3950 5 8 1 1 0 6 1
+pinnumber=87
+T 200 3950 5 8 0 1 0 6 1
+pinseq=87
+T 200 3950 9 10 0 1 0 6 1
+pintype=pas
+}
diff --git a/sch-pcb/sym/wb430-4.djboxsym b/sch-pcb/sym/wb430-4.djboxsym
new file mode 100644 (file)
index 0000000..95072d5
--- /dev/null
@@ -0,0 +1,46 @@
+# 
+# MB unused pins
+#
+
+[labels]
+AtoI
+mb
+4of4
+refdes=AtoI0
+
+
+[left]
+33             mb
+34             mb
+35             mb
+36             mb
+37             mb
+38             mb
+39             mb
+40             mb
+41             mb
+42             mb
+43             mb
+44             mb
+45             mb
+46             mb
+47             mb
+48             mb
+49             mb
+50             mb
+
+
+[right]
+60             mb
+59             mb
+58             mb
+57             mb
+56             mb
+55             mb
+54             mb
+53             mb
+52             mb
+51             mb
+
+
+# vim:softtabstop=0 noexpandtab ft=sh
diff --git a/sch-pcb/sym/wb430-4.sym b/sch-pcb/sym/wb430-4.sym
new file mode 100644 (file)
index 0000000..3d9b7e6
--- /dev/null
@@ -0,0 +1,318 @@
+v 20060123 1
+B 300 300 1400 7600 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+T 1000 7300 9 10 1 1 0 3 1
+AtoI
+T 1000 6900 9 10 1 1 0 3 1
+mb
+T 1000 6500 9 10 1 1 0 3 1
+4of4
+T 1000 6100 9 10 1 1 0 3 1
+refdes=AtoI0
+P 0 7500 300 7500 1 0 0
+{
+T 350 7500 9 10 1 1 0 1 1
+pinlabel=mb
+T 200 7550 5 8 1 1 0 6 1
+pinnumber=33
+T 200 7550 5 8 0 1 0 6 1
+pinseq=33
+T 200 7550 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 7100 300 7100 1 0 0
+{
+T 350 7100 9 10 1 1 0 1 1
+pinlabel=mb
+T 200 7150 5 8 1 1 0 6 1
+pinnumber=34
+T 200 7150 5 8 0 1 0 6 1
+pinseq=34
+T 200 7150 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 6700 300 6700 1 0 0
+{
+T 350 6700 9 10 1 1 0 1 1
+pinlabel=mb
+T 200 6750 5 8 1 1 0 6 1
+pinnumber=35
+T 200 6750 5 8 0 1 0 6 1
+pinseq=35
+T 200 6750 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 6300 300 6300 1 0 0
+{
+T 350 6300 9 10 1 1 0 1 1
+pinlabel=mb
+T 200 6350 5 8 1 1 0 6 1
+pinnumber=36
+T 200 6350 5 8 0 1 0 6 1
+pinseq=36
+T 200 6350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 5900 300 5900 1 0 0
+{
+T 350 5900 9 10 1 1 0 1 1
+pinlabel=mb
+T 200 5950 5 8 1 1 0 6 1
+pinnumber=37
+T 200 5950 5 8 0 1 0 6 1
+pinseq=37
+T 200 5950 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 5500 300 5500 1 0 0
+{
+T 350 5500 9 10 1 1 0 1 1
+pinlabel=mb
+T 200 5550 5 8 1 1 0 6 1
+pinnumber=38
+T 200 5550 5 8 0 1 0 6 1
+pinseq=38
+T 200 5550 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 5100 300 5100 1 0 0
+{
+T 350 5100 9 10 1 1 0 1 1
+pinlabel=mb
+T 200 5150 5 8 1 1 0 6 1
+pinnumber=39
+T 200 5150 5 8 0 1 0 6 1
+pinseq=39
+T 200 5150 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 4700 300 4700 1 0 0
+{
+T 350 4700 9 10 1 1 0 1 1
+pinlabel=mb
+T 200 4750 5 8 1 1 0 6 1
+pinnumber=40
+T 200 4750 5 8 0 1 0 6 1
+pinseq=40
+T 200 4750 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 4300 300 4300 1 0 0
+{
+T 350 4300 9 10 1 1 0 1 1
+pinlabel=mb
+T 200 4350 5 8 1 1 0 6 1
+pinnumber=41
+T 200 4350 5 8 0 1 0 6 1
+pinseq=41
+T 200 4350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 3900 300 3900 1 0 0
+{
+T 350 3900 9 10 1 1 0 1 1
+pinlabel=mb
+T 200 3950 5 8 1 1 0 6 1
+pinnumber=42
+T 200 3950 5 8 0 1 0 6 1
+pinseq=42
+T 200 3950 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 3500 300 3500 1 0 0
+{
+T 350 3500 9 10 1 1 0 1 1
+pinlabel=mb
+T 200 3550 5 8 1 1 0 6 1
+pinnumber=43
+T 200 3550 5 8 0 1 0 6 1
+pinseq=43
+T 200 3550 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 3100 300 3100 1 0 0
+{
+T 350 3100 9 10 1 1 0 1 1
+pinlabel=mb
+T 200 3150 5 8 1 1 0 6 1
+pinnumber=44
+T 200 3150 5 8 0 1 0 6 1
+pinseq=44
+T 200 3150 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 2700 300 2700 1 0 0
+{
+T 350 2700 9 10 1 1 0 1 1
+pinlabel=mb
+T 200 2750 5 8 1 1 0 6 1
+pinnumber=45
+T 200 2750 5 8 0 1 0 6 1
+pinseq=45
+T 200 2750 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 2300 300 2300 1 0 0
+{
+T 350 2300 9 10 1 1 0 1 1
+pinlabel=mb
+T 200 2350 5 8 1 1 0 6 1
+pinnumber=46
+T 200 2350 5 8 0 1 0 6 1
+pinseq=46
+T 200 2350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 1900 300 1900 1 0 0
+{
+T 350 1900 9 10 1 1 0 1 1
+pinlabel=mb
+T 200 1950 5 8 1 1 0 6 1
+pinnumber=47
+T 200 1950 5 8 0 1 0 6 1
+pinseq=47
+T 200 1950 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 1500 300 1500 1 0 0
+{
+T 350 1500 9 10 1 1 0 1 1
+pinlabel=mb
+T 200 1550 5 8 1 1 0 6 1
+pinnumber=48
+T 200 1550 5 8 0 1 0 6 1
+pinseq=48
+T 200 1550 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 1100 300 1100 1 0 0
+{
+T 350 1100 9 10 1 1 0 1 1
+pinlabel=mb
+T 200 1150 5 8 1 1 0 6 1
+pinnumber=49
+T 200 1150 5 8 0 1 0 6 1
+pinseq=49
+T 200 1150 9 10 0 1 0 6 1
+pintype=pas
+}
+P 0 700 300 700 1 0 0
+{
+T 350 700 9 10 1 1 0 1 1
+pinlabel=mb
+T 200 750 5 8 1 1 0 6 1
+pinnumber=50
+T 200 750 5 8 0 1 0 6 1
+pinseq=50
+T 200 750 9 10 0 1 0 6 1
+pintype=pas
+}
+P 2000 3900 1700 3900 1 0 0
+{
+T 1650 3900 9 10 1 1 0 7 1
+pinlabel=mb
+T 1800 3950 5 8 1 1 0 0 1
+pinnumber=51
+T 1800 3950 5 8 0 1 0 0 1
+pinseq=51
+T 1600 3950 9 10 0 1 0 6 1
+pintype=pas
+}
+P 2000 4300 1700 4300 1 0 0
+{
+T 1650 4300 9 10 1 1 0 7 1
+pinlabel=mb
+T 1800 4350 5 8 1 1 0 0 1
+pinnumber=52
+T 1800 4350 5 8 0 1 0 0 1
+pinseq=52
+T 1600 4350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 2000 4700 1700 4700 1 0 0
+{
+T 1650 4700 9 10 1 1 0 7 1
+pinlabel=mb
+T 1800 4750 5 8 1 1 0 0 1
+pinnumber=53
+T 1800 4750 5 8 0 1 0 0 1
+pinseq=53
+T 1600 4750 9 10 0 1 0 6 1
+pintype=pas
+}
+P 2000 5100 1700 5100 1 0 0
+{
+T 1650 5100 9 10 1 1 0 7 1
+pinlabel=mb
+T 1800 5150 5 8 1 1 0 0 1
+pinnumber=54
+T 1800 5150 5 8 0 1 0 0 1
+pinseq=54
+T 1600 5150 9 10 0 1 0 6 1
+pintype=pas
+}
+P 2000 5500 1700 5500 1 0 0
+{
+T 1650 5500 9 10 1 1 0 7 1
+pinlabel=mb
+T 1800 5550 5 8 1 1 0 0 1
+pinnumber=55
+T 1800 5550 5 8 0 1 0 0 1
+pinseq=55
+T 1600 5550 9 10 0 1 0 6 1
+pintype=pas
+}
+P 2000 5900 1700 5900 1 0 0
+{
+T 1650 5900 9 10 1 1 0 7 1
+pinlabel=mb
+T 1800 5950 5 8 1 1 0 0 1
+pinnumber=56
+T 1800 5950 5 8 0 1 0 0 1
+pinseq=56
+T 1600 5950 9 10 0 1 0 6 1
+pintype=pas
+}
+P 2000 6300 1700 6300 1 0 0
+{
+T 1650 6300 9 10 1 1 0 7 1
+pinlabel=mb
+T 1800 6350 5 8 1 1 0 0 1
+pinnumber=57
+T 1800 6350 5 8 0 1 0 0 1
+pinseq=57
+T 1600 6350 9 10 0 1 0 6 1
+pintype=pas
+}
+P 2000 6700 1700 6700 1 0 0
+{
+T 1650 6700 9 10 1 1 0 7 1
+pinlabel=mb
+T 1800 6750 5 8 1 1 0 0 1
+pinnumber=58
+T 1800 6750 5 8 0 1 0 0 1
+pinseq=58
+T 1600 6750 9 10 0 1 0 6 1
+pintype=pas
+}
+P 2000 7100 1700 7100 1 0 0
+{
+T 1650 7100 9 10 1 1 0 7 1
+pinlabel=mb
+T 1800 7150 5 8 1 1 0 0 1
+pinnumber=59
+T 1800 7150 5 8 0 1 0 0 1
+pinseq=59
+T 1600 7150 9 10 0 1 0 6 1
+pintype=pas
+}
+P 2000 7500 1700 7500 1 0 0
+{
+T 1650 7500 9 10 1 1 0 7 1
+pinlabel=mb
+T 1800 7550 5 8 1 1 0 0 1
+pinnumber=60
+T 1800 7550 5 8 0 1 0 0 1
+pinseq=60
+T 1600 7550 9 10 0 1 0 6 1
+pintype=pas
+}
diff --git a/sch-pcb/waeda-fp b/sch-pcb/waeda-fp
new file mode 160000 (submodule)
index 0000000..658636c
--- /dev/null
@@ -0,0 +1 @@
+Subproject commit 658636cc5a84eecaa9013b086bebb5a6c3e7ce40
diff --git a/sch-pcb/waeda-sym b/sch-pcb/waeda-sym
new file mode 160000 (submodule)
index 0000000..2412006
--- /dev/null
@@ -0,0 +1 @@
+Subproject commit 2412006c43c1ad4c91df175b443ac437bb5035d9
diff --git a/test-pcb/Makefile b/test-pcb/Makefile
deleted file mode 100644 (file)
index 8ef1364..0000000
+++ /dev/null
@@ -1,5 +0,0 @@
-
-
-
-clean:
-       rm -f *sch~
diff --git a/test-pcb/atoi-1.sch b/test-pcb/atoi-1.sch
deleted file mode 100644 (file)
index 7705fe2..0000000
+++ /dev/null
@@ -1,564 +0,0 @@
-v 20111231 2
-C 39600 47400 1 0 0 wb430-1.sym
-{
-T 46000 57500 5 10 1 1 0 3 1
-refdes=U?
-T 46000 57100 5 10 1 1 0 3 1
-footprint=SEMPAC_12x12_100A.fp
-}
-C 25600 48700 1 0 0 cc430f5137-1.sym
-{
-T 29700 62700 5 10 1 1 0 3 1
-refdes=U?
-T 29700 61900 5 10 1 1 0 3 1
-footprint=QFN_48N__TI.fp
-T 29700 61500 5 10 1 1 0 3 1
-document=cc430f5137.pdf
-}
-C 60600 63100 1 0 0 resistor-3.sym
-{
-T 57200 63900 5 10 0 0 0 0 1
-device=RESISTOR
-T 60900 63700 5 10 1 1 0 0 1
-refdes=R?
-T 60900 63400 5 10 1 1 0 0 1
-value=1k
-}
-C 60600 62800 1 0 0 gnd-1.sym
-{
-T 60500 62650 5 10 1 1 0 0 1
-net=AVSS
-}
-C 56500 62700 1 0 1 resistor-3.sym
-{
-T 56200 63300 5 10 1 1 0 6 1
-refdes=R?
-T 56200 63000 5 10 1 1 0 6 1
-value=1k
-}
-C 56500 62400 1 0 1 gnd-1.sym
-{
-T 56600 62250 5 10 1 1 0 6 1
-net=AVSS
-}
-C 57400 55400 1 0 0 EMBEDDEDdac8568-1.sym
-[
-B 57700 55700 2300 4500 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-V 57650 59300 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-P 57400 59300 57600 59300 1 0 0
-{
-T 57750 59300 9 10 1 1 0 1 1
-pinlabel=\_LDAC\_
-T 57600 59350 5 8 1 1 0 6 1
-pinnumber=1
-T 57600 59350 5 8 0 1 0 6 1
-pinseq=1
-T 57600 59350 9 10 0 1 0 6 1
-pintype=in
-}
-V 57650 58900 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-P 57400 58900 57600 58900 1 0 0
-{
-T 57750 58900 9 10 1 1 0 1 1
-pinlabel=\_SYNC\_
-T 57600 58950 5 8 1 1 0 6 1
-pinnumber=2
-T 57600 58950 5 8 0 1 0 6 1
-pinseq=2
-T 57600 58950 9 10 0 1 0 6 1
-pintype=in
-}
-P 58900 60500 58900 60200 1 0 0
-{
-T 58900 60150 9 10 1 1 90 7 1
-pinlabel=AVDD
-T 58950 60250 5 8 1 1 0 0 1
-pinnumber=3
-T 58950 60250 5 8 0 1 0 0 1
-pinseq=3
-T 58800 55450 9 10 0 1 0 6 1
-pintype=pwr
-}
-P 57400 58100 57700 58100 1 0 0
-{
-T 57750 58100 9 10 1 1 0 1 1
-pinlabel=Vout0
-T 57600 58150 5 8 1 1 0 6 1
-pinnumber=4
-T 57600 58150 5 8 0 1 0 6 1
-pinseq=4
-T 57600 58150 9 10 0 1 0 6 1
-pintype=out
-}
-P 57400 57700 57700 57700 1 0 0
-{
-T 57750 57700 9 10 1 1 0 1 1
-pinlabel=Vout2
-T 57600 57750 5 8 1 1 0 6 1
-pinnumber=5
-T 57600 57750 5 8 0 1 0 6 1
-pinseq=5
-T 57600 57750 9 10 0 1 0 6 1
-pintype=out
-}
-P 57400 57300 57700 57300 1 0 0
-{
-T 57750 57300 9 10 1 1 0 1 1
-pinlabel=Vout4
-T 57600 57350 5 8 1 1 0 6 1
-pinnumber=6
-T 57600 57350 5 8 0 1 0 6 1
-pinseq=6
-T 57600 57350 9 10 0 1 0 6 1
-pintype=out
-}
-P 57400 56900 57700 56900 1 0 0
-{
-T 57750 56900 9 10 1 1 0 1 1
-pinlabel=Vout6
-T 57600 56950 5 8 1 1 0 6 1
-pinnumber=7
-T 57600 56950 5 8 0 1 0 6 1
-pinseq=7
-T 57600 56950 9 10 0 1 0 6 1
-pintype=out
-}
-P 57400 56100 57700 56100 1 0 0
-{
-T 57750 56100 9 10 1 1 0 1 1
-pinlabel=Vref
-T 57600 56150 5 8 1 1 0 6 1
-pinnumber=8
-T 57600 56150 5 8 0 1 0 6 1
-pinseq=8
-T 57600 56150 9 10 0 1 0 6 1
-pintype=out
-}
-V 60050 56100 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-P 60300 56100 60100 56100 1 0 0
-{
-T 59950 56100 9 10 1 1 0 7 1
-pinlabel=\_CLR\_
-T 60100 56150 5 8 1 1 0 0 1
-pinnumber=9
-T 60100 56150 5 8 0 1 0 0 1
-pinseq=9
-T 59900 56150 9 10 0 1 0 6 1
-pintype=in
-}
-P 60300 56900 60000 56900 1 0 0
-{
-T 59950 56900 9 10 1 1 0 7 1
-pinlabel=Vout7
-T 60100 56950 5 8 1 1 0 0 1
-pinnumber=10
-T 60100 56950 5 8 0 1 0 0 1
-pinseq=10
-T 59900 56950 9 10 0 1 0 6 1
-pintype=out
-}
-P 60300 57300 60000 57300 1 0 0
-{
-T 59950 57300 9 10 1 1 0 7 1
-pinlabel=Vout5
-T 60100 57350 5 8 1 1 0 0 1
-pinnumber=11
-T 60100 57350 5 8 0 1 0 0 1
-pinseq=11
-T 59900 57350 9 10 0 1 0 6 1
-pintype=out
-}
-P 60300 57700 60000 57700 1 0 0
-{
-T 59950 57700 9 10 1 1 0 7 1
-pinlabel=Vout3
-T 60100 57750 5 8 1 1 0 0 1
-pinnumber=12
-T 60100 57750 5 8 0 1 0 0 1
-pinseq=12
-T 59900 57750 9 10 0 1 0 6 1
-pintype=out
-}
-P 60300 58100 60000 58100 1 0 0
-{
-T 59950 58100 9 10 1 1 0 7 1
-pinlabel=Vout1
-T 60100 58150 5 8 1 1 0 0 1
-pinnumber=13
-T 60100 58150 5 8 0 1 0 0 1
-pinseq=13
-T 59900 58150 9 10 0 1 0 6 1
-pintype=out
-}
-P 58900 55400 58900 55700 1 0 0
-{
-T 58900 55750 9 10 1 1 90 1 1
-pinlabel=GND
-T 58950 55650 5 8 1 1 0 2 1
-pinnumber=14
-T 58950 55650 5 8 0 1 0 2 1
-pinseq=14
-T 58800 55850 9 10 0 1 0 6 1
-pintype=pwr
-}
-P 60300 58900 60000 58900 1 0 0
-{
-T 59950 58900 9 10 1 1 0 7 1
-pinlabel=DIN
-T 60100 58950 5 8 1 1 0 0 1
-pinnumber=15
-T 60100 58950 5 8 0 1 0 0 1
-pinseq=15
-T 59900 58950 9 10 0 1 0 6 1
-pintype=in
-}
-P 60300 59300 60000 59300 1 0 0
-{
-T 59950 59300 9 10 1 1 0 7 1
-pinlabel=SCLK
-T 60100 59350 5 8 1 1 0 0 1
-pinnumber=16
-T 60100 59350 5 8 0 1 0 0 1
-pinseq=16
-T 59900 59350 9 10 0 1 0 6 1
-pintype=in
-}
-T 60000 60300 9 10 0 0 0 0 1
-document=dac8586.pdf
-T 60000 60500 9 10 0 0 0 0 1
-footprint=TSSOP_16N__TI
-T 58900 59100 9 10 0 1 0 3 1
-refdes=U?
-T 58900 58700 9 10 1 1 0 3 1
-DAC8568
-]
-{
-T 59900 53400 5 10 0 0 0 0 1
-footprint=TSSOP_16N__TI
-T 58900 59100 5 10 1 1 0 3 1
-refdes=U?
-}
-C 56800 48400 1 0 0 ads8201-1.sym
-{
-T 59700 53200 5 10 0 0 0 0 1
-footprint=QFN_24N__TI
-T 58400 51600 5 10 1 1 0 3 1
-refdes=U?
-}
-C 57600 60700 1 0 0 ad5242-1.sym
-{
-T 61500 60500 5 10 0 0 0 0 1
-footprint=QFN_24N__ADI
-T 58700 64800 5 10 1 1 0 3 1
-refdes=U?
-}
-C 55800 62700 1 0 1 resistor-3.sym
-{
-T 55500 63300 5 10 1 1 0 6 1
-refdes=R?
-T 55500 63000 5 10 1 1 0 6 1
-value=1k
-}
-C 61300 63100 1 0 0 resistor-3.sym
-{
-T 61600 63700 5 10 1 1 0 0 1
-refdes=R?
-T 61600 63400 5 10 1 1 0 0 1
-value=1k
-}
-C 51400 60200 1 0 0 gnd-1.sym
-{
-T 51600 60350 5 10 1 1 0 0 1
-net=AVSS
-}
-N 51500 60500 50800 60500 4
-N 50800 60500 50800 60100 4
-N 56400 64200 57600 64200 4
-{
-T 57100 64200 5 10 1 1 0 0 1
-netname=biasR
-}
-N 52300 57300 53400 57300 4
-{
-T 52500 57300 5 10 1 1 0 0 1
-netname=biasR
-}
-N 59700 64600 61200 64600 4
-{
-T 59800 64600 5 10 1 1 0 0 1
-netname=buf_biasR
-}
-C 59900 64800 1 0 0 pad-r.sym
-{
-T 60200 65200 5 10 1 1 0 0 1
-refdes=TP?
-}
-C 56200 64400 1 0 0 pad-l.sym
-{
-T 56700 64800 5 10 1 1 0 0 1
-refdes=TP?
-}
-N 57400 64600 57600 64600 4
-N 59900 65000 59700 65000 4
-N 60700 64000 60700 64200 4
-N 56400 63600 56400 63800 4
-N 55700 58100 55700 62700 4
-N 55700 58100 57400 58100 4
-N 61400 58100 60300 58100 4
-N 57400 57700 56500 57700 4
-{
-T 56700 57700 5 10 1 1 0 0 1
-netname=INA
-}
-N 60300 57700 61300 57700 4
-{
-T 60700 57700 5 10 1 1 0 0 1
-netname=INB
-}
-N 52300 55700 53400 55700 4
-{
-T 52500 55700 5 10 1 1 0 0 1
-netname=INA
-}
-N 52300 55300 53400 55300 4
-{
-T 52500 55300 5 10 1 1 0 0 1
-netname=INB
-}
-N 52300 54900 53400 54900 4
-{
-T 52500 54900 5 10 1 1 0 0 1
-netname=CMI
-}
-C 53000 63600 1 0 1 opamp-dual-1.sym
-{
-T 53900 64300 5 10 0 0 0 6 1
-device=DUAL_OPAMP
-T 52900 64400 5 10 1 1 0 6 1
-refdes=U?
-T 52300 64300 5 10 1 0 0 6 1
-slot=2
-T 53400 62900 5 10 0 1 0 6 1
-footprint=SOT23__Maxim
-T 53900 64500 5 10 0 0 0 6 1
-symversion=0.2
-T 52300 63700 5 10 1 1 0 6 1
-value=MAX9912
-T 53400 62700 5 10 0 0 0 6 1
-slot=2
-}
-C 55800 64200 1 0 1 opamp-dual-1.sym
-{
-T 53700 65900 5 10 0 0 0 6 1
-device=DUAL_OPAMP
-T 55700 65000 5 10 1 1 0 6 1
-refdes=U?
-T 55100 64900 5 10 1 0 0 6 1
-slot=1
-T 53200 64500 5 10 0 1 0 6 1
-footprint=SOT23__Maxim
-T 53700 66100 5 10 0 0 0 6 1
-symversion=0.2
-T 55100 64300 5 10 1 1 0 6 1
-value=MAX9912
-}
-N 53400 64600 54800 64600 4
-{
-T 53500 64700 5 10 1 1 0 0 1
-netname=ADC0_IN0
-}
-N 54500 64600 54500 65400 4
-N 54500 65400 55800 65400 4
-N 55800 65400 55800 64800 4
-N 53000 64200 53000 64800 4
-N 51700 64800 53000 64800 4
-N 51700 64000 51700 64800 4
-N 50700 64000 52000 64000 4
-{
-T 50800 64100 5 10 1 1 0 0 1
-netname=ADC0_IN1
-}
-N 53000 63800 57600 63800 4
-N 55700 63800 55700 63600 4
-N 59700 64200 64600 64200 4
-N 61400 64000 61400 64200 4
-C 64600 64000 1 0 0 opamp-dual-1.sym
-{
-T 64700 64800 5 10 1 1 0 0 1
-refdes=U?
-T 65300 64700 5 10 1 0 0 0 1
-slot=2
-T 65300 64100 5 10 1 1 0 0 1
-value=MAX9912
-}
-C 61800 64600 1 0 0 opamp-dual-1.sym
-{
-T 61900 65400 5 10 1 1 0 0 1
-refdes=U?
-T 62500 65300 5 10 1 0 0 0 1
-slot=1
-T 62500 64700 5 10 1 1 0 0 1
-value=MAX9912
-}
-N 62800 65000 64200 65000 4
-{
-T 63300 65100 5 10 1 1 0 0 1
-netname=ADC0_IN2
-}
-N 63100 65000 63100 65800 4
-N 63100 65800 61800 65800 4
-N 61800 65800 61800 65200 4
-N 64600 64600 64600 65200 4
-N 65900 65200 64600 65200 4
-N 65900 64400 65900 65200 4
-N 65600 64400 67000 64400 4
-{
-T 66100 64500 5 10 1 1 0 0 1
-netname=ADC0_IN3
-}
-N 61400 63100 61400 58100 4
-N 58600 53200 58600 54200 4
-{
-T 58600 53300 5 10 1 1 90 0 1
-netname=ADC0_IN0
-}
-N 58200 53200 58200 54200 4
-{
-T 58200 53300 5 10 1 1 90 0 1
-netname=ADC0_IN1
-}
-N 57800 53200 57800 54200 4
-{
-T 57800 53300 5 10 1 1 90 0 1
-netname=ADC0_IN2
-}
-N 57400 53200 57400 54200 4
-{
-T 57400 53300 5 10 1 1 90 0 1
-netname=ADC0_IN3
-}
-N 52300 56100 53400 56100 4
-{
-T 52500 56100 5 10 1 1 0 0 1
-netname=buf_biasR
-}
-C 57300 62100 1 0 1 resistor-3.sym
-{
-T 57000 62700 5 10 1 1 0 6 1
-refdes=R?
-T 57000 62400 5 10 1 1 0 6 1
-value=1k
-}
-N 57600 63000 57200 63000 4
-N 57200 62100 57600 62100 4
-N 57600 62100 57600 62600 4
-C 59900 60900 1 0 0 gnd-1.sym
-{
-T 59800 60750 5 10 1 1 0 0 1
-net=AVSS
-}
-N 59700 63000 60000 63000 4
-N 60000 61200 60000 63000 4
-N 60000 62600 59700 62600 4
-C 57200 63200 1 0 0 generic-power.sym
-{
-T 57400 63450 5 10 1 1 0 3 1
-net=VddPA:1
-}
-N 57400 63200 57400 63000 4
-N 59700 61800 60000 61800 4
-N 59700 61400 60000 61400 4
-C 58700 60500 1 0 0 generic-power.sym
-{
-T 58900 60750 5 10 1 1 0 3 1
-net=VddPA:1
-}
-C 58800 55100 1 0 0 gnd-1.sym
-{
-T 58700 54950 5 10 1 1 0 0 1
-net=AVSS
-}
-N 52300 54500 53400 54500 4
-{
-T 52500 54500 5 10 1 1 0 0 1
-netname=ADC0_IN4
-}
-N 52300 54100 53400 54100 4
-{
-T 52500 54100 5 10 1 1 0 0 1
-netname=ADC0_IN5
-}
-N 52300 52900 53400 52900 4
-{
-T 52500 52900 5 10 1 1 0 0 1
-netname=ADC0_IN6
-}
-N 52300 52500 53400 52500 4
-{
-T 52500 52500 5 10 1 1 0 0 1
-netname=ADC0_IN7
-}
-N 55800 51800 56800 51800 4
-{
-T 55900 51800 5 10 1 1 0 0 1
-netname=ADC0_IN4
-}
-N 55800 51400 56800 51400 4
-{
-T 55900 51400 5 10 1 1 0 0 1
-netname=ADC0_IN5
-}
-N 55800 51000 56800 51000 4
-{
-T 55900 51000 5 10 1 1 0 0 1
-netname=ADC0_IN6
-}
-N 55800 50600 56800 50600 4
-{
-T 55900 50600 5 10 1 1 0 0 1
-netname=ADC0_IN7
-}
-C 52300 64400 1 0 0 generic-power.sym
-{
-T 52500 64650 5 10 1 1 0 3 1
-net=VddPA:1
-}
-C 52600 63300 1 0 1 gnd-1.sym
-{
-T 52500 63450 5 10 1 1 0 6 1
-net=AVSS
-}
-C 55100 65000 1 0 0 generic-power.sym
-{
-T 55300 65250 5 10 1 1 0 3 1
-net=VddPA:1
-}
-C 55400 63900 1 0 1 gnd-1.sym
-{
-T 55300 64050 5 10 1 1 0 6 1
-net=AVSS
-}
-N 55800 64400 56400 64400 4
-N 56400 64400 56400 64200 4
-C 62100 65400 1 0 0 generic-power.sym
-{
-T 62300 65650 5 10 1 1 0 3 1
-net=VddPA:1
-}
-C 62400 64300 1 0 1 gnd-1.sym
-{
-T 62300 64450 5 10 1 1 0 6 1
-net=AVSS
-}
-C 64900 64800 1 0 0 generic-power.sym
-{
-T 65100 65050 5 10 1 1 0 3 1
-net=VddPA:1
-}
-C 65200 63700 1 0 1 gnd-1.sym
-{
-T 65100 63850 5 10 1 1 0 6 1
-net=AVSS
-}
-N 61800 64800 61200 64800 4
-N 61200 64800 61200 64600 4
diff --git a/test-pcb/atoi.gsch2pcb b/test-pcb/atoi.gsch2pcb
deleted file mode 100644 (file)
index 9208d74..0000000
+++ /dev/null
@@ -1,5 +0,0 @@
-skip-m4
-use-files
-elements-dir fp
-schematics atoi-1.sch
-output-name atoi
diff --git a/test-pcb/doc/ocp_qfn_12x12_100a_mo.pdf b/test-pcb/doc/ocp_qfn_12x12_100a_mo.pdf
deleted file mode 100644 (file)
index 4d7b6e4..0000000
Binary files a/test-pcb/doc/ocp_qfn_12x12_100a_mo.pdf and /dev/null differ
diff --git a/test-pcb/doc/qfn_lands_sloa122.pdf b/test-pcb/doc/qfn_lands_sloa122.pdf
deleted file mode 100644 (file)
index 90bf71c..0000000
Binary files a/test-pcb/doc/qfn_lands_sloa122.pdf and /dev/null differ
diff --git a/test-pcb/doc/qfn_pcb_slua271a.pdf b/test-pcb/doc/qfn_pcb_slua271a.pdf
deleted file mode 100644 (file)
index 598bf44..0000000
Binary files a/test-pcb/doc/qfn_pcb_slua271a.pdf and /dev/null differ
diff --git a/test-pcb/fp/CON_HDR-100P-25N__Hirose_DF9-25P-1V_renum b/test-pcb/fp/CON_HDR-100P-25N__Hirose_DF9-25P-1V_renum
deleted file mode 100644 (file)
index cacd099..0000000
+++ /dev/null
@@ -1,54 +0,0 @@
-Element[0x0 "FPDI Connector" "" "" 0 0 26499 -15267 0 100 0x0]
-(
-   Pad[23622 5000 23622 9488 2755 2000 4755 "" "1" 0x0100]
-   Pad[19685 5000 19685 9488 2755 2000 4755 "" "3" 0x0100]
-   Pad[15748 5000 15748 9488 2755 2000 4755 "" "5" 0x0100]
-   Pad[11811 5000 11811 9488 2755 2000 4755 "" "7" 0x0100]
-   Pad[7874 5000 7874 9488 2755 2000 4755 "" "9" 0x0100]
-   Pad[3937 5000 3937 9488 2755 2000 4755 "" "11" 0x0100]
-   Pad[0 5000 0 9488 2755 2000 4755 "" "13" 0x0100]
-   Pad[-3937 5000 -3937 9488 2755 2000 4755 "" "15" 0x0100]
-   Pad[-7874 5000 -7874 9488 2755 2000 4755 "" "17" 0x0100]
-   Pad[-11811 5000 -11811 9488 2755 2000 4755 "" "19" 0x0100]
-   Pad[-15748 5000 -15748 9488 2755 2000 4755 "" "21" 0x0100]
-   Pad[-19685 5000 -19685 9488 2755 2000 4755 "" "23" 0x0100]
-   Pad[-23622 5000 -23622 9488 2755 2000 4755 "" "25" 0x0100]
-   Pad[21653 -9488 21653 -5000 2755 2000 4755 "" "2" 0x0100]
-   Pad[17716 -9488 17716 -5000 2755 2000 4755 "" "4" 0x0100]
-   Pad[13779 -9488 13779 -5000 2755 2000 4755 "" "6" 0x0100]
-   Pad[9842 -9488 9842 -5000 2755 2000 4755 "" "8" 0x0100]
-   Pad[5905 -9488 5905 -5000 2755 2000 4755 "" "10" 0x0100]
-   Pad[1968 -9488 1968 -5000 2755 2000 4755 "" "12" 0x0100]
-   Pad[-1968 -9488 -1968 -5000 2755 2000 4755 "" "14" 0x0100]
-   Pad[-5905 -9488 -5905 -5000 2755 2000 4755 "" "16" 0x0100]
-   Pad[-9842 -9488 -9842 -5000 2755 2000 4755 "" "18" 0x0100]
-   Pad[-13779 -9488 -13779 -5000 2755 2000 4755 "" "20" 0x0100]
-   Pad[-17716 -9488 -17716 -5000 2755 2000 4755 "" "22" 0x0100]
-   Pad[-21653 -9488 -21653 -5000 2755 2000 4755 "" "24" 0x0100]
-   ElementLine[-31586 -8192 -25531 -8192 1000]
-   ElementLine[-25531 -8192 -25531 -5437 1000]
-   ElementLine[-25531 -5437 -28287 -2681 1000]
-   ElementLine[-28287 -2681 -28287 2830 1000]
-   ElementLine[-28287 2830 -26499 2830 1000]
-   ElementLine[-26499 2830 -26499 8192 1000]
-   ElementLine[-26499 8192 -31586 8192 1000]
-   ElementLine[31586 -8192 25531 -8192 1000]
-   ElementLine[25531 -8192 25531 -5437 1000]
-   ElementLine[25531 -5437 28287 -2681 1000]
-   ElementLine[28287 -2681 28287 2830 1000]
-   ElementLine[28287 2830 26499 2830 1000]
-   ElementLine[26499 2830 26499 8192 1000]
-   ElementLine[26499 8192 31586 8192 1000]
-   ElementLine[-31586 8192 -38901 8192 1000]
-   ElementLine[-38901 8192 -38901 -8192 1000]
-   ElementLine[-38901 -8192 -31586 -8192 1000]
-   Pad[-34842 -4133 -34842 4133 5118 2000 7118 "" "26" 0x0100]
-   ElementLine[31586 8192 38901 8192 1000]
-   ElementLine[38901 8192 38901 -8192 1000]
-   ElementLine[38901 -8192 31586 -8192 1000]
-   Pad[34842 -4133 34842 4133 5118 2000 7118 "" "27" 0x0100]
-   Pin[-29724 0 5200 2000 7200 5200 "" "28" 0x03]
-   ElementArc[-29724 0 3100 3100 60 240 1000]
-   Pin[29724 0 5200 2000 7200 5200 "" "29" 0x03]
-   ElementArc[29724 0 3100 3100 240 240 1000]
-)
diff --git a/test-pcb/fp/CON_HDR-100P-25N__Hirose_DF9-25S-1V_renum b/test-pcb/fp/CON_HDR-100P-25N__Hirose_DF9-25S-1V_renum
deleted file mode 100644 (file)
index 16d30c6..0000000
+++ /dev/null
@@ -1,54 +0,0 @@
-Element[0x0 "FPDI Connector" "" "" 0 0 26499 -15267 0 100 0x0]
-(
-   Pad[-23622 5000 -23622 9488 2755 2000 4755 "" "1" 0x0100]
-   Pad[-19685 5000 -19685 9488 2755 2000 4755 "" "3" 0x0100]
-   Pad[-15748 5000 -15748 9488 2755 2000 4755 "" "5" 0x0100]
-   Pad[-11811 5000 -11811 9488 2755 2000 4755 "" "7" 0x0100]
-   Pad[-7874 5000 -7874 9488 2755 2000 4755 "" "9" 0x0100]
-   Pad[-3937 5000 -3937 9488 2755 2000 4755 "" "11" 0x0100]
-   Pad[0 5000 0 9488 2755 2000 4755 "" "13" 0x0100]
-   Pad[3937 5000 3937 9488 2755 2000 4755 "" "15" 0x0100]
-   Pad[7874 5000 7874 9488 2755 2000 4755 "" "17" 0x0100]
-   Pad[11811 5000 11811 9488 2755 2000 4755 "" "19" 0x0100]
-   Pad[15748 5000 15748 9488 2755 2000 4755 "" "21" 0x0100]
-   Pad[19685 5000 19685 9488 2755 2000 4755 "" "23" 0x0100]
-   Pad[23622 5000 23622 9488 2755 2000 4755 "" "25" 0x0100]
-   Pad[-21653 -9488 -21653 -5000 2755 2000 4755 "" "2" 0x0100]
-   Pad[-17716 -9488 -17716 -5000 2755 2000 4755 "" "4" 0x0100]
-   Pad[-13779 -9488 -13779 -5000 2755 2000 4755 "" "6" 0x0100]
-   Pad[-9842 -9488 -9842 -5000 2755 2000 4755 "" "8" 0x0100]
-   Pad[-5905 -9488 -5905 -5000 2755 2000 4755 "" "10" 0x0100]
-   Pad[-1968 -9488 -1968 -5000 2755 2000 4755 "" "12" 0x0100]
-   Pad[1968 -9488 1968 -5000 2755 2000 4755 "" "14" 0x0100]
-   Pad[5905 -9488 5905 -5000 2755 2000 4755 "" "16" 0x0100]
-   Pad[9842 -9488 9842 -5000 2755 2000 4755 "" "18" 0x0100]
-   Pad[13779 -9488 13779 -5000 2755 2000 4755 "" "20" 0x0100]
-   Pad[17716 -9488 17716 -5000 2755 2000 4755 "" "22" 0x0100]
-   Pad[21653 -9488 21653 -5000 2755 2000 4755 "" "24" 0x0100]
-   ElementLine[-31586 -8192 -25531 -8192 1000]
-   ElementLine[-25531 -8192 -25531 -5437 1000]
-   ElementLine[-25531 -5437 -28287 -2681 1000]
-   ElementLine[-28287 -2681 -28287 2830 1000]
-   ElementLine[-28287 2830 -26499 2830 1000]
-   ElementLine[-26499 2830 -26499 8192 1000]
-   ElementLine[-26499 8192 -31586 8192 1000]
-   ElementLine[31586 -8192 25531 -8192 1000]
-   ElementLine[25531 -8192 25531 -5437 1000]
-   ElementLine[25531 -5437 28287 -2681 1000]
-   ElementLine[28287 -2681 28287 2830 1000]
-   ElementLine[28287 2830 26499 2830 1000]
-   ElementLine[26499 2830 26499 8192 1000]
-   ElementLine[26499 8192 31586 8192 1000]
-   ElementLine[-31586 8192 -38901 8192 1000]
-   ElementLine[-38901 8192 -38901 -8192 1000]
-   ElementLine[-38901 -8192 -31586 -8192 1000]
-   Pad[-34842 -4133 -34842 4133 5118 2000 7118 "" "26" 0x0100]
-   ElementLine[31586 8192 38901 8192 1000]
-   ElementLine[38901 8192 38901 -8192 1000]
-   ElementLine[38901 -8192 31586 -8192 1000]
-   Pad[34842 -4133 34842 4133 5118 2000 7118 "" "27" 0x0100]
-   Pin[-29724 0 5200 2000 7200 5200 "" "28" 0x03]
-   ElementArc[-29724 0 3100 3100 60 240 1000]
-   Pin[29724 0 5200 2000 7200 5200 "" "29" 0x03]
-   ElementArc[29724 0 3100 3100 240 240 1000]
-)
diff --git a/test-pcb/fp/Makefile b/test-pcb/fp/Makefile
deleted file mode 100644 (file)
index 9653e40..0000000
+++ /dev/null
@@ -1,11 +0,0 @@
-
-FPSRC=$(wildcard *.footprinter)
-FOOTPRINTS_GEN=$(FPSRC:.footprinter=.fp)
-
-fp: $(FOOTPRINTS_GEN)
-
-%.fp: %.footprinter
-       python $< > $@
-
-clean:
-       rm -f $(FOOTPRINTS_GEN)
diff --git a/test-pcb/fp/PLASTRONICS_100QN40S1120120.footprinter b/test-pcb/fp/PLASTRONICS_100QN40S1120120.footprinter
deleted file mode 100755 (executable)
index 66471f6..0000000
+++ /dev/null
@@ -1,176 +0,0 @@
-#!/usr/bin/env python
-# -*- coding: ASCII -*-
-'''Plastronics socket for
-QFN-100 12x12mm
-SEMPAC MLP12X12-100-OP-01
-offered by MOSIS
-'''
-import sys
-from footprinter import *
-               
-#
-# PCB fab minimums
-# 
-MASK_SWELL = mil(5.0)
-MIN_TRACE = mil(6.0)
-MIN_SPACE = mil(6.0)
-MIN_PAD_ANNULUS = mil(15.0)
-MIN_VIA_ANNULUS = mil(10.0)
-
-PAD_PITCH = mm(0.4)
-
-# pcb's coordinates are graphics oriented
-# x in increasing right
-# y in increasing DOWN
-
-SILK_LINEWIDTH = mil(8.0)
-
-OUTER_ROW_WIDTH = mil(620.0)/2
-INNER_ROW_WIDTH = mil(500.0)/2
-LOC_PIN_WIDTH = mil(760.0)/2
-
-PIN_DRILL = mil(12.0)
-PIN_ANNULUS = PIN_DRILL + mil(1.48)
-PIN_CLEARANCE = mil(6.0)
-
-PAD_OFFSET = (PIN_DRILL + MIN_PAD_ANNULUS)
-
-pins = []
-pins_per_side = 25
-
-# left row
-# upper-left upto lower-left
-for i in range(1,26):
-    p = i
-    if (i%2) == 1:
-        x = -OUTER_ROW_WIDTH
-    else:
-        x = -INNER_ROW_WIDTH
-    y = -PAD_PITCH * ((pins_per_side-1)/2 - (i-1))
-
-    # drilled hole
-    pins.append(Pin(p, x, y, PIN_ANNULUS, MIN_SPACE, MASK_SWELL, PIN_DRILL, name=str(p)))
-    # simulate a chopped annulus
-    pins.append(Pad(p,
-        [
-            x-PAD_OFFSET,
-            y-PIN_ANNULUS/2,
-            x+PAD_OFFSET,
-            y+PIN_ANNULUS/2
-         ],
-        MIN_SPACE, MASK_SWELL, name=str(p), sflags=''))
-
-# bottom row
-# lower-left upto lower-right
-for i in range(1,26):
-    p = i + 25
-    if (i%2) == 1:
-        y = OUTER_ROW_WIDTH
-    else:
-        y = INNER_ROW_WIDTH
-    x = -PAD_PITCH * ((pins_per_side-1)/2 - (i-1))
-
-    pins.append(Pin(p, x, y, PIN_ANNULUS, MIN_SPACE, MASK_SWELL, PIN_DRILL, name=str(p)))
-    # simulate a chopped annulus
-    pins.append(Pad(p,
-        [
-            x-PIN_ANNULUS/2,
-            y-PAD_OFFSET,
-            x+PIN_ANNULUS/2,
-            y+PAD_OFFSET,
-         ],
-        MIN_SPACE, MASK_SWELL, name=str(p), sflags=''))
-
-# right row
-# lower-right upto upper-right
-for i in range(1,26):
-    p = i + 50
-    if (i%2) == 1:
-        x = OUTER_ROW_WIDTH
-    else:
-        x = INNER_ROW_WIDTH
-    y = PAD_PITCH * ((pins_per_side-1)/2 - (i-1))
-
-    pins.append(Pin(p, x, y, PIN_ANNULUS, MIN_SPACE, MASK_SWELL, PIN_DRILL, name=str(p)))
-    pins.append(Pad(p,
-        [
-            x-PAD_OFFSET,
-            y-PIN_ANNULUS/2,
-            x+PAD_OFFSET,
-            y+PIN_ANNULUS/2
-         ],
-        MIN_SPACE, MASK_SWELL, name=str(p), sflags=''))
-
-# top row
-# upper-right upto upper-left
-for i in range(1,26):
-    p = i + 75
-    if (i%2) == 1:
-        y = -OUTER_ROW_WIDTH
-    else:
-        y = -INNER_ROW_WIDTH
-    x = PAD_PITCH * ((pins_per_side-1)/2 - (i-1))
-
-    pins.append(Pin(p, x, y, PIN_ANNULUS, MIN_SPACE, MASK_SWELL, PIN_DRILL, name=str(p)))
-    pins.append(Pad(p,
-        [
-            x-PIN_ANNULUS/2,
-            y-PAD_OFFSET,
-            x+PIN_ANNULUS/2,
-            y+PAD_OFFSET
-         ],
-        MIN_SPACE, MASK_SWELL, name=str(p), sflags=''))
-
-# center EP spring probe
-EP_PIN_DRILL = mil(43.2)
-pins.append(Pin(101,
-    0, 0,
-    EP_PIN_DRILL + 2*MIN_PAD_ANNULUS,
-    MIN_SPACE,
-    MASK_SWELL,
-    EP_PIN_DRILL,
-    name=str(101)))
-
-# plastic locating pins
-PLASTIC_DRILL = mil(61.0)
-pins.append(Pin(102,
-    mil(-380), mil(380),
-    PLASTIC_DRILL + mil(40),
-    MIN_SPACE,
-    MASK_SWELL,
-    PLASTIC_DRILL,
-    name=str(102)))
-pins.append(Pin(103,
-    mil(380), mil(-380),
-    PLASTIC_DRILL + mil(40),
-    MIN_SPACE,
-    MASK_SWELL,
-    PLASTIC_DRILL,
-    name=str(103)))
-
-# silkscreen
-lines = []
-lines.append(ElementBox(
-    mil(-700), mil(-580),
-    mil(730), mil(580),
-    SILK_LINEWIDTH))
-
-lines.append(ElementLine(
-    mil(730-250), mil(-580),
-    mil(730-250), mil(580),
-    SILK_LINEWIDTH))
-
-
-fp = Footprint(
-        padpins=pins,
-        linearcs=lines,
-        sflags='',
-        description=''
-        )
-
-fp.mx = 0
-fp.my = 0
-fp.tx = 0
-fp.ty = 0
-fp.write(sys.stdout)
-
diff --git a/test-pcb/fp/PLASTRONICS_100QN40S1120120.fp b/test-pcb/fp/PLASTRONICS_100QN40S1120120.fp
deleted file mode 100644 (file)
index d37ede7..0000000
+++ /dev/null
@@ -1,211 +0,0 @@
-Element ["" "" "" "" 0nm 0nm 0nm 0nm 0 100 ""]
-(
-Pin [-7874000nm -4800000nm 342392nm 152400nm 596392nm 304800nm "1" "1" ""]
-Pad [-8388604nm -4800000nm -7359396nm -4800000nm 342392nm 152400nm 596392nm "1" "1" ""]
-Pin [-6350000nm -4400000nm 342392nm 152400nm 596392nm 304800nm "2" "2" ""]
-Pad [-6864604nm -4400000nm -5835396nm -4400000nm 342392nm 152400nm 596392nm "2" "2" ""]
-Pin [-7874000nm -4000000nm 342392nm 152400nm 596392nm 304800nm "3" "3" ""]
-Pad [-8388604nm -4000000nm -7359396nm -4000000nm 342392nm 152400nm 596392nm "3" "3" ""]
-Pin [-6350000nm -3600000nm 342392nm 152400nm 596392nm 304800nm "4" "4" ""]
-Pad [-6864604nm -3600000nm -5835396nm -3600000nm 342392nm 152400nm 596392nm "4" "4" ""]
-Pin [-7874000nm -3200000nm 342392nm 152400nm 596392nm 304800nm "5" "5" ""]
-Pad [-8388604nm -3200000nm -7359396nm -3200000nm 342392nm 152400nm 596392nm "5" "5" ""]
-Pin [-6350000nm -2800000nm 342392nm 152400nm 596392nm 304800nm "6" "6" ""]
-Pad [-6864604nm -2800000nm -5835396nm -2800000nm 342392nm 152400nm 596392nm "6" "6" ""]
-Pin [-7874000nm -2400000nm 342392nm 152400nm 596392nm 304800nm "7" "7" ""]
-Pad [-8388604nm -2400000nm -7359396nm -2400000nm 342392nm 152400nm 596392nm "7" "7" ""]
-Pin [-6350000nm -2000000nm 342392nm 152400nm 596392nm 304800nm "8" "8" ""]
-Pad [-6864604nm -2000000nm -5835396nm -2000000nm 342392nm 152400nm 596392nm "8" "8" ""]
-Pin [-7874000nm -1600000nm 342392nm 152400nm 596392nm 304800nm "9" "9" ""]
-Pad [-8388604nm -1600000nm -7359396nm -1600000nm 342392nm 152400nm 596392nm "9" "9" ""]
-Pin [-6350000nm -1200000nm 342392nm 152400nm 596392nm 304800nm "10" "10" ""]
-Pad [-6864604nm -1200000nm -5835396nm -1200000nm 342392nm 152400nm 596392nm "10" "10" ""]
-Pin [-7874000nm -800000nm 342392nm 152400nm 596392nm 304800nm "11" "11" ""]
-Pad [-8388604nm -800000nm -7359396nm -800000nm 342392nm 152400nm 596392nm "11" "11" ""]
-Pin [-6350000nm -400000nm 342392nm 152400nm 596392nm 304800nm "12" "12" ""]
-Pad [-6864604nm -400000nm -5835396nm -400000nm 342392nm 152400nm 596392nm "12" "12" ""]
-Pin [-7874000nm 0nm 342392nm 152400nm 596392nm 304800nm "13" "13" ""]
-Pad [-8388604nm 0nm -7359396nm 0nm 342392nm 152400nm 596392nm "13" "13" ""]
-Pin [-6350000nm 400000nm 342392nm 152400nm 596392nm 304800nm "14" "14" ""]
-Pad [-6864604nm 400000nm -5835396nm 400000nm 342392nm 152400nm 596392nm "14" "14" ""]
-Pin [-7874000nm 800000nm 342392nm 152400nm 596392nm 304800nm "15" "15" ""]
-Pad [-8388604nm 800000nm -7359396nm 800000nm 342392nm 152400nm 596392nm "15" "15" ""]
-Pin [-6350000nm 1200000nm 342392nm 152400nm 596392nm 304800nm "16" "16" ""]
-Pad [-6864604nm 1200000nm -5835396nm 1200000nm 342392nm 152400nm 596392nm "16" "16" ""]
-Pin [-7874000nm 1600000nm 342392nm 152400nm 596392nm 304800nm "17" "17" ""]
-Pad [-8388604nm 1600000nm -7359396nm 1600000nm 342392nm 152400nm 596392nm "17" "17" ""]
-Pin [-6350000nm 2000000nm 342392nm 152400nm 596392nm 304800nm "18" "18" ""]
-Pad [-6864604nm 2000000nm -5835396nm 2000000nm 342392nm 152400nm 596392nm "18" "18" ""]
-Pin [-7874000nm 2400000nm 342392nm 152400nm 596392nm 304800nm "19" "19" ""]
-Pad [-8388604nm 2400000nm -7359396nm 2400000nm 342392nm 152400nm 596392nm "19" "19" ""]
-Pin [-6350000nm 2800000nm 342392nm 152400nm 596392nm 304800nm "20" "20" ""]
-Pad [-6864604nm 2800000nm -5835396nm 2800000nm 342392nm 152400nm 596392nm "20" "20" ""]
-Pin [-7874000nm 3200000nm 342392nm 152400nm 596392nm 304800nm "21" "21" ""]
-Pad [-8388604nm 3200000nm -7359396nm 3200000nm 342392nm 152400nm 596392nm "21" "21" ""]
-Pin [-6350000nm 3600000nm 342392nm 152400nm 596392nm 304800nm "22" "22" ""]
-Pad [-6864604nm 3600000nm -5835396nm 3600000nm 342392nm 152400nm 596392nm "22" "22" ""]
-Pin [-7874000nm 4000000nm 342392nm 152400nm 596392nm 304800nm "23" "23" ""]
-Pad [-8388604nm 4000000nm -7359396nm 4000000nm 342392nm 152400nm 596392nm "23" "23" ""]
-Pin [-6350000nm 4400000nm 342392nm 152400nm 596392nm 304800nm "24" "24" ""]
-Pad [-6864604nm 4400000nm -5835396nm 4400000nm 342392nm 152400nm 596392nm "24" "24" ""]
-Pin [-7874000nm 4800000nm 342392nm 152400nm 596392nm 304800nm "25" "25" ""]
-Pad [-8388604nm 4800000nm -7359396nm 4800000nm 342392nm 152400nm 596392nm "25" "25" ""]
-Pin [-4800000nm 7874000nm 342392nm 152400nm 596392nm 304800nm "26" "26" ""]
-Pad [-4800000nm 7359396nm -4800000nm 8388604nm 342392nm 152400nm 596392nm "26" "26" ""]
-Pin [-4400000nm 6350000nm 342392nm 152400nm 596392nm 304800nm "27" "27" ""]
-Pad [-4400000nm 5835396nm -4400000nm 6864604nm 342392nm 152400nm 596392nm "27" "27" ""]
-Pin [-4000000nm 7874000nm 342392nm 152400nm 596392nm 304800nm "28" "28" ""]
-Pad [-4000000nm 7359396nm -4000000nm 8388604nm 342392nm 152400nm 596392nm "28" "28" ""]
-Pin [-3600000nm 6350000nm 342392nm 152400nm 596392nm 304800nm "29" "29" ""]
-Pad [-3600000nm 5835396nm -3600000nm 6864604nm 342392nm 152400nm 596392nm "29" "29" ""]
-Pin [-3200000nm 7874000nm 342392nm 152400nm 596392nm 304800nm "30" "30" ""]
-Pad [-3200000nm 7359396nm -3200000nm 8388604nm 342392nm 152400nm 596392nm "30" "30" ""]
-Pin [-2800000nm 6350000nm 342392nm 152400nm 596392nm 304800nm "31" "31" ""]
-Pad [-2800000nm 5835396nm -2800000nm 6864604nm 342392nm 152400nm 596392nm "31" "31" ""]
-Pin [-2400000nm 7874000nm 342392nm 152400nm 596392nm 304800nm "32" "32" ""]
-Pad [-2400000nm 7359396nm -2400000nm 8388604nm 342392nm 152400nm 596392nm "32" "32" ""]
-Pin [-2000000nm 6350000nm 342392nm 152400nm 596392nm 304800nm "33" "33" ""]
-Pad [-2000000nm 5835396nm -2000000nm 6864604nm 342392nm 152400nm 596392nm "33" "33" ""]
-Pin [-1600000nm 7874000nm 342392nm 152400nm 596392nm 304800nm "34" "34" ""]
-Pad [-1600000nm 7359396nm -1600000nm 8388604nm 342392nm 152400nm 596392nm "34" "34" ""]
-Pin [-1200000nm 6350000nm 342392nm 152400nm 596392nm 304800nm "35" "35" ""]
-Pad [-1200000nm 5835396nm -1200000nm 6864604nm 342392nm 152400nm 596392nm "35" "35" ""]
-Pin [-800000nm 7874000nm 342392nm 152400nm 596392nm 304800nm "36" "36" ""]
-Pad [-800000nm 7359396nm -800000nm 8388604nm 342392nm 152400nm 596392nm "36" "36" ""]
-Pin [-400000nm 6350000nm 342392nm 152400nm 596392nm 304800nm "37" "37" ""]
-Pad [-400000nm 5835396nm -400000nm 6864604nm 342392nm 152400nm 596392nm "37" "37" ""]
-Pin [0nm 7874000nm 342392nm 152400nm 596392nm 304800nm "38" "38" ""]
-Pad [0nm 7359396nm 0nm 8388604nm 342392nm 152400nm 596392nm "38" "38" ""]
-Pin [400000nm 6350000nm 342392nm 152400nm 596392nm 304800nm "39" "39" ""]
-Pad [400000nm 5835396nm 400000nm 6864604nm 342392nm 152400nm 596392nm "39" "39" ""]
-Pin [800000nm 7874000nm 342392nm 152400nm 596392nm 304800nm "40" "40" ""]
-Pad [800000nm 7359396nm 800000nm 8388604nm 342392nm 152400nm 596392nm "40" "40" ""]
-Pin [1200000nm 6350000nm 342392nm 152400nm 596392nm 304800nm "41" "41" ""]
-Pad [1200000nm 5835396nm 1200000nm 6864604nm 342392nm 152400nm 596392nm "41" "41" ""]
-Pin [1600000nm 7874000nm 342392nm 152400nm 596392nm 304800nm "42" "42" ""]
-Pad [1600000nm 7359396nm 1600000nm 8388604nm 342392nm 152400nm 596392nm "42" "42" ""]
-Pin [2000000nm 6350000nm 342392nm 152400nm 596392nm 304800nm "43" "43" ""]
-Pad [2000000nm 5835396nm 2000000nm 6864604nm 342392nm 152400nm 596392nm "43" "43" ""]
-Pin [2400000nm 7874000nm 342392nm 152400nm 596392nm 304800nm "44" "44" ""]
-Pad [2400000nm 7359396nm 2400000nm 8388604nm 342392nm 152400nm 596392nm "44" "44" ""]
-Pin [2800000nm 6350000nm 342392nm 152400nm 596392nm 304800nm "45" "45" ""]
-Pad [2800000nm 5835396nm 2800000nm 6864604nm 342392nm 152400nm 596392nm "45" "45" ""]
-Pin [3200000nm 7874000nm 342392nm 152400nm 596392nm 304800nm "46" "46" ""]
-Pad [3200000nm 7359396nm 3200000nm 8388604nm 342392nm 152400nm 596392nm "46" "46" ""]
-Pin [3600000nm 6350000nm 342392nm 152400nm 596392nm 304800nm "47" "47" ""]
-Pad [3600000nm 5835396nm 3600000nm 6864604nm 342392nm 152400nm 596392nm "47" "47" ""]
-Pin [4000000nm 7874000nm 342392nm 152400nm 596392nm 304800nm "48" "48" ""]
-Pad [4000000nm 7359396nm 4000000nm 8388604nm 342392nm 152400nm 596392nm "48" "48" ""]
-Pin [4400000nm 6350000nm 342392nm 152400nm 596392nm 304800nm "49" "49" ""]
-Pad [4400000nm 5835396nm 4400000nm 6864604nm 342392nm 152400nm 596392nm "49" "49" ""]
-Pin [4800000nm 7874000nm 342392nm 152400nm 596392nm 304800nm "50" "50" ""]
-Pad [4800000nm 7359396nm 4800000nm 8388604nm 342392nm 152400nm 596392nm "50" "50" ""]
-Pin [7874000nm 4800000nm 342392nm 152400nm 596392nm 304800nm "51" "51" ""]
-Pad [7359396nm 4800000nm 8388604nm 4800000nm 342392nm 152400nm 596392nm "51" "51" ""]
-Pin [6350000nm 4400000nm 342392nm 152400nm 596392nm 304800nm "52" "52" ""]
-Pad [5835396nm 4400000nm 6864604nm 4400000nm 342392nm 152400nm 596392nm "52" "52" ""]
-Pin [7874000nm 4000000nm 342392nm 152400nm 596392nm 304800nm "53" "53" ""]
-Pad [7359396nm 4000000nm 8388604nm 4000000nm 342392nm 152400nm 596392nm "53" "53" ""]
-Pin [6350000nm 3600000nm 342392nm 152400nm 596392nm 304800nm "54" "54" ""]
-Pad [5835396nm 3600000nm 6864604nm 3600000nm 342392nm 152400nm 596392nm "54" "54" ""]
-Pin [7874000nm 3200000nm 342392nm 152400nm 596392nm 304800nm "55" "55" ""]
-Pad [7359396nm 3200000nm 8388604nm 3200000nm 342392nm 152400nm 596392nm "55" "55" ""]
-Pin [6350000nm 2800000nm 342392nm 152400nm 596392nm 304800nm "56" "56" ""]
-Pad [5835396nm 2800000nm 6864604nm 2800000nm 342392nm 152400nm 596392nm "56" "56" ""]
-Pin [7874000nm 2400000nm 342392nm 152400nm 596392nm 304800nm "57" "57" ""]
-Pad [7359396nm 2400000nm 8388604nm 2400000nm 342392nm 152400nm 596392nm "57" "57" ""]
-Pin [6350000nm 2000000nm 342392nm 152400nm 596392nm 304800nm "58" "58" ""]
-Pad [5835396nm 2000000nm 6864604nm 2000000nm 342392nm 152400nm 596392nm "58" "58" ""]
-Pin [7874000nm 1600000nm 342392nm 152400nm 596392nm 304800nm "59" "59" ""]
-Pad [7359396nm 1600000nm 8388604nm 1600000nm 342392nm 152400nm 596392nm "59" "59" ""]
-Pin [6350000nm 1200000nm 342392nm 152400nm 596392nm 304800nm "60" "60" ""]
-Pad [5835396nm 1200000nm 6864604nm 1200000nm 342392nm 152400nm 596392nm "60" "60" ""]
-Pin [7874000nm 800000nm 342392nm 152400nm 596392nm 304800nm "61" "61" ""]
-Pad [7359396nm 800000nm 8388604nm 800000nm 342392nm 152400nm 596392nm "61" "61" ""]
-Pin [6350000nm 400000nm 342392nm 152400nm 596392nm 304800nm "62" "62" ""]
-Pad [5835396nm 400000nm 6864604nm 400000nm 342392nm 152400nm 596392nm "62" "62" ""]
-Pin [7874000nm 0nm 342392nm 152400nm 596392nm 304800nm "63" "63" ""]
-Pad [7359396nm 0nm 8388604nm 0nm 342392nm 152400nm 596392nm "63" "63" ""]
-Pin [6350000nm -400000nm 342392nm 152400nm 596392nm 304800nm "64" "64" ""]
-Pad [5835396nm -400000nm 6864604nm -400000nm 342392nm 152400nm 596392nm "64" "64" ""]
-Pin [7874000nm -800000nm 342392nm 152400nm 596392nm 304800nm "65" "65" ""]
-Pad [7359396nm -800000nm 8388604nm -800000nm 342392nm 152400nm 596392nm "65" "65" ""]
-Pin [6350000nm -1200000nm 342392nm 152400nm 596392nm 304800nm "66" "66" ""]
-Pad [5835396nm -1200000nm 6864604nm -1200000nm 342392nm 152400nm 596392nm "66" "66" ""]
-Pin [7874000nm -1600000nm 342392nm 152400nm 596392nm 304800nm "67" "67" ""]
-Pad [7359396nm -1600000nm 8388604nm -1600000nm 342392nm 152400nm 596392nm "67" "67" ""]
-Pin [6350000nm -2000000nm 342392nm 152400nm 596392nm 304800nm "68" "68" ""]
-Pad [5835396nm -2000000nm 6864604nm -2000000nm 342392nm 152400nm 596392nm "68" "68" ""]
-Pin [7874000nm -2400000nm 342392nm 152400nm 596392nm 304800nm "69" "69" ""]
-Pad [7359396nm -2400000nm 8388604nm -2400000nm 342392nm 152400nm 596392nm "69" "69" ""]
-Pin [6350000nm -2800000nm 342392nm 152400nm 596392nm 304800nm "70" "70" ""]
-Pad [5835396nm -2800000nm 6864604nm -2800000nm 342392nm 152400nm 596392nm "70" "70" ""]
-Pin [7874000nm -3200000nm 342392nm 152400nm 596392nm 304800nm "71" "71" ""]
-Pad [7359396nm -3200000nm 8388604nm -3200000nm 342392nm 152400nm 596392nm "71" "71" ""]
-Pin [6350000nm -3600000nm 342392nm 152400nm 596392nm 304800nm "72" "72" ""]
-Pad [5835396nm -3600000nm 6864604nm -3600000nm 342392nm 152400nm 596392nm "72" "72" ""]
-Pin [7874000nm -4000000nm 342392nm 152400nm 596392nm 304800nm "73" "73" ""]
-Pad [7359396nm -4000000nm 8388604nm -4000000nm 342392nm 152400nm 596392nm "73" "73" ""]
-Pin [6350000nm -4400000nm 342392nm 152400nm 596392nm 304800nm "74" "74" ""]
-Pad [5835396nm -4400000nm 6864604nm -4400000nm 342392nm 152400nm 596392nm "74" "74" ""]
-Pin [7874000nm -4800000nm 342392nm 152400nm 596392nm 304800nm "75" "75" ""]
-Pad [7359396nm -4800000nm 8388604nm -4800000nm 342392nm 152400nm 596392nm "75" "75" ""]
-Pin [4800000nm -7874000nm 342392nm 152400nm 596392nm 304800nm "76" "76" ""]
-Pad [4800000nm -8388604nm 4800000nm -7359396nm 342392nm 152400nm 596392nm "76" "76" ""]
-Pin [4400000nm -6350000nm 342392nm 152400nm 596392nm 304800nm "77" "77" ""]
-Pad [4400000nm -6864604nm 4400000nm -5835396nm 342392nm 152400nm 596392nm "77" "77" ""]
-Pin [4000000nm -7874000nm 342392nm 152400nm 596392nm 304800nm "78" "78" ""]
-Pad [4000000nm -8388604nm 4000000nm -7359396nm 342392nm 152400nm 596392nm "78" "78" ""]
-Pin [3600000nm -6350000nm 342392nm 152400nm 596392nm 304800nm "79" "79" ""]
-Pad [3600000nm -6864604nm 3600000nm -5835396nm 342392nm 152400nm 596392nm "79" "79" ""]
-Pin [3200000nm -7874000nm 342392nm 152400nm 596392nm 304800nm "80" "80" ""]
-Pad [3200000nm -8388604nm 3200000nm -7359396nm 342392nm 152400nm 596392nm "80" "80" ""]
-Pin [2800000nm -6350000nm 342392nm 152400nm 596392nm 304800nm "81" "81" ""]
-Pad [2800000nm -6864604nm 2800000nm -5835396nm 342392nm 152400nm 596392nm "81" "81" ""]
-Pin [2400000nm -7874000nm 342392nm 152400nm 596392nm 304800nm "82" "82" ""]
-Pad [2400000nm -8388604nm 2400000nm -7359396nm 342392nm 152400nm 596392nm "82" "82" ""]
-Pin [2000000nm -6350000nm 342392nm 152400nm 596392nm 304800nm "83" "83" ""]
-Pad [2000000nm -6864604nm 2000000nm -5835396nm 342392nm 152400nm 596392nm "83" "83" ""]
-Pin [1600000nm -7874000nm 342392nm 152400nm 596392nm 304800nm "84" "84" ""]
-Pad [1600000nm -8388604nm 1600000nm -7359396nm 342392nm 152400nm 596392nm "84" "84" ""]
-Pin [1200000nm -6350000nm 342392nm 152400nm 596392nm 304800nm "85" "85" ""]
-Pad [1200000nm -6864604nm 1200000nm -5835396nm 342392nm 152400nm 596392nm "85" "85" ""]
-Pin [800000nm -7874000nm 342392nm 152400nm 596392nm 304800nm "86" "86" ""]
-Pad [800000nm -8388604nm 800000nm -7359396nm 342392nm 152400nm 596392nm "86" "86" ""]
-Pin [400000nm -6350000nm 342392nm 152400nm 596392nm 304800nm "87" "87" ""]
-Pad [400000nm -6864604nm 400000nm -5835396nm 342392nm 152400nm 596392nm "87" "87" ""]
-Pin [0nm -7874000nm 342392nm 152400nm 596392nm 304800nm "88" "88" ""]
-Pad [0nm -8388604nm 0nm -7359396nm 342392nm 152400nm 596392nm "88" "88" ""]
-Pin [-400000nm -6350000nm 342392nm 152400nm 596392nm 304800nm "89" "89" ""]
-Pad [-400000nm -6864604nm -400000nm -5835396nm 342392nm 152400nm 596392nm "89" "89" ""]
-Pin [-800000nm -7874000nm 342392nm 152400nm 596392nm 304800nm "90" "90" ""]
-Pad [-800000nm -8388604nm -800000nm -7359396nm 342392nm 152400nm 596392nm "90" "90" ""]
-Pin [-1200000nm -6350000nm 342392nm 152400nm 596392nm 304800nm "91" "91" ""]
-Pad [-1200000nm -6864604nm -1200000nm -5835396nm 342392nm 152400nm 596392nm "91" "91" ""]
-Pin [-1600000nm -7874000nm 342392nm 152400nm 596392nm 304800nm "92" "92" ""]
-Pad [-1600000nm -8388604nm -1600000nm -7359396nm 342392nm 152400nm 596392nm "92" "92" ""]
-Pin [-2000000nm -6350000nm 342392nm 152400nm 596392nm 304800nm "93" "93" ""]
-Pad [-2000000nm -6864604nm -2000000nm -5835396nm 342392nm 152400nm 596392nm "93" "93" ""]
-Pin [-2400000nm -7874000nm 342392nm 152400nm 596392nm 304800nm "94" "94" ""]
-Pad [-2400000nm -8388604nm -2400000nm -7359396nm 342392nm 152400nm 596392nm "94" "94" ""]
-Pin [-2800000nm -6350000nm 342392nm 152400nm 596392nm 304800nm "95" "95" ""]
-Pad [-2800000nm -6864604nm -2800000nm -5835396nm 342392nm 152400nm 596392nm "95" "95" ""]
-Pin [-3200000nm -7874000nm 342392nm 152400nm 596392nm 304800nm "96" "96" ""]
-Pad [-3200000nm -8388604nm -3200000nm -7359396nm 342392nm 152400nm 596392nm "96" "96" ""]
-Pin [-3600000nm -6350000nm 342392nm 152400nm 596392nm 304800nm "97" "97" ""]
-Pad [-3600000nm -6864604nm -3600000nm -5835396nm 342392nm 152400nm 596392nm "97" "97" ""]
-Pin [-4000000nm -7874000nm 342392nm 152400nm 596392nm 304800nm "98" "98" ""]
-Pad [-4000000nm -8388604nm -4000000nm -7359396nm 342392nm 152400nm 596392nm "98" "98" ""]
-Pin [-4400000nm -6350000nm 342392nm 152400nm 596392nm 304800nm "99" "99" ""]
-Pad [-4400000nm -6864604nm -4400000nm -5835396nm 342392nm 152400nm 596392nm "99" "99" ""]
-Pin [-4800000nm -7874000nm 342392nm 152400nm 596392nm 304800nm "100" "100" ""]
-Pad [-4800000nm -8388604nm -4800000nm -7359396nm 342392nm 152400nm 596392nm "100" "100" ""]
-Pin [0nm 0nm 1859280nm 152400nm 2113280nm 1097280nm "101" "101" ""]
-Pin [-9652000nm 9652000nm 2565400nm 152400nm 2819400nm 1549400nm "102" "102" ""]
-Pin [9652000nm -9652000nm 2565400nm 152400nm 2819400nm 1549400nm "103" "103" ""]
-ElementLine [-17780000nm -14732000nm -17780000nm 14732000nm 203200nm]
-ElementLine [-17780000nm 14732000nm 18542000nm 14732000nm 203200nm]
-ElementLine [18542000nm 14732000nm 18542000nm -14732000nm 203200nm]
-ElementLine [18542000nm -14732000nm -17780000nm -14732000nm 203200nm]
-ElementLine [12192000nm -14732000nm 12192000nm 14732000nm 203200nm]
-)
diff --git a/test-pcb/fp/PLASTRONICS_100QN40S1120120_padsonly.fp b/test-pcb/fp/PLASTRONICS_100QN40S1120120_padsonly.fp
deleted file mode 100644 (file)
index 7b91576..0000000
+++ /dev/null
@@ -1,103 +0,0 @@
-Element ["" "" "" "" 0nm 0nm 0nm 0nm 0 100 ""]
-(
-Pad [-8388604nm -4800000nm -7359396nm -4800000nm 342392nm 152400nm 596392nm "1" "1" ""]
-Pad [-6864604nm -4400000nm -5835396nm -4400000nm 342392nm 152400nm 596392nm "2" "2" ""]
-Pad [-8388604nm -4000000nm -7359396nm -4000000nm 342392nm 152400nm 596392nm "3" "3" ""]
-Pad [-6864604nm -3600000nm -5835396nm -3600000nm 342392nm 152400nm 596392nm "4" "4" ""]
-Pad [-8388604nm -3200000nm -7359396nm -3200000nm 342392nm 152400nm 596392nm "5" "5" ""]
-Pad [-6864604nm -2800000nm -5835396nm -2800000nm 342392nm 152400nm 596392nm "6" "6" ""]
-Pad [-8388604nm -2400000nm -7359396nm -2400000nm 342392nm 152400nm 596392nm "7" "7" ""]
-Pad [-6864604nm -2000000nm -5835396nm -2000000nm 342392nm 152400nm 596392nm "8" "8" ""]
-Pad [-8388604nm -1600000nm -7359396nm -1600000nm 342392nm 152400nm 596392nm "9" "9" ""]
-Pad [-6864604nm -1200000nm -5835396nm -1200000nm 342392nm 152400nm 596392nm "10" "10" ""]
-Pad [-8388604nm -800000nm -7359396nm -800000nm 342392nm 152400nm 596392nm "11" "11" ""]
-Pad [-6864604nm -400000nm -5835396nm -400000nm 342392nm 152400nm 596392nm "12" "12" ""]
-Pad [-8388604nm 0nm -7359396nm 0nm 342392nm 152400nm 596392nm "13" "13" ""]
-Pad [-6864604nm 400000nm -5835396nm 400000nm 342392nm 152400nm 596392nm "14" "14" ""]
-Pad [-8388604nm 800000nm -7359396nm 800000nm 342392nm 152400nm 596392nm "15" "15" ""]
-Pad [-6864604nm 1200000nm -5835396nm 1200000nm 342392nm 152400nm 596392nm "16" "16" ""]
-Pad [-8388604nm 1600000nm -7359396nm 1600000nm 342392nm 152400nm 596392nm "17" "17" ""]
-Pad [-6864604nm 2000000nm -5835396nm 2000000nm 342392nm 152400nm 596392nm "18" "18" ""]
-Pad [-8388604nm 2400000nm -7359396nm 2400000nm 342392nm 152400nm 596392nm "19" "19" ""]
-Pad [-6864604nm 2800000nm -5835396nm 2800000nm 342392nm 152400nm 596392nm "20" "20" ""]
-Pad [-8388604nm 3200000nm -7359396nm 3200000nm 342392nm 152400nm 596392nm "21" "21" ""]
-Pad [-6864604nm 3600000nm -5835396nm 3600000nm 342392nm 152400nm 596392nm "22" "22" ""]
-Pad [-8388604nm 4000000nm -7359396nm 4000000nm 342392nm 152400nm 596392nm "23" "23" ""]
-Pad [-6864604nm 4400000nm -5835396nm 4400000nm 342392nm 152400nm 596392nm "24" "24" ""]
-Pad [-8388604nm 4800000nm -7359396nm 4800000nm 342392nm 152400nm 596392nm "25" "25" ""]
-Pad [-4800000nm 7359396nm -4800000nm 8388604nm 342392nm 152400nm 596392nm "26" "26" ""]
-Pad [-4400000nm 5835396nm -4400000nm 6864604nm 342392nm 152400nm 596392nm "27" "27" ""]
-Pad [-4000000nm 7359396nm -4000000nm 8388604nm 342392nm 152400nm 596392nm "28" "28" ""]
-Pad [-3600000nm 5835396nm -3600000nm 6864604nm 342392nm 152400nm 596392nm "29" "29" ""]
-Pad [-3200000nm 7359396nm -3200000nm 8388604nm 342392nm 152400nm 596392nm "30" "30" ""]
-Pad [-2800000nm 5835396nm -2800000nm 6864604nm 342392nm 152400nm 596392nm "31" "31" ""]
-Pad [-2400000nm 7359396nm -2400000nm 8388604nm 342392nm 152400nm 596392nm "32" "32" ""]
-Pad [-2000000nm 5835396nm -2000000nm 6864604nm 342392nm 152400nm 596392nm "33" "33" ""]
-Pad [-1600000nm 7359396nm -1600000nm 8388604nm 342392nm 152400nm 596392nm "34" "34" ""]
-Pad [-1200000nm 5835396nm -1200000nm 6864604nm 342392nm 152400nm 596392nm "35" "35" ""]
-Pad [-800000nm 7359396nm -800000nm 8388604nm 342392nm 152400nm 596392nm "36" "36" ""]
-Pad [-400000nm 5835396nm -400000nm 6864604nm 342392nm 152400nm 596392nm "37" "37" ""]
-Pad [0nm 7359396nm 0nm 8388604nm 342392nm 152400nm 596392nm "38" "38" ""]
-Pad [400000nm 5835396nm 400000nm 6864604nm 342392nm 152400nm 596392nm "39" "39" ""]
-Pad [800000nm 7359396nm 800000nm 8388604nm 342392nm 152400nm 596392nm "40" "40" ""]
-Pad [1200000nm 5835396nm 1200000nm 6864604nm 342392nm 152400nm 596392nm "41" "41" ""]
-Pad [1600000nm 7359396nm 1600000nm 8388604nm 342392nm 152400nm 596392nm "42" "42" ""]
-Pad [2000000nm 5835396nm 2000000nm 6864604nm 342392nm 152400nm 596392nm "43" "43" ""]
-Pad [2400000nm 7359396nm 2400000nm 8388604nm 342392nm 152400nm 596392nm "44" "44" ""]
-Pad [2800000nm 5835396nm 2800000nm 6864604nm 342392nm 152400nm 596392nm "45" "45" ""]
-Pad [3200000nm 7359396nm 3200000nm 8388604nm 342392nm 152400nm 596392nm "46" "46" ""]
-Pad [3600000nm 5835396nm 3600000nm 6864604nm 342392nm 152400nm 596392nm "47" "47" ""]
-Pad [4000000nm 7359396nm 4000000nm 8388604nm 342392nm 152400nm 596392nm "48" "48" ""]
-Pad [4400000nm 5835396nm 4400000nm 6864604nm 342392nm 152400nm 596392nm "49" "49" ""]
-Pad [4800000nm 7359396nm 4800000nm 8388604nm 342392nm 152400nm 596392nm "50" "50" ""]
-Pad [7359396nm 4800000nm 8388604nm 4800000nm 342392nm 152400nm 596392nm "51" "51" ""]
-Pad [5835396nm 4400000nm 6864604nm 4400000nm 342392nm 152400nm 596392nm "52" "52" ""]
-Pad [7359396nm 4000000nm 8388604nm 4000000nm 342392nm 152400nm 596392nm "53" "53" ""]
-Pad [5835396nm 3600000nm 6864604nm 3600000nm 342392nm 152400nm 596392nm "54" "54" ""]
-Pad [7359396nm 3200000nm 8388604nm 3200000nm 342392nm 152400nm 596392nm "55" "55" ""]
-Pad [5835396nm 2800000nm 6864604nm 2800000nm 342392nm 152400nm 596392nm "56" "56" ""]
-Pad [7359396nm 2400000nm 8388604nm 2400000nm 342392nm 152400nm 596392nm "57" "57" ""]
-Pad [5835396nm 2000000nm 6864604nm 2000000nm 342392nm 152400nm 596392nm "58" "58" ""]
-Pad [7359396nm 1600000nm 8388604nm 1600000nm 342392nm 152400nm 596392nm "59" "59" ""]
-Pad [5835396nm 1200000nm 6864604nm 1200000nm 342392nm 152400nm 596392nm "60" "60" ""]
-Pad [7359396nm 800000nm 8388604nm 800000nm 342392nm 152400nm 596392nm "61" "61" ""]
-Pad [5835396nm 400000nm 6864604nm 400000nm 342392nm 152400nm 596392nm "62" "62" ""]
-Pad [7359396nm 0nm 8388604nm 0nm 342392nm 152400nm 596392nm "63" "63" ""]
-Pad [5835396nm -400000nm 6864604nm -400000nm 342392nm 152400nm 596392nm "64" "64" ""]
-Pad [7359396nm -800000nm 8388604nm -800000nm 342392nm 152400nm 596392nm "65" "65" ""]
-Pad [5835396nm -1200000nm 6864604nm -1200000nm 342392nm 152400nm 596392nm "66" "66" ""]
-Pad [7359396nm -1600000nm 8388604nm -1600000nm 342392nm 152400nm 596392nm "67" "67" ""]
-Pad [5835396nm -2000000nm 6864604nm -2000000nm 342392nm 152400nm 596392nm "68" "68" ""]
-Pad [7359396nm -2400000nm 8388604nm -2400000nm 342392nm 152400nm 596392nm "69" "69" ""]
-Pad [5835396nm -2800000nm 6864604nm -2800000nm 342392nm 152400nm 596392nm "70" "70" ""]
-Pad [7359396nm -3200000nm 8388604nm -3200000nm 342392nm 152400nm 596392nm "71" "71" ""]
-Pad [5835396nm -3600000nm 6864604nm -3600000nm 342392nm 152400nm 596392nm "72" "72" ""]
-Pad [7359396nm -4000000nm 8388604nm -4000000nm 342392nm 152400nm 596392nm "73" "73" ""]
-Pad [5835396nm -4400000nm 6864604nm -4400000nm 342392nm 152400nm 596392nm "74" "74" ""]
-Pad [7359396nm -4800000nm 8388604nm -4800000nm 342392nm 152400nm 596392nm "75" "75" ""]
-Pad [4800000nm -8388604nm 4800000nm -7359396nm 342392nm 152400nm 596392nm "76" "76" ""]
-Pad [4400000nm -6864604nm 4400000nm -5835396nm 342392nm 152400nm 596392nm "77" "77" ""]
-Pad [4000000nm -8388604nm 4000000nm -7359396nm 342392nm 152400nm 596392nm "78" "78" ""]
-Pad [3600000nm -6864604nm 3600000nm -5835396nm 342392nm 152400nm 596392nm "79" "79" ""]
-Pad [3200000nm -8388604nm 3200000nm -7359396nm 342392nm 152400nm 596392nm "80" "80" ""]
-Pad [2800000nm -6864604nm 2800000nm -5835396nm 342392nm 152400nm 596392nm "81" "81" ""]
-Pad [2400000nm -8388604nm 2400000nm -7359396nm 342392nm 152400nm 596392nm "82" "82" ""]
-Pad [2000000nm -6864604nm 2000000nm -5835396nm 342392nm 152400nm 596392nm "83" "83" ""]
-Pad [1600000nm -8388604nm 1600000nm -7359396nm 342392nm 152400nm 596392nm "84" "84" ""]
-Pad [1200000nm -6864604nm 1200000nm -5835396nm 342392nm 152400nm 596392nm "85" "85" ""]
-Pad [800000nm -8388604nm 800000nm -7359396nm 342392nm 152400nm 596392nm "86" "86" ""]
-Pad [400000nm -6864604nm 400000nm -5835396nm 342392nm 152400nm 596392nm "87" "87" ""]
-Pad [0nm -8388604nm 0nm -7359396nm 342392nm 152400nm 596392nm "88" "88" ""]
-Pad [-400000nm -6864604nm -400000nm -5835396nm 342392nm 152400nm 596392nm "89" "89" ""]
-Pad [-800000nm -8388604nm -800000nm -7359396nm 342392nm 152400nm 596392nm "90" "90" ""]
-Pad [-1200000nm -6864604nm -1200000nm -5835396nm 342392nm 152400nm 596392nm "91" "91" ""]
-Pad [-1600000nm -8388604nm -1600000nm -7359396nm 342392nm 152400nm 596392nm "92" "92" ""]
-Pad [-2000000nm -6864604nm -2000000nm -5835396nm 342392nm 152400nm 596392nm "93" "93" ""]
-Pad [-2400000nm -8388604nm -2400000nm -7359396nm 342392nm 152400nm 596392nm "94" "94" ""]
-Pad [-2800000nm -6864604nm -2800000nm -5835396nm 342392nm 152400nm 596392nm "95" "95" ""]
-Pad [-3200000nm -8388604nm -3200000nm -7359396nm 342392nm 152400nm 596392nm "96" "96" ""]
-Pad [-3600000nm -6864604nm -3600000nm -5835396nm 342392nm 152400nm 596392nm "97" "97" ""]
-Pad [-4000000nm -8388604nm -4000000nm -7359396nm 342392nm 152400nm 596392nm "98" "98" ""]
-Pad [-4400000nm -6864604nm -4400000nm -5835396nm 342392nm 152400nm 596392nm "99" "99" ""]
-Pad [-4800000nm -8388604nm -4800000nm -7359396nm 342392nm 152400nm 596392nm "100" "100" ""]
-)
diff --git a/test-pcb/fp/SEMPAC_12x12_100A.fp b/test-pcb/fp/SEMPAC_12x12_100A.fp
deleted file mode 100644 (file)
index eaceec7..0000000
+++ /dev/null
@@ -1,113 +0,0 @@
-Element ["" "" "" "" 6000000nm 6000000nm 6000000nm 6000000nm 0 100 ""]
-(
-Pad [-6300000nm -4800000nm -5650000nm -4800000nm 200000nm 152400nm 327000nm "1" "1" "square"]
-Pad [-6300000nm -4400000nm -5650000nm -4400000nm 200000nm 152400nm 327000nm "2" "2" "square"]
-Pad [-6300000nm -4000000nm -5650000nm -4000000nm 200000nm 152400nm 327000nm "3" "3" "square"]
-Pad [-6300000nm -3600000nm -5650000nm -3600000nm 200000nm 152400nm 327000nm "4" "4" "square"]
-Pad [-6300000nm -3200000nm -5650000nm -3200000nm 200000nm 152400nm 327000nm "5" "5" "square"]
-Pad [-6300000nm -2800000nm -5650000nm -2800000nm 200000nm 152400nm 327000nm "6" "6" "square"]
-Pad [-6300000nm -2400000nm -5650000nm -2400000nm 200000nm 152400nm 327000nm "7" "7" "square"]
-Pad [-6300000nm -2000000nm -5650000nm -2000000nm 200000nm 152400nm 327000nm "8" "8" "square"]
-Pad [-6300000nm -1600000nm -5650000nm -1600000nm 200000nm 152400nm 327000nm "9" "9" "square"]
-Pad [-6300000nm -1200000nm -5650000nm -1200000nm 200000nm 152400nm 327000nm "10" "10" "square"]
-Pad [-6300000nm -800000nm -5650000nm -800000nm 200000nm 152400nm 327000nm "11" "11" "square"]
-Pad [-6300000nm -400000nm -5650000nm -400000nm 200000nm 152400nm 327000nm "12" "12" "square"]
-Pad [-6300000nm 0nm -5650000nm 0nm 200000nm 152400nm 327000nm "13" "13" "square"]
-Pad [-6300000nm 400000nm -5650000nm 400000nm 200000nm 152400nm 327000nm "14" "14" "square"]
-Pad [-6300000nm 800000nm -5650000nm 800000nm 200000nm 152400nm 327000nm "15" "15" "square"]
-Pad [-6300000nm 1200000nm -5650000nm 1200000nm 200000nm 152400nm 327000nm "16" "16" "square"]
-Pad [-6300000nm 1600000nm -5650000nm 1600000nm 200000nm 152400nm 327000nm "17" "17" "square"]
-Pad [-6300000nm 2000000nm -5650000nm 2000000nm 200000nm 152400nm 327000nm "18" "18" "square"]
-Pad [-6300000nm 2400000nm -5650000nm 2400000nm 200000nm 152400nm 327000nm "19" "19" "square"]
-Pad [-6300000nm 2800000nm -5650000nm 2800000nm 200000nm 152400nm 327000nm "20" "20" "square"]
-Pad [-6300000nm 3200000nm -5650000nm 3200000nm 200000nm 152400nm 327000nm "21" "21" "square"]
-Pad [-6300000nm 3600000nm -5650000nm 3600000nm 200000nm 152400nm 327000nm "22" "22" "square"]
-Pad [-6300000nm 4000000nm -5650000nm 4000000nm 200000nm 152400nm 327000nm "23" "23" "square"]
-Pad [-6300000nm 4400000nm -5650000nm 4400000nm 200000nm 152400nm 327000nm "24" "24" "square"]
-Pad [-6300000nm 4800000nm -5650000nm 4800000nm 200000nm 152400nm 327000nm "25" "25" "square"]
-Pad [-4800000nm 5650000nm -4800000nm 6300000nm 200000nm 152400nm 327000nm "26" "26" "square"]
-Pad [-4400000nm 5650000nm -4400000nm 6300000nm 200000nm 152400nm 327000nm "27" "27" "square"]
-Pad [-4000000nm 5650000nm -4000000nm 6300000nm 200000nm 152400nm 327000nm "28" "28" "square"]
-Pad [-3600000nm 5650000nm -3600000nm 6300000nm 200000nm 152400nm 327000nm "29" "29" "square"]
-Pad [-3200000nm 5650000nm -3200000nm 6300000nm 200000nm 152400nm 327000nm "30" "30" "square"]
-Pad [-2800000nm 5650000nm -2800000nm 6300000nm 200000nm 152400nm 327000nm "31" "31" "square"]
-Pad [-2400000nm 5650000nm -2400000nm 6300000nm 200000nm 152400nm 327000nm "32" "32" "square"]
-Pad [-2000000nm 5650000nm -2000000nm 6300000nm 200000nm 152400nm 327000nm "33" "33" "square"]
-Pad [-1600000nm 5650000nm -1600000nm 6300000nm 200000nm 152400nm 327000nm "34" "34" "square"]
-Pad [-1200000nm 5650000nm -1200000nm 6300000nm 200000nm 152400nm 327000nm "35" "35" "square"]
-Pad [-800000nm 5650000nm -800000nm 6300000nm 200000nm 152400nm 327000nm "36" "36" "square"]
-Pad [-400000nm 5650000nm -400000nm 6300000nm 200000nm 152400nm 327000nm "37" "37" "square"]
-Pad [0nm 5650000nm 0nm 6300000nm 200000nm 152400nm 327000nm "38" "38" "square"]
-Pad [400000nm 5650000nm 400000nm 6300000nm 200000nm 152400nm 327000nm "39" "39" "square"]
-Pad [800000nm 5650000nm 800000nm 6300000nm 200000nm 152400nm 327000nm "40" "40" "square"]
-Pad [1200000nm 5650000nm 1200000nm 6300000nm 200000nm 152400nm 327000nm "41" "41" "square"]
-Pad [1600000nm 5650000nm 1600000nm 6300000nm 200000nm 152400nm 327000nm "42" "42" "square"]
-Pad [2000000nm 5650000nm 2000000nm 6300000nm 200000nm 152400nm 327000nm "43" "43" "square"]
-Pad [2400000nm 5650000nm 2400000nm 6300000nm 200000nm 152400nm 327000nm "44" "44" "square"]
-Pad [2800000nm 5650000nm 2800000nm 6300000nm 200000nm 152400nm 327000nm "45" "45" "square"]
-Pad [3200000nm 5650000nm 3200000nm 6300000nm 200000nm 152400nm 327000nm "46" "46" "square"]
-Pad [3600000nm 5650000nm 3600000nm 6300000nm 200000nm 152400nm 327000nm "47" "47" "square"]
-Pad [4000000nm 5650000nm 4000000nm 6300000nm 200000nm 152400nm 327000nm "48" "48" "square"]
-Pad [4400000nm 5650000nm 4400000nm 6300000nm 200000nm 152400nm 327000nm "49" "49" "square"]
-Pad [4800000nm 5650000nm 4800000nm 6300000nm 200000nm 152400nm 327000nm "50" "50" "square"]
-Pad [5650000nm 4800000nm 6300000nm 4800000nm 200000nm 152400nm 327000nm "51" "51" "square"]
-Pad [5650000nm 4400000nm 6300000nm 4400000nm 200000nm 152400nm 327000nm "52" "52" "square"]
-Pad [5650000nm 4000000nm 6300000nm 4000000nm 200000nm 152400nm 327000nm "53" "53" "square"]
-Pad [5650000nm 3600000nm 6300000nm 3600000nm 200000nm 152400nm 327000nm "54" "54" "square"]
-Pad [5650000nm 3200000nm 6300000nm 3200000nm 200000nm 152400nm 327000nm "55" "55" "square"]
-Pad [5650000nm 2800000nm 6300000nm 2800000nm 200000nm 152400nm 327000nm "56" "56" "square"]
-Pad [5650000nm 2400000nm 6300000nm 2400000nm 200000nm 152400nm 327000nm "57" "57" "square"]
-Pad [5650000nm 2000000nm 6300000nm 2000000nm 200000nm 152400nm 327000nm "58" "58" "square"]
-Pad [5650000nm 1600000nm 6300000nm 1600000nm 200000nm 152400nm 327000nm "59" "59" "square"]
-Pad [5650000nm 1200000nm 6300000nm 1200000nm 200000nm 152400nm 327000nm "60" "60" "square"]
-Pad [5650000nm 800000nm 6300000nm 800000nm 200000nm 152400nm 327000nm "61" "61" "square"]
-Pad [5650000nm 400000nm 6300000nm 400000nm 200000nm 152400nm 327000nm "62" "62" "square"]
-Pad [5650000nm 0nm 6300000nm 0nm 200000nm 152400nm 327000nm "63" "63" "square"]
-Pad [5650000nm -400000nm 6300000nm -400000nm 200000nm 152400nm 327000nm "64" "64" "square"]
-Pad [5650000nm -800000nm 6300000nm -800000nm 200000nm 152400nm 327000nm "65" "65" "square"]
-Pad [5650000nm -1200000nm 6300000nm -1200000nm 200000nm 152400nm 327000nm "66" "66" "square"]
-Pad [5650000nm -1600000nm 6300000nm -1600000nm 200000nm 152400nm 327000nm "67" "67" "square"]
-Pad [5650000nm -2000000nm 6300000nm -2000000nm 200000nm 152400nm 327000nm "68" "68" "square"]
-Pad [5650000nm -2400000nm 6300000nm -2400000nm 200000nm 152400nm 327000nm "69" "69" "square"]
-Pad [5650000nm -2800000nm 6300000nm -2800000nm 200000nm 152400nm 327000nm "70" "70" "square"]
-Pad [5650000nm -3200000nm 6300000nm -3200000nm 200000nm 152400nm 327000nm "71" "71" "square"]
-Pad [5650000nm -3600000nm 6300000nm -3600000nm 200000nm 152400nm 327000nm "72" "72" "square"]
-Pad [5650000nm -4000000nm 6300000nm -4000000nm 200000nm 152400nm 327000nm "73" "73" "square"]
-Pad [5650000nm -4400000nm 6300000nm -4400000nm 200000nm 152400nm 327000nm "74" "74" "square"]
-Pad [5650000nm -4800000nm 6300000nm -4800000nm 200000nm 152400nm 327000nm "75" "75" "square"]
-Pad [4800000nm -6300000nm 4800000nm -5650000nm 200000nm 152400nm 327000nm "76" "76" "square"]
-Pad [4400000nm -6300000nm 4400000nm -5650000nm 200000nm 152400nm 327000nm "77" "77" "square"]
-Pad [4000000nm -6300000nm 4000000nm -5650000nm 200000nm 152400nm 327000nm "78" "78" "square"]
-Pad [3600000nm -6300000nm 3600000nm -5650000nm 200000nm 152400nm 327000nm "79" "79" "square"]
-Pad [3200000nm -6300000nm 3200000nm -5650000nm 200000nm 152400nm 327000nm "80" "80" "square"]
-Pad [2800000nm -6300000nm 2800000nm -5650000nm 200000nm 152400nm 327000nm "81" "81" "square"]
-Pad [2400000nm -6300000nm 2400000nm -5650000nm 200000nm 152400nm 327000nm "82" "82" "square"]
-Pad [2000000nm -6300000nm 2000000nm -5650000nm 200000nm 152400nm 327000nm "83" "83" "square"]
-Pad [1600000nm -6300000nm 1600000nm -5650000nm 200000nm 152400nm 327000nm "84" "84" "square"]
-Pad [1200000nm -6300000nm 1200000nm -5650000nm 200000nm 152400nm 327000nm "85" "85" "square"]
-Pad [800000nm -6300000nm 800000nm -5650000nm 200000nm 152400nm 327000nm "86" "86" "square"]
-Pad [400000nm -6300000nm 400000nm -5650000nm 200000nm 152400nm 327000nm "87" "87" "square"]
-Pad [0nm -6300000nm 0nm -5650000nm 200000nm 152400nm 327000nm "88" "88" "square"]
-Pad [-400000nm -6300000nm -400000nm -5650000nm 200000nm 152400nm 327000nm "89" "89" "square"]
-Pad [-800000nm -6300000nm -800000nm -5650000nm 200000nm 152400nm 327000nm "90" "90" "square"]
-Pad [-1200000nm -6300000nm -1200000nm -5650000nm 200000nm 152400nm 327000nm "91" "91" "square"]
-Pad [-1600000nm -6300000nm -1600000nm -5650000nm 200000nm 152400nm 327000nm "92" "92" "square"]
-Pad [-2000000nm -6300000nm -2000000nm -5650000nm 200000nm 152400nm 327000nm "93" "93" "square"]
-Pad [-2400000nm -6300000nm -2400000nm -5650000nm 200000nm 152400nm 327000nm "94" "94" "square"]
-Pad [-2800000nm -6300000nm -2800000nm -5650000nm 200000nm 152400nm 327000nm "95" "95" "square"]
-Pad [-3200000nm -6300000nm -3200000nm -5650000nm 200000nm 152400nm 327000nm "96" "96" "square"]
-Pad [-3600000nm -6300000nm -3600000nm -5650000nm 200000nm 152400nm 327000nm "97" "97" "square"]
-Pad [-4000000nm -6300000nm -4000000nm -5650000nm 200000nm 152400nm 327000nm "98" "98" "square"]
-Pad [-4400000nm -6300000nm -4400000nm -5650000nm 200000nm 152400nm 327000nm "99" "99" "square"]
-Pad [-4800000nm -6300000nm -4800000nm -5650000nm 200000nm 152400nm 327000nm "100" "100" "square"]
-Pad [0nm 0nm 0nm 0nm 9200000nm 152400nm 9327000nm "ep" "101" "square"]
-ElementLine [-6654000nm -6654000nm -6654000nm 6654000nm 203200nm]
-ElementLine [-6654000nm 6654000nm 6654000nm 6654000nm 203200nm]
-ElementLine [6654000nm 6654000nm 6654000nm -6654000nm 203200nm]
-ElementLine [6654000nm -6654000nm -6654000nm -6654000nm 203200nm]
-ElementLine [-6654000nm -6369520nm -6369520nm -6654000nm 203200nm]
-ElementLine [-6654000nm -6085040nm -6085040nm -6654000nm 203200nm]
-ElementLine [-6654000nm -5800560nm -5800560nm -6654000nm 203200nm]
-ElementLine [-6654000nm -5516080nm -5516080nm -6654000nm 203200nm]
-ElementLine [-6654000nm -5231600nm -5231600nm -6654000nm 203200nm]
-)
diff --git a/test-pcb/fp/footprinter.py b/test-pcb/fp/footprinter.py
deleted file mode 100644 (file)
index 55367e0..0000000
+++ /dev/null
@@ -1,157 +0,0 @@
-# -*- coding: ASCII -*-
-'''footprinter.py version 0.1
-Classes for gEDA PCB footprint creation
-according to <http://www.brorson.com/gEDA/land_patterns_20050129.pdf>
-Copyright (c) 2011, Mel Wilson <mwilson@melwilsonsoftware.ca>
-
-Licence: Creative Commons Attribution 3.0 Unported License
-<http://creativecommons.org/licenses/by-sa/3.0/>
-'''
-
-def um(x):
-       '''Get standard units from a measurement in micrometers.'''
-       return int(x * 1000)
-
-def mm (x):
-       '''Get standard units from a measurement in millimeters.'''
-       return int(x * 1000000)
-       
-def mil (x):
-       '''Get standard units from a measurement in thousandths of an inch.'''
-       return int(x * 25400)
-       
-def inch (x):
-       '''Get standard units from a measurement in inches.'''
-       return int(x * 25400000)
-       
-
-class Footprint (object):
-       def __init__ (self, padpins=None, linearcs=None, sflags='', description=''):
-               if padpins is None:     padpins = []
-               if linearcs is None:    linearcs = []
-               self.mx = 1000
-               self.my = 1000
-               self.tx = 600
-               self.ty = 600
-               self.tdir = 0
-               self.tscale = 100
-               self.tsflags = ''
-               self.sflags = sflags
-               self.pads = padpins
-               self.lines = linearcs
-               self.description = description
-               
-       def file_element (self, filepath):
-               '''Write the footprint to a PCB-compatible file.'''
-               with open (filepath, 'wt') as f:
-                       self.write (f)
-                       
-       def write (self, f):
-               f.write ('Element ["%s" "%s" "" "" %dnm %dnm %dnm %dnm %d %d "%s"]\n'
-                       % (self.sflags, self.description, self.mx, self.my
-                       , self.tx, self.ty, self.tdir, self.tscale, self.tsflags)
-                       )
-               f.write ('(\n')
-               for p in self.pads:
-                       p.write (f)
-               for L in self.lines:
-                       L.write (f)
-               f.write (')\n')
-                       
-                       
-class Pad (object):
-       def __init__ (self, number, padrect, trace_clearance, mask_clearance, name='', sflags=''):
-               px1, py1, px2, py2 = padrect    # x,y of diagonally opposite corners
-               thickness = min (abs (px1-px2), abs (py1-py2))
-               t2 = thickness/2
-               # find the centerline of the pad ..
-               if px1 < px2:
-                       rx1, rx2 = px1 + t2, px2 - t2
-               else:
-                       rx1, rx2 = px1 - t2, px1 + t2
-               if py1 < py2:
-                       ry1, ry2 = py1 + t2, py2 - t2
-               else:
-                       ry1, ry2 = py1 - t2, py1 + t2
-               self.rx1 = rx1
-               self.ry1 = ry1
-               self.rx2 = rx2
-               self.ry2 = ry2
-               self.thickness = thickness
-               self.clearance = trace_clearance
-               #~ self.mask = mask
-               self.mask = thickness + mask_clearance*2
-               self.name = name
-               self.number = number
-               self.sflags = sflags
-               
-       def write (self, f):
-               f.write ('Pad [%dnm %dnm %dnm %dnm %dnm %dnm %dnm "%s" "%s" "%s"]\n'
-                       % (self.rx1, self.ry1, self.rx2, self.ry2
-                               , self.thickness, self.clearance, self.mask
-                               , self.name, self.number, self.sflags
-                               )
-                       )                       
-                       
-class Pin (object):
-       def __init__ (self, number, rx, ry, thickness, trace_clearance, mask_clearance, drill, name='', sflags=''):
-               self.rx = rx
-               self.ry = ry
-               self.thickness = thickness
-               self.clearance = trace_clearance
-               #~ self.mask = mask
-               self.mask = thickness + mask_clearance*2
-               self.drill = drill
-               self.name = str (name)
-               self.number = str (number)
-               self.sflags = sflags
-               
-       def write (self, f):
-               f.write ('Pin [%dnm %dnm %dnm %dnm %dnm %dnm "%s" "%s" "%s"]\n'
-                       % (self.rx, self.ry
-                               , self.thickness, self.clearance, self.mask, self.drill
-                               , self.name, self.number, self.sflags
-                               )
-                       )                       
-
-class ElementLine (object):
-       def __init__ (self, rx1, ry1, rx2, ry2, thickness):
-               self.rx1 = rx1
-               self.ry1 = ry1
-               self.rx2 = rx2
-               self.ry2 = ry2
-               self.thickness = thickness
-       
-       def write (self, f):
-               f.write ('ElementLine [%dnm %dnm %dnm %dnm %dnm]\n'
-                       % (self.rx1, self.ry1, self.rx2, self.ry2, self.thickness)
-                       )                       
-       
-class ElementBox (object):
-       def __init__ (self, rx1, ry1, rx2, ry2, thickness):
-               self.rx1 = rx1
-               self.ry1 = ry1
-               self.rx2 = rx2
-               self.ry2 = ry2
-               self.thickness = thickness
-
-       def write (self, f):
-               ElementLine(self.rx1, self.ry1, self.rx1, self.ry2, self.thickness).write(f)
-               ElementLine(self.rx1, self.ry2, self.rx2, self.ry2, self.thickness).write(f)
-               ElementLine(self.rx2, self.ry2, self.rx2, self.ry1, self.thickness).write(f)
-               ElementLine(self.rx2, self.ry1, self.rx1, self.ry1, self.thickness).write(f)
-
-class ElementArc (object):
-       def __init__ (self, rx, ry, width, height, start_angle, delta_angle, thickness):
-               self.rx = rx
-               self.ry = ry
-               self.width = width
-               self.height = height
-               self.start_angle = start_angle
-               self.delta_angle = delta_angle
-               self.thickness = thickness
-       
-       def write (self, f):
-               f.write ('ElementArc [%dnm %dnm %dnm %dnm %d %d %dnm]\n'
-                       % (self.rx, self.ry, self.width, self.height, self.start_angle, self.delta_angle, self.thickness)
-                       )
diff --git a/test-pcb/fp/socket-overlay.pdf b/test-pcb/fp/socket-overlay.pdf
deleted file mode 100644 (file)
index 74779ed..0000000
Binary files a/test-pcb/fp/socket-overlay.pdf and /dev/null differ
diff --git a/test-pcb/gafrc b/test-pcb/gafrc
deleted file mode 100644 (file)
index 3db46e9..0000000
+++ /dev/null
@@ -1,6 +0,0 @@
-;only show local symbols
-(reset-component-library)
-
-(load "gafrc-libraries")
-
-; vi:ft=scheme
diff --git a/test-pcb/gafrc-libraries b/test-pcb/gafrc-libraries
deleted file mode 100644 (file)
index 5c73a8d..0000000
+++ /dev/null
@@ -1,14 +0,0 @@
-; global symbol library
-; git submodule
-(component-library-search "waeda-sym")
-
-; local-only symbols
-(component-library "sym" "local-sym")
-
-; subpage symbols
-(component-library ".")
-
-; subpages
-(source-library ".")
-
-; vim: ft=scheme
diff --git a/test-pcb/gnetlistrc b/test-pcb/gnetlistrc
deleted file mode 100644 (file)
index 111c726..0000000
+++ /dev/null
@@ -1,11 +0,0 @@
-
-;load symbol libraries
-(load "gafrc-libraries")
-
-;disable hierarchy traversal (before presenting 'packages to backend)
-(hierarchy-traversal "disabled")
-
-(define refdes-type-order
-      (list "ACS" "*" "TP" "A"))
-
-; vi:ft=scheme
diff --git a/test-pcb/gschemrc b/test-pcb/gschemrc
deleted file mode 100644 (file)
index 60fc452..0000000
+++ /dev/null
@@ -1,7 +0,0 @@
-
-(sort-component-library "enabled")
-
-(define default-titleblock "title-wa-85x110.sym")
-(output-type "extents no margins")
-
-; vi:ft=scheme
diff --git a/test-pcb/pinout-notes.otl b/test-pcb/pinout-notes.otl
deleted file mode 100644 (file)
index 10e44ce..0000000
+++ /dev/null
@@ -1,421 +0,0 @@
-
-Notes
-       USCI_A0 on cc430 supports SPI, UART
-       USCI_B0 on cc430 supports SPI, I2C
-       After boot, r9 holds RAMER count
-
-Power
-       [X] 100% By-IC
-               [X] 100% wb430
-                       [X] 100% AtoI
-                               [X] AVDD Analog 2.5V
-                               [X] AVSS Analog gnd
-                               [X] VDD_atoi digital core 1.2V
-                               [X] VSS_atoi digital core gnd
-                       [X] 100% NS430
-                               [X] DVDD_PadIO 2.5V
-                               [X] DVDD_PadIO gnd
-                               [X] DVDD_OSCIO 2.5V
-                               [X] DVSS_OSCIO gnd
-                               [X] VDD_430 core 1.2V
-                               [X] VSS_430 core gnd
-                               [X] VDD_osc core 1.2V
-                               [X] VSS_osc core gnd
-               [X] 100% cc430
-                       Processor
-                               : PMMCOREV modes
-                               :       3 - DVcc 2.4 Vmin
-                               :       2 - DVcc 2.2 Vmin
-                               :       1 - DVcc 2.0 Vmin
-                               :       0 - DVcc 1.8 Vmin
-                               [X] DVCC 2.0 Vnom
-                       RF section
-                               [X] AVcc_RF 2.0 Vmin
-                       ADC12
-                               [X] AVcc 2.2 Vmin
-               [X] 100% ADS8201 - 8ch 12b-ADC
-                       : ADC0
-                       [X] 2.2 Vmin
-               [X] 100% DAC8568 - 8ch 16b-DAC
-                       : DAC0
-                       [X] 2.7 Vmin
-               [X] 100% AD5242 - dual 1M pot (biasR)
-                       : DigiPot0
-                       [X] 2.2 Vmin
-               [X] 100% AD5242 - dual 100k pot (LDO)
-                       : DigiPot1
-                       [X] 2.2 Vmin
-               [X] 100% M25PExx - serial flash
-                       : Flash0
-                       [X] 2.7 Vmin
-       [X] 100% By-PS-Domain
-               [X] 100% DCDC - supplies all others
-                       : do not use
-               [X] 100% LDO0 - Digital
-                       : ADP323 triple adj LDO with EN
-                       : Needs separate >= 2.5 Vbias
-                       [X] Vdd_ns430 - core 1.2 V
-                               [X] wb430 VDD_430
-                               [X] wb430 VDD_osc
-                       [X] DVdd_ns430 - Pad 2.5 V
-                               [X] wb430 DVDD_PadIO
-                               [X] wb430 DVDD_OSCIO
-                       [X] AVdd_atoi - AtoI analog 2.5V
-                               [X] wb430 AVDD Analog
-               [X] 100% LDO1 - AtoI, analog
-                       : ADP323 triple adj LDO with EN
-                       : Needs separate >= 2.5 Vbias
-                       [X] Vdd_digi - AtoI digital core 1.2 V
-                               [X] wb430 VDD_atoi
-                       [X] Vdd_dev - cc430 1.8-3.6 V
-                               [X] cc430 DVCC
-                               [X] cc430 AVcc_RF
-                               [X] cc430 AVcc
-                       [X] AVdd_dev - peripheral analog, flash 2.7 V
-                               : full dev board - fixed at 2.7 V
-                               : ADC0 and DigiPotX may be 2.2 V for small board
-                               [X] ADC0 VD
-                               [X] ADC0 VA
-                               [X] DAC0 AVdd
-                               [X] DigiPot0 Vdd
-                               [X] DigiPot1 Vdd
-                               [X] Flash0
-               
-               
-
-Connections
-       [_] 0% ns430
-               [_] 0% Digital Pins
-                       : SPI0 - flash
-                       : SPI1 - AtoI digital
-                       : UART0 - bootloader, comms
-                       : UART1 - ???
-                       : I2C - DigiPots (biasR, LDO)
-                       [_] 94 - GPOut33 / CS1_mux (pad mux)
-                       [_] 95 - GPOut32 / CS1_conf (pad mux)
-                       [_] 96 - GPOut1 / MULT1 (pad mux)
-                       [_] 97 - GPOut0 / MULT0 (pad mux)
-                       [_] 98 - PB7 / RESET (pad mux)
-                       [_] 99 - PB8 / NCO_CLK / timer0 (pad mux)
-                       [_] 100 - INT_SPI
-                               : low: inputs from off-chip to internal digital signals
-                               : high - pads are outputs, monitor internal digital signals
-                       [_] 1 - DOUT0_mux
-                               : jumper to MISO1
-                       [_] 2 - DOUT0_conf
-                               : jumper to MISO1
-                       [_] 3 - PA15 / CS0_conf (pad mux)
-                       [_] 4 - PA14 / RXD1
-                       [_] 5 - PA13 / TXD1
-                       [_] 6 - PA12 / SCLK1 (pad mux)
-                               : internal AtoI SPI connection
-                       [_] 7 - PA11 / MOSI1 (pad mux)
-                               : internal AtoI SPI connection
-                       [_] 8 - PA10 / MISO1
-                               : opt jumpers from DOUT_x
-                       [_] 9 - PA9 / SCL / endianness
-                               : low - noswap
-                               : high - swap bytes
-                               : connect to DigiPots
-                       [_] 10- PA8 / SDA
-                               : connect to DigiPots
-                       [_] 11- PA7 / BSL / CS0_mux (pad mux)
-                               : low - run BSL
-                               : high - copy/run from flash
-                       [_] 12- PA6 / IRQ
-                       [_] 13- PA5 / RDX0
-                               : bootloader
-                       [_] 14- PA4 / TXD0
-                               : bootloader
-                       [_] 15- PA3 / SCLK0
-                               : to flash
-                       [_] 16- PA2 / MOSI0
-                               : to flash
-                       [_] 17- PA1 / MISO0
-                               : to flash
-                       [_] 18- PA0 / CS_flash
-                               : to flash
-               [_] 0% Analog Pins
-                       [_] 0% Single OTA
-                               [_] ota_slow - 2v4 logic
-                               [_] ota_slowinv - 2v4 logic
-                               [_] ota_biasa - current sink
-                               [_] ota_biasb - current sink
-                               [_] ota_biasccp - voltage bias
-                               [_] ota_biasccn - voltage bias
-                               [_] ota_ina - signal input
-                               [_] ota_inb - signal input
-                               [_] ota_cmi - signal input
-                               [_] ota_out - signal output
-                       [_] 0% AtoI Main Channels
-                               [_] 0% Integrator Bias
-                                       [_] biasp - main current setting voltage
-                                               : read only unless...
-                                               [_] send to ADC
-                                       [_] biasccp - cascode bias voltage
-                                               : read only unless...
-                                               [_] send to ADC
-                                       [_] biasR - resistance in bias loop generator
-                                               : TODO expected R range
-                                               : 2 resistors, bottom fixed and known C-V converter for ADC
-                                               [_] send to ADC
-                               [_] 0% Mux Buffer Bias
-                                       [_] buf_biasp - main current setting voltage
-                                               : read only unless...
-                                               [_] send to ADC
-                                       [_] buf_biasccp - cascode bias voltage
-                                               : read only unless...
-                                               [_] send to ADC
-                                       [_] buf_biasR - resistance in bias loop generator
-                                               : TODO expected R range
-                                               : 2 resistors, bottom fixed and known C-V converter for ADC
-                                               [_] send to ADC
-                               [_] 0% Signal Inputs
-                                       [_] INA - diff signal
-                                               [_] from DAC
-                                       [_] INB - diff signal
-                                               [_] from DAC
-                                       [_] CMI - AREF analog "0"
-                                               [_] from AREF generator
-                               [_] 0% Signal Outputs
-                                       : full AVDD-AVSS range
-                                       [_] mux0_outA - AtoI integrator mux/buffer output
-                                               [_] send to ADC
-                                       [_] mux0_outB - AtoI integrator mux/buffer output
-                                               [_] send to ADC
-                                       [_] arb_out0 - Arb output(0) direct
-                                               [_] send to ADC
-                                       [_] arb_out1 - Arb output(1) direct
-                                               [_] send to ADC
-                                       [_] mux1_outA - Arb mux/buffer output
-                                               [_] send to ADC
-                                       [_] mux1_outB - Arb mux/buffer output
-                                               [_] send to ADC
-                                       
-       [_] 16% cc430f5137
-               : on board
-               [_] 16% Pins
-                       [_] 0% (1) RF
-                               [_] 29- RF_P
-                               [_] 30- RF_N
-                               [_] 25- RF_Xi
-                               [_] 26- RF_XOUT
-                               [_] 33- RBIAS
-                               [_] 12- P1.1/RFGDO2
-                               [_] 13- P1.0/RFGDO0
-                               [_] 15- P3.6/RFGDO1
-                       [_] 0% (2) USCI Comm
-                               : UCA0 supports SPI, UART
-                               : UCB0 supports SPI, I2C
-                               [_] 4 - P1.7/UCA0CLK/UCB0STE
-                               [_] 5 - P1.6/UCA0TXD/UCA0MOSI
-                               [_] 6 - P1.5/UCA0RXD/UCA0MISO
-                               [_] 9 - P1.4/UCB0CLK/UCA0STE
-                               [_] 10- P1.3/UCB0MOSI/UCB0SDA
-                               [_] 11- P1.2/UCB0MISO/UCB0SCL
-                       [_] 0% (3) Sys / JTAG / SpyBiWire
-                               [_] 35- PJ.0/TDO
-                               [_] 36- PJ.1/TDI/TCLK
-                               [_] 37- PJ.2/TMS
-                               [_] 38- PJ.3/TCK
-                               [_] 39- TEST/SBWTCK
-                               [_] 40- \_RST\_/NMI/SBWTDIO
-                               [_] 43- P5.1/XOUT
-                               [_] 44- P5.0/Xi
-                       [X] 100% (4) Power
-                               [X] 7 - VCORE
-                                       : filter cap only
-                               [X] 8 - DVCC
-                               [X] 27- AVCC_RF
-                               [X] 28- AVCC_RF
-                               [X] 31- AVCC_RF
-                               [X] 32- AVCC_RF
-                               [X] 22- DVCC
-                               [X] 34- GUARD
-                               [X] 41- DVCC
-                               [X] 42- AVSS
-                               [X] 45- AVCC
-                               [X] 49- VSS_EP
-                       [_] 0% (5) ADC12 / Comp / Ref
-                               : x - arb_out0 ??
-                               : x - arb_out1 ??
-                               : - IVdd_ns430
-                               : - IDVdd_ns430
-                               : - IAVdd_atoi
-                               : - IVdd_digi
-                               : - IVdd_dev
-                               : - IAVdd_dev
-                               [_] 46- P2.5/SVMOUT/CB5/A5/VREF+/VeREF+
-                               [_] 47- P2.4/RTCCLK/CB4/A4/VREF-/VeREF-
-                               [_] 48- P2.3/TA1CCR2A/CB3/A3
-                               [_] 1 - P2.2/TA1CCR1A/CB2/A2
-                               [_] 2 - P2.1/TA1CCR0A/CB1/A1
-                               [_] 3 - P2.0/CBOUT1/TA1CLK/CB0/A0
-                       [_] 0% (6) Timing / GP
-                               [_] 14- P3.7/SMCLK
-                               [_] 24- P2.6/ACLK
-                               [_] 16- P3.5/TA0CCR4A
-                               [_] 17- P3.4/TA0CCR3A
-                               [_] 18- P3.3/TA0CCR2A
-                               [_] 19- P3.2/TA0CCR1A
-                               [_] 20- P3.1/TA0CCR0A
-                               [_] 21- P3.0/CBOUT0/TA0CLK
-                               [_] 23- P2.7/ADC12CLK/DMAE0
-       [_] 47% ADC0 - shared SPI bus
-               : ADS8201 (sampled from TI)
-               : QFN-24
-               : needs Vref
-               : 8ch 12bit 2.2/2.7 Vmin
-               [_] 0% Control
-                       [_] SPI1 on ns430
-                       [_] USCI_A0 or USCI_B0 on cc430
-               [X] 100% Input Signals
-                       [X] 0 - biasR - to infer bias current
-                               : diff with 5 for Vrdac0, or SE for PTAT n*Vt*ln(k)
-                               : buffered by max9912
-                       [X] 1 - 1k biasR Vx
-                               : buffered by max9912
-                       [X] 2 - buf_biasR - to infer bias current
-                               : diff with 7 for Vrdac1, or SE for PTAT n*Vt*ln(k)
-                               : buffered by max9912
-                       [X] 3 - 1k buf_biasR Vx
-                               : buffered by max9912
-                       [X] 4 - mux0_outA
-                       [X] 5 - mux0_outB
-                       [X] 6 - mux1_outA
-                               : or by pcb switch arb_out0 - hardwired to arb0
-                       [X] 7 - mux1_outB
-                               : or by pcb switch arb_out1 - hardwired to arb0
-               [_] 42% Pins
-                       [X] IN[7:0]
-                       [_] /RST - hardware reset
-                       [_] BUSY/INT - indication of activity
-                       [_] SCLK, SDI, SDO, /CS
-                       [X] DGND - interface gnd
-                       [_] /CONVST
-                       [X] VD - interface supply
-                       [X] VA - analog supply
-                       [_] REF - external reference
-                       [X] REFGND - reference gnd
-                       [X] AGND - analog gnd
-                       [_] ADCIN - ADC input
-                       [_] PGAOUT - connected (opt filtered) to ADCIN
-                               : PCB footprints for RC filter or short-to-ADCIN
-                       [_] PGAREF - set to Vanalog/2 for signed codes
-                               : switchable between gnd and Vref/2
-       [_] 4% DAC0 - shared SPI bus
-               : DAC8568 (sampled from TI)
-               : TSSOP-16
-               : 8ch 16bit 2.7 Vmin
-               : 2.5 Vref out
-               [_] 0% Control
-                       [_] SPI1 on ns430
-                       [_] USCI_A0 or USCI_B0 on cc430
-               [_] 0% Output Signals
-                       [_] x - biasR tuning
-                       [_] x - buf_biasR tuning
-                       [_] x - 
-                       [_] x - 
-                       [_] x - 
-                       [_] x - 
-                       [_] x - 
-                       [_] x - 
-               [_] 12% Pins
-                       [_] 1 - /LDAC - load DACs
-                               : tie to extra pin for flexibility
-                       [_] 2 - /SYNC - SPI /CS - frame sync input data
-                       [X] 3 - AVDD
-                       [_] 4 - VoutA
-                       [_] 5 - VoutC
-                       [_] 6 - VoutE
-                       [_] 7 - VoutG
-                       [_] 8 - VrefIN/VrefOUT - 2.5 V reference internal/external
-                       [_] 9 - /CLR - async clear input
-                       [_] 10- VoutH
-                       [_] 11- VoutF
-                       [_] 12- VoutD
-                       [_] 13- VoutB
-                       [X] 14- GND
-                       [_] 15- DIN - SPI MOSI
-                       [_] 16- SCLK - SPI clock
-       [_] 65% DigiPot0 - shared I2C bus
-               : AD5242 (sampled from ADI)
-               : 1M 256tap I2C pot 2.7 Vmin
-               : TSSOP-16
-               [_] 0% Control
-                       [_] I2C on ns430
-                       [_] USCI_B0 on cc430
-               [X] 100% Pot1
-                       [X] A1 - open, test point
-                       [X] W1 - biasR pin, ADC in
-                       [X] B1 - 1k top, ADC in
-                               : 1k bottom to AGND
-               [X] 100% Pot2
-                       [X] A2 - open, test point
-                       [X] W2 - buf_biasR pin, ADC in
-                       [X] B2 - 1k top, ADC in
-                               : 1k bottom to AGND
-               [_] 62% Pins
-                       [_] 1 - O1 - logic out1
-                       [X] 2 - A1 - pot1 top
-                       [X] 3 - W1 - pot1 wiper
-                       [X] 4 - B1 - pot1 bottom
-                       [X] 5 - VDD - 2.2-5.5V
-                       [X] 6 - /SHDN - async short W-B, tie to VDD
-                       [_] 7 - SCL - I2C clock
-                       [_] 8 - SDA - I2C data
-                       [_] 9 - AD0 - I2C address0
-                       [_] 10- AD1 - I2C address1
-                       [X] 11- DGND - logic common
-                       [X] 12- VSS - lowest Vpot - (-2.7-0V)
-                       [_] 13- O2 - logic out2
-                       [X] 14- B2 - pot2 bottom
-                       [X] 15- W2 - pot2 wiper
-                       [X] 16- A2 - pot2 top
-       [_] 0% DigiPot1 - shared I2C bus
-               : AD5242 (sampled from ADI)
-               : 100k 256tap I2C pot 2.7 Vmin
-               : TSSOP-16
-               [_] 0% Control
-                       [_] I2C on ns430
-                       [_] USCI_B0 on cc430
-               [_] 0% Pot1
-                       [_] A1 - 
-                       [_] W1 - 
-                       [_] B1 - 
-               [_] 0% Pot2
-                       [_] A2 - 
-                       [_] W2 - 
-                       [_] B2 - 
-               [_] 0% Pins
-                       [_] 1 - O1 - logic out1
-                       [_] 2 - A1 - pot1 top
-                       [_] 3 - W1 - pot1 wiper
-                       [_] 4 - B1 - pot1 bottom
-                       [_] 5 - VDD - 2.2-5.5V
-                       [_] 6 - /SHDN - async short W-B, tie to VDD
-                       [_] 7 - SCL - I2C clock
-                       [_] 8 - SDA - I2C data
-                       [_] 9 - AD0 - I2C address0
-                       [_] 10- AD1 - I2C address1
-                       [_] 11- DGND - logic common
-                       [_] 12- VSS - lowest Vpot - (-2.7-0V)
-                       [_] 13- O2 - logic out2
-                       [_] 14- B2 - pot2 bottom
-                       [_] 15- W2 - pot2 wiper
-                       [_] 16- A2 - pot2 top
-       [_] 18% M25PExx flash
-               [_] 0% Control
-                       [_] SPI0 on ns430
-               [_] 37% Pins
-                       [_] 1 - /S - chip select
-                       [_] 2 - Q - MISO
-                       [X] 3 - /W - write protect
-                               : tie high
-                       [X] 4 - Vss
-                       [_] 5 - D - MOSI
-                       [_] 6 - C - SCLK
-                       [_] 7 - /Reset
-                       [X] 8 - Vcc (2.7 Vmin)
-
-
diff --git a/test-pcb/sym/Makefile b/test-pcb/sym/Makefile
deleted file mode 100644 (file)
index 24a792a..0000000
+++ /dev/null
@@ -1,11 +0,0 @@
-
-SYMBOLS_DJSRC=$(wildcard *.djboxsym)
-SYMBOLS_DJ=$(SYMBOLS_DJSRC:.djboxsym=.sym)
-
-sym: $(SYMBOLS_DJ)
-
-%.sym: %.djboxsym
-       ./djboxsym $< > $@
-
-clean:
-       rm -f $(SYMBOLS_DJ)
diff --git a/test-pcb/sym/ad5242-1.djboxsym b/test-pcb/sym/ad5242-1.djboxsym
deleted file mode 100644 (file)
index c43a5a4..0000000
+++ /dev/null
@@ -1,37 +0,0 @@
-
-
-[labels]
-refdes=U?
-AD5242
-! footprint=QFN_24N__ADI
-! document=ad5242.pdf
-
-[left]
-1      o       O1
-2              A1
-3              W1
-4              B1
-
-5      p       VDD
-6      !i      \_SHDN\_
-
-7      i       SCL
-8      io      SDA
-
-
-
-[right]
-16             A2
-15             W2
-14             B2
-13     o       O2
-
-12     p       VSS
-11     p       DGND
-
-10     i       AD1
-9      i       AD0
-
-
-
-# vim:softtabstop=0 noexpandtab ft=sh
diff --git a/test-pcb/sym/ad5242-1.sym b/test-pcb/sym/ad5242-1.sym
deleted file mode 100644 (file)
index df1a7aa..0000000
+++ /dev/null
@@ -1,187 +0,0 @@
-v 20060123 1
-B 300 300 1500 4400 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-T 1800 4800 9 10 0 0 0 0 1
-document=ad5242.pdf
-T 1800 5000 9 10 0 0 0 0 1
-footprint=QFN_24N__ADI
-T 1100 4100 9 10 1 1 0 3 1
-refdes=U?
-T 1100 3700 9 10 1 1 0 3 1
-AD5242
-P 0 4300 300 4300 1 0 0
-{
-T 350 4300 9 10 1 1 0 1 1
-pinlabel=O1
-T 200 4350 5 8 1 1 0 6 1
-pinnumber=1
-T 200 4350 5 8 0 1 0 6 1
-pinseq=1
-T 200 4350 9 10 0 1 0 6 1
-pintype=out
-}
-P 0 3900 300 3900 1 0 0
-{
-T 350 3900 9 10 1 1 0 1 1
-pinlabel=A1
-T 200 3950 5 8 1 1 0 6 1
-pinnumber=2
-T 200 3950 5 8 0 1 0 6 1
-pinseq=2
-T 200 3950 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 3500 300 3500 1 0 0
-{
-T 350 3500 9 10 1 1 0 1 1
-pinlabel=W1
-T 200 3550 5 8 1 1 0 6 1
-pinnumber=3
-T 200 3550 5 8 0 1 0 6 1
-pinseq=3
-T 200 3550 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 3100 300 3100 1 0 0
-{
-T 350 3100 9 10 1 1 0 1 1
-pinlabel=B1
-T 200 3150 5 8 1 1 0 6 1
-pinnumber=4
-T 200 3150 5 8 0 1 0 6 1
-pinseq=4
-T 200 3150 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 2300 300 2300 1 0 0
-{
-T 350 2300 9 10 1 1 0 1 1
-pinlabel=VDD
-T 200 2350 5 8 1 1 0 6 1
-pinnumber=5
-T 200 2350 5 8 0 1 0 6 1
-pinseq=5
-T 200 2350 9 10 0 1 0 6 1
-pintype=pwr
-}
-V 250 1900 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-P 0 1900 200 1900 1 0 0
-{
-T 350 1900 9 10 1 1 0 1 1
-pinlabel=\_SHDN\_
-T 200 1950 5 8 1 1 0 6 1
-pinnumber=6
-T 200 1950 5 8 0 1 0 6 1
-pinseq=6
-T 200 1950 9 10 0 1 0 6 1
-pintype=in
-}
-P 0 1100 300 1100 1 0 0
-{
-T 350 1100 9 10 1 1 0 1 1
-pinlabel=SCL
-T 200 1150 5 8 1 1 0 6 1
-pinnumber=7
-T 200 1150 5 8 0 1 0 6 1
-pinseq=7
-T 200 1150 9 10 0 1 0 6 1
-pintype=in
-}
-P 0 700 300 700 1 0 0
-{
-T 350 700 9 10 1 1 0 1 1
-pinlabel=SDA
-T 200 750 5 8 1 1 0 6 1
-pinnumber=8
-T 200 750 5 8 0 1 0 6 1
-pinseq=8
-T 200 750 9 10 0 1 0 6 1
-pintype=inout
-}
-P 2100 700 1800 700 1 0 0
-{
-T 1750 700 9 10 1 1 0 7 1
-pinlabel=AD0
-T 1900 750 5 8 1 1 0 0 1
-pinnumber=9
-T 1900 750 5 8 0 1 0 0 1
-pinseq=9
-T 1700 750 9 10 0 1 0 6 1
-pintype=in
-}
-P 2100 1100 1800 1100 1 0 0
-{
-T 1750 1100 9 10 1 1 0 7 1
-pinlabel=AD1
-T 1900 1150 5 8 1 1 0 0 1
-pinnumber=10
-T 1900 1150 5 8 0 1 0 0 1
-pinseq=10
-T 1700 1150 9 10 0 1 0 6 1
-pintype=in
-}
-P 2100 1900 1800 1900 1 0 0
-{
-T 1750 1900 9 10 1 1 0 7 1
-pinlabel=DGND
-T 1900 1950 5 8 1 1 0 0 1
-pinnumber=11
-T 1900 1950 5 8 0 1 0 0 1
-pinseq=11
-T 1700 1950 9 10 0 1 0 6 1
-pintype=pwr
-}
-P 2100 2300 1800 2300 1 0 0
-{
-T 1750 2300 9 10 1 1 0 7 1
-pinlabel=VSS
-T 1900 2350 5 8 1 1 0 0 1
-pinnumber=12
-T 1900 2350 5 8 0 1 0 0 1
-pinseq=12
-T 1700 2350 9 10 0 1 0 6 1
-pintype=pwr
-}
-P 2100 3100 1800 3100 1 0 0
-{
-T 1750 3100 9 10 1 1 0 7 1
-pinlabel=O2
-T 1900 3150 5 8 1 1 0 0 1
-pinnumber=13
-T 1900 3150 5 8 0 1 0 0 1
-pinseq=13
-T 1700 3150 9 10 0 1 0 6 1
-pintype=out
-}
-P 2100 3500 1800 3500 1 0 0
-{
-T 1750 3500 9 10 1 1 0 7 1
-pinlabel=B2
-T 1900 3550 5 8 1 1 0 0 1
-pinnumber=14
-T 1900 3550 5 8 0 1 0 0 1
-pinseq=14
-T 1700 3550 9 10 0 1 0 6 1
-pintype=pas
-}
-P 2100 3900 1800 3900 1 0 0
-{
-T 1750 3900 9 10 1 1 0 7 1
-pinlabel=W2
-T 1900 3950 5 8 1 1 0 0 1
-pinnumber=15
-T 1900 3950 5 8 0 1 0 0 1
-pinseq=15
-T 1700 3950 9 10 0 1 0 6 1
-pintype=pas
-}
-P 2100 4300 1800 4300 1 0 0
-{
-T 1750 4300 9 10 1 1 0 7 1
-pinlabel=A2
-T 1900 4350 5 8 1 1 0 0 1
-pinnumber=16
-T 1900 4350 5 8 0 1 0 0 1
-pinseq=16
-T 1700 4350 9 10 0 1 0 6 1
-pintype=pas
-}
diff --git a/test-pcb/sym/ads8201-1.djboxsym b/test-pcb/sym/ads8201-1.djboxsym
deleted file mode 100644 (file)
index 0c3c977..0000000
+++ /dev/null
@@ -1,50 +0,0 @@
-
---vmode
-
-[labels]
-refdes=U?
-ADS8201
-! footprint=QFN_24N__TI
-! document=ads8201.pdf
-
-[left]
-1      i       IN4
-2      i       IN5
-3      i       IN6
-4      i       IN7
-
-5      i!      \_RST\_
-6      o       BUSY/INT
-.skip 400
-
-
-[right]
-18     i       ADCIN
-
-17     p       GNDA
-16     p       REFGND
-15     p       REF
-14     p       VDDA
-13     p       VDDIO
-.skip 400
-
-
-[top]
-24     i       IN3
-23     i       IN2
-22     i       IN1
-21     i       IN0
-
-20     i       PGAREF
-19     o       PGAOUT
-
-
-[bottom]
-7      i       SCLK
-8      i!      \_CS\_
-9      i       SDI
-10     o       SDO
-11     p       DGND
-12     i!      \_CONVST\_
-
-# vim:softtabstop=0 noexpandtab ft=sh
diff --git a/test-pcb/sym/ads8201-1.sym b/test-pcb/sym/ads8201-1.sym
deleted file mode 100644 (file)
index 98c462d..0000000
+++ /dev/null
@@ -1,275 +0,0 @@
-v 20060123 1
-B 300 300 2600 4200 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-T 2900 4600 9 10 0 0 0 0 1
-document=ads8201.pdf
-T 2900 4800 9 10 0 0 0 0 1
-footprint=QFN_24N__TI
-T 1600 3200 9 10 1 1 0 3 1
-refdes=U?
-T 1600 2800 9 10 1 1 0 3 1
-ADS8201
-P 0 3400 300 3400 1 0 0
-{
-T 350 3400 9 10 1 1 0 1 1
-pinlabel=IN4
-T 200 3450 5 8 1 1 0 6 1
-pinnumber=1
-T 200 3450 5 8 0 1 0 6 1
-pinseq=1
-T 200 3450 9 10 0 1 0 6 1
-pintype=in
-}
-P 0 3000 300 3000 1 0 0
-{
-T 350 3000 9 10 1 1 0 1 1
-pinlabel=IN5
-T 200 3050 5 8 1 1 0 6 1
-pinnumber=2
-T 200 3050 5 8 0 1 0 6 1
-pinseq=2
-T 200 3050 9 10 0 1 0 6 1
-pintype=in
-}
-P 0 2600 300 2600 1 0 0
-{
-T 350 2600 9 10 1 1 0 1 1
-pinlabel=IN6
-T 200 2650 5 8 1 1 0 6 1
-pinnumber=3
-T 200 2650 5 8 0 1 0 6 1
-pinseq=3
-T 200 2650 9 10 0 1 0 6 1
-pintype=in
-}
-P 0 2200 300 2200 1 0 0
-{
-T 350 2200 9 10 1 1 0 1 1
-pinlabel=IN7
-T 200 2250 5 8 1 1 0 6 1
-pinnumber=4
-T 200 2250 5 8 0 1 0 6 1
-pinseq=4
-T 200 2250 9 10 0 1 0 6 1
-pintype=in
-}
-V 250 1400 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-P 0 1400 200 1400 1 0 0
-{
-T 350 1400 9 10 1 1 0 1 1
-pinlabel=\_RST\_
-T 200 1450 5 8 1 1 0 6 1
-pinnumber=5
-T 200 1450 5 8 0 1 0 6 1
-pinseq=5
-T 200 1450 9 10 0 1 0 6 1
-pintype=in
-}
-P 0 1000 300 1000 1 0 0
-{
-T 350 1000 9 10 1 1 0 1 1
-pinlabel=BUSY/INT
-T 200 1050 5 8 1 1 0 6 1
-pinnumber=6
-T 200 1050 5 8 0 1 0 6 1
-pinseq=6
-T 200 1050 9 10 0 1 0 6 1
-pintype=out
-}
-P 600 0 600 300 1 0 0
-{
-T 600 350 9 10 1 1 90 1 1
-pinlabel=SCLK
-T 650 250 5 8 1 1 0 2 1
-pinnumber=7
-T 650 250 5 8 0 1 0 2 1
-pinseq=7
-T 500 750 9 10 0 1 0 6 1
-pintype=in
-}
-P 1000 0 1000 300 1 0 0
-{
-T 1000 350 9 10 1 1 90 1 1
-pinlabel=\_CS\_
-T 1050 250 5 8 1 1 0 2 1
-pinnumber=8
-T 1050 250 5 8 0 1 0 2 1
-pinseq=8
-T 900 750 9 10 0 1 0 6 1
-pintype=in
-}
-P 1400 0 1400 300 1 0 0
-{
-T 1400 350 9 10 1 1 90 1 1
-pinlabel=SDI
-T 1450 250 5 8 1 1 0 2 1
-pinnumber=9
-T 1450 250 5 8 0 1 0 2 1
-pinseq=9
-T 1300 750 9 10 0 1 0 6 1
-pintype=in
-}
-P 1800 0 1800 300 1 0 0
-{
-T 1800 350 9 10 1 1 90 1 1
-pinlabel=SDO
-T 1850 250 5 8 1 1 0 2 1
-pinnumber=10
-T 1850 250 5 8 0 1 0 2 1
-pinseq=10
-T 1700 750 9 10 0 1 0 6 1
-pintype=out
-}
-P 2200 0 2200 300 1 0 0
-{
-T 2200 350 9 10 1 1 90 1 1
-pinlabel=DGND
-T 2250 250 5 8 1 1 0 2 1
-pinnumber=11
-T 2250 250 5 8 0 1 0 2 1
-pinseq=11
-T 2100 750 9 10 0 1 0 6 1
-pintype=pwr
-}
-P 2600 0 2600 300 1 0 0
-{
-T 2600 350 9 10 1 1 90 1 1
-pinlabel=\_CONVST\_
-T 2650 250 5 8 1 1 0 2 1
-pinnumber=12
-T 2650 250 5 8 0 1 0 2 1
-pinseq=12
-T 2500 750 9 10 0 1 0 6 1
-pintype=in
-}
-P 3200 1000 2900 1000 1 0 0
-{
-T 2850 1000 9 10 1 1 0 7 1
-pinlabel=VDDIO
-T 3000 1050 5 8 1 1 0 0 1
-pinnumber=13
-T 3000 1050 5 8 0 1 0 0 1
-pinseq=13
-T 2800 1050 9 10 0 1 0 6 1
-pintype=pwr
-}
-P 3200 1400 2900 1400 1 0 0
-{
-T 2850 1400 9 10 1 1 0 7 1
-pinlabel=VDDA
-T 3000 1450 5 8 1 1 0 0 1
-pinnumber=14
-T 3000 1450 5 8 0 1 0 0 1
-pinseq=14
-T 2800 1450 9 10 0 1 0 6 1
-pintype=pwr
-}
-P 3200 1800 2900 1800 1 0 0
-{
-T 2850 1800 9 10 1 1 0 7 1
-pinlabel=REF
-T 3000 1850 5 8 1 1 0 0 1
-pinnumber=15
-T 3000 1850 5 8 0 1 0 0 1
-pinseq=15
-T 2800 1850 9 10 0 1 0 6 1
-pintype=pwr
-}
-P 3200 2200 2900 2200 1 0 0
-{
-T 2850 2200 9 10 1 1 0 7 1
-pinlabel=REFGND
-T 3000 2250 5 8 1 1 0 0 1
-pinnumber=16
-T 3000 2250 5 8 0 1 0 0 1
-pinseq=16
-T 2800 2250 9 10 0 1 0 6 1
-pintype=pwr
-}
-P 3200 2600 2900 2600 1 0 0
-{
-T 2850 2600 9 10 1 1 0 7 1
-pinlabel=GNDA
-T 3000 2650 5 8 1 1 0 0 1
-pinnumber=17
-T 3000 2650 5 8 0 1 0 0 1
-pinseq=17
-T 2800 2650 9 10 0 1 0 6 1
-pintype=pwr
-}
-P 3200 3400 2900 3400 1 0 0
-{
-T 2850 3400 9 10 1 1 0 7 1
-pinlabel=ADCIN
-T 3000 3450 5 8 1 1 0 0 1
-pinnumber=18
-T 3000 3450 5 8 0 1 0 0 1
-pinseq=18
-T 2800 3450 9 10 0 1 0 6 1
-pintype=in
-}
-P 2600 4800 2600 4500 1 0 0
-{
-T 2600 4450 9 10 1 1 90 7 1
-pinlabel=PGAOUT
-T 2650 4550 5 8 1 1 0 0 1
-pinnumber=19
-T 2650 4550 5 8 0 1 0 0 1
-pinseq=19
-T 2500 50 9 10 0 1 0 6 1
-pintype=out
-}
-P 2200 4800 2200 4500 1 0 0
-{
-T 2200 4450 9 10 1 1 90 7 1
-pinlabel=PGAREF
-T 2250 4550 5 8 1 1 0 0 1
-pinnumber=20
-T 2250 4550 5 8 0 1 0 0 1
-pinseq=20
-T 2100 50 9 10 0 1 0 6 1
-pintype=in
-}
-P 1800 4800 1800 4500 1 0 0
-{
-T 1800 4450 9 10 1 1 90 7 1
-pinlabel=IN0
-T 1850 4550 5 8 1 1 0 0 1
-pinnumber=21
-T 1850 4550 5 8 0 1 0 0 1
-pinseq=21
-T 1700 50 9 10 0 1 0 6 1
-pintype=in
-}
-P 1400 4800 1400 4500 1 0 0
-{
-T 1400 4450 9 10 1 1 90 7 1
-pinlabel=IN1
-T 1450 4550 5 8 1 1 0 0 1
-pinnumber=22
-T 1450 4550 5 8 0 1 0 0 1
-pinseq=22
-T 1300 50 9 10 0 1 0 6 1
-pintype=in
-}
-P 1000 4800 1000 4500 1 0 0
-{
-T 1000 4450 9 10 1 1 90 7 1
-pinlabel=IN2
-T 1050 4550 5 8 1 1 0 0 1
-pinnumber=23
-T 1050 4550 5 8 0 1 0 0 1
-pinseq=23
-T 900 50 9 10 0 1 0 6 1
-pintype=in
-}
-P 600 4800 600 4500 1 0 0
-{
-T 600 4450 9 10 1 1 90 7 1
-pinlabel=IN3
-T 650 4550 5 8 1 1 0 0 1
-pinnumber=24
-T 650 4550 5 8 0 1 0 0 1
-pinseq=24
-T 500 50 9 10 0 1 0 6 1
-pintype=in
-}
diff --git a/test-pcb/sym/cc430f5137-1.djboxsym b/test-pcb/sym/cc430f5137-1.djboxsym
deleted file mode 100644 (file)
index efc3942..0000000
+++ /dev/null
@@ -1,32 +0,0 @@
-#
-# cc430f5137
-#
-
-
-[labels]
-refdes=CC430
-CC430F5137
-RF
-1of6
-
-! footprint=QFN_48N__TI.fp
-! document=cc430f5137.pdf
-
-
-[left]
-25     i       RF_Xi
-26     o       RF_XOUT
-
-33             RBIAS
-
-
-[right]
-29     io      RF_P
-30     io      RF_N
-
-12     io      P1.1/RFGDO2
-13     io      P1.0/RFGDO0
-15     io      P3.6/RFGDO1
-
-
-# vim:softtabstop=0 noexpandtab ft=sh
diff --git a/test-pcb/sym/cc430f5137-1.sym b/test-pcb/sym/cc430f5137-1.sym
deleted file mode 100644 (file)
index c10973a..0000000
+++ /dev/null
@@ -1,102 +0,0 @@
-v 20060123 1
-B 300 300 4000 2800 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-T 4300 3200 9 10 0 0 0 0 1
-document=cc430f5137.pdf
-T 4300 3400 9 10 0 0 0 0 1
-footprint=QFN_48N__TI.fp
-T 2300 2500 9 10 1 1 0 3 1
-refdes=CC430
-T 2300 2100 9 10 1 1 0 3 1
-CC430F5137
-T 2300 1700 9 10 1 1 0 3 1
-RF
-T 2300 1300 9 10 1 1 0 3 1
-1of6
-P 4600 1500 4300 1500 1 0 0
-{
-T 4250 1500 9 10 1 1 0 7 1
-pinlabel=P1.1/RFGDO2
-T 4400 1550 5 8 1 1 0 0 1
-pinnumber=12
-T 4400 1550 5 8 0 1 0 0 1
-pinseq=12
-T 4200 1550 9 10 0 1 0 6 1
-pintype=inout
-}
-P 4600 1100 4300 1100 1 0 0
-{
-T 4250 1100 9 10 1 1 0 7 1
-pinlabel=P1.0/RFGDO0
-T 4400 1150 5 8 1 1 0 0 1
-pinnumber=13
-T 4400 1150 5 8 0 1 0 0 1
-pinseq=13
-T 4200 1150 9 10 0 1 0 6 1
-pintype=inout
-}
-P 4600 700 4300 700 1 0 0
-{
-T 4250 700 9 10 1 1 0 7 1
-pinlabel=P3.6/RFGDO1
-T 4400 750 5 8 1 1 0 0 1
-pinnumber=15
-T 4400 750 5 8 0 1 0 0 1
-pinseq=15
-T 4200 750 9 10 0 1 0 6 1
-pintype=inout
-}
-P 0 2700 300 2700 1 0 0
-{
-T 350 2700 9 10 1 1 0 1 1
-pinlabel=RF_Xi
-T 200 2750 5 8 1 1 0 6 1
-pinnumber=25
-T 200 2750 5 8 0 1 0 6 1
-pinseq=25
-T 200 2750 9 10 0 1 0 6 1
-pintype=in
-}
-P 0 2300 300 2300 1 0 0
-{
-T 350 2300 9 10 1 1 0 1 1
-pinlabel=RF_XOUT
-T 200 2350 5 8 1 1 0 6 1
-pinnumber=26
-T 200 2350 5 8 0 1 0 6 1
-pinseq=26
-T 200 2350 9 10 0 1 0 6 1
-pintype=out
-}
-P 4600 2700 4300 2700 1 0 0
-{
-T 4250 2700 9 10 1 1 0 7 1
-pinlabel=RF_P
-T 4400 2750 5 8 1 1 0 0 1
-pinnumber=29
-T 4400 2750 5 8 0 1 0 0 1
-pinseq=29
-T 4200 2750 9 10 0 1 0 6 1
-pintype=inout
-}
-P 4600 2300 4300 2300 1 0 0
-{
-T 4250 2300 9 10 1 1 0 7 1
-pinlabel=RF_N
-T 4400 2350 5 8 1 1 0 0 1
-pinnumber=30
-T 4400 2350 5 8 0 1 0 0 1
-pinseq=30
-T 4200 2350 9 10 0 1 0 6 1
-pintype=inout
-}
-P 0 1500 300 1500 1 0 0
-{
-T 350 1500 9 10 1 1 0 1 1
-pinlabel=RBIAS
-T 200 1550 5 8 1 1 0 6 1
-pinnumber=33
-T 200 1550 5 8 0 1 0 6 1
-pinseq=33
-T 200 1550 9 10 0 1 0 6 1
-pintype=pas
-}
diff --git a/test-pcb/sym/cc430f5137-2.djboxsym b/test-pcb/sym/cc430f5137-2.djboxsym
deleted file mode 100644 (file)
index 6b667ff..0000000
+++ /dev/null
@@ -1,20 +0,0 @@
-#
-# cc430f5137
-#
-
-
-[labels]
-refdes=CC430
-CC430F5137
-USCI
-2of6
-
-[left]
-4      io      P1.7/UCA0CLK/UCB0STE
-5      io      P1.6/UCA0TXD/UCA0MOSI
-6      io      P1.5/UCA0RXD/UCA0MISO
-9      io      P1.4/UCB0CLK/UCA0STE
-10     io      P1.3/UCB0MOSI/UCB0SDA
-11     io      P1.2/UCB0MISO/UCB0SCL
-
-# vim:softtabstop=0 noexpandtab ft=sh
diff --git a/test-pcb/sym/cc430f5137-2.sym b/test-pcb/sym/cc430f5137-2.sym
deleted file mode 100644 (file)
index 7e10f74..0000000
+++ /dev/null
@@ -1,76 +0,0 @@
-v 20060123 1
-B 300 300 6100 2800 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-T 3400 2500 9 10 1 1 0 3 1
-refdes=CC430
-T 3400 2100 9 10 1 1 0 3 1
-CC430F5137
-T 3400 1700 9 10 1 1 0 3 1
-USCI
-T 3400 1300 9 10 1 1 0 3 1
-2of6
-P 0 2700 300 2700 1 0 0
-{
-T 350 2700 9 10 1 1 0 1 1
-pinlabel=P1.7/UCA0CLK/UCB0STE
-T 200 2750 5 8 1 1 0 6 1
-pinnumber=4
-T 200 2750 5 8 0 1 0 6 1
-pinseq=4
-T 200 2750 9 10 0 1 0 6 1
-pintype=inout
-}
-P 0 2300 300 2300 1 0 0
-{
-T 350 2300 9 10 1 1 0 1 1
-pinlabel=P1.6/UCA0TXD/UCA0MOSI
-T 200 2350 5 8 1 1 0 6 1
-pinnumber=5
-T 200 2350 5 8 0 1 0 6 1
-pinseq=5
-T 200 2350 9 10 0 1 0 6 1
-pintype=inout
-}
-P 0 1900 300 1900 1 0 0
-{
-T 350 1900 9 10 1 1 0 1 1
-pinlabel=P1.5/UCA0RXD/UCA0MISO
-T 200 1950 5 8 1 1 0 6 1
-pinnumber=6
-T 200 1950 5 8 0 1 0 6 1
-pinseq=6
-T 200 1950 9 10 0 1 0 6 1
-pintype=inout
-}
-P 0 1500 300 1500 1 0 0
-{
-T 350 1500 9 10 1 1 0 1 1
-pinlabel=P1.4/UCB0CLK/UCA0STE
-T 200 1550 5 8 1 1 0 6 1
-pinnumber=9
-T 200 1550 5 8 0 1 0 6 1
-pinseq=9
-T 200 1550 9 10 0 1 0 6 1
-pintype=inout
-}
-P 0 1100 300 1100 1 0 0
-{
-T 350 1100 9 10 1 1 0 1 1
-pinlabel=P1.3/UCB0MOSI/UCB0SDA
-T 200 1150 5 8 1 1 0 6 1
-pinnumber=10
-T 200 1150 5 8 0 1 0 6 1
-pinseq=10
-T 200 1150 9 10 0 1 0 6 1
-pintype=inout
-}
-P 0 700 300 700 1 0 0
-{
-T 350 700 9 10 1 1 0 1 1
-pinlabel=P1.2/UCB0MISO/UCB0SCL
-T 200 750 5 8 1 1 0 6 1
-pinnumber=11
-T 200 750 5 8 0 1 0 6 1
-pinseq=11
-T 200 750 9 10 0 1 0 6 1
-pintype=inout
-}
diff --git a/test-pcb/sym/cc430f5137-3.djboxsym b/test-pcb/sym/cc430f5137-3.djboxsym
deleted file mode 100644 (file)
index 9a02e91..0000000
+++ /dev/null
@@ -1,22 +0,0 @@
-#
-# cc430f5137
-#
-
-
-[labels]
-refdes=CC430
-CC430F5137
-Sys/JTAG/SBW
-3of6
-
-[left]
-35     io      PJ.0/TDO
-36     io      PJ.1/TDI/TCLK
-37     io      PJ.2/TMS
-38     io      PJ.3/TCK
-39     i       TEST/SBWTCK
-40     io      \_RST\_/NMI/SBWTDIO
-43     io      P5.1/XOUT
-44     io      P5.0/Xi
-
-# vim:softtabstop=0 noexpandtab ft=sh
diff --git a/test-pcb/sym/cc430f5137-3.sym b/test-pcb/sym/cc430f5137-3.sym
deleted file mode 100644 (file)
index 4b534f3..0000000
+++ /dev/null
@@ -1,98 +0,0 @@
-v 20060123 1
-B 300 300 4500 3600 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-T 2600 3300 9 10 1 1 0 3 1
-refdes=CC430
-T 2600 2900 9 10 1 1 0 3 1
-CC430F5137
-T 2600 2500 9 10 1 1 0 3 1
-Sys/JTAG/SBW
-T 2600 2100 9 10 1 1 0 3 1
-3of6
-P 0 3500 300 3500 1 0 0
-{
-T 350 3500 9 10 1 1 0 1 1
-pinlabel=PJ.0/TDO
-T 200 3550 5 8 1 1 0 6 1
-pinnumber=35
-T 200 3550 5 8 0 1 0 6 1
-pinseq=35
-T 200 3550 9 10 0 1 0 6 1
-pintype=inout
-}
-P 0 3100 300 3100 1 0 0
-{
-T 350 3100 9 10 1 1 0 1 1
-pinlabel=PJ.1/TDI/TCLK
-T 200 3150 5 8 1 1 0 6 1
-pinnumber=36
-T 200 3150 5 8 0 1 0 6 1
-pinseq=36
-T 200 3150 9 10 0 1 0 6 1
-pintype=inout
-}
-P 0 2700 300 2700 1 0 0
-{
-T 350 2700 9 10 1 1 0 1 1
-pinlabel=PJ.2/TMS
-T 200 2750 5 8 1 1 0 6 1
-pinnumber=37
-T 200 2750 5 8 0 1 0 6 1
-pinseq=37
-T 200 2750 9 10 0 1 0 6 1
-pintype=inout
-}
-P 0 2300 300 2300 1 0 0
-{
-T 350 2300 9 10 1 1 0 1 1
-pinlabel=PJ.3/TCK
-T 200 2350 5 8 1 1 0 6 1
-pinnumber=38
-T 200 2350 5 8 0 1 0 6 1
-pinseq=38
-T 200 2350 9 10 0 1 0 6 1
-pintype=inout
-}
-P 0 1900 300 1900 1 0 0
-{
-T 350 1900 9 10 1 1 0 1 1
-pinlabel=TEST/SBWTCK
-T 200 1950 5 8 1 1 0 6 1
-pinnumber=39
-T 200 1950 5 8 0 1 0 6 1
-pinseq=39
-T 200 1950 9 10 0 1 0 6 1
-pintype=in
-}
-P 0 1500 300 1500 1 0 0
-{
-T 350 1500 9 10 1 1 0 1 1
-pinlabel=\_RST\_/NMI/SBWTDIO
-T 200 1550 5 8 1 1 0 6 1
-pinnumber=40
-T 200 1550 5 8 0 1 0 6 1
-pinseq=40
-T 200 1550 9 10 0 1 0 6 1
-pintype=inout
-}
-P 0 1100 300 1100 1 0 0
-{
-T 350 1100 9 10 1 1 0 1 1
-pinlabel=P5.1/XOUT
-T 200 1150 5 8 1 1 0 6 1
-pinnumber=43
-T 200 1150 5 8 0 1 0 6 1
-pinseq=43
-T 200 1150 9 10 0 1 0 6 1
-pintype=inout
-}
-P 0 700 300 700 1 0 0
-{
-T 350 700 9 10 1 1 0 1 1
-pinlabel=P5.0/Xi
-T 200 750 5 8 1 1 0 6 1
-pinnumber=44
-T 200 750 5 8 0 1 0 6 1
-pinseq=44
-T 200 750 9 10 0 1 0 6 1
-pintype=inout
-}
diff --git a/test-pcb/sym/cc430f5137-4.djboxsym b/test-pcb/sym/cc430f5137-4.djboxsym
deleted file mode 100644 (file)
index c8c7788..0000000
+++ /dev/null
@@ -1,40 +0,0 @@
-#
-# cc430f5137
-#
-
---vmode
-
-[labels]
-refdes=CC430
-CC430F5137
-Power
-4of6
-
-[left]
-8      p       DVCC
-22     p       DVCC
-41     p       DVCC
-
-7      p       VCORE
-
-45     p       AVCC
-
-42     p       AVSS
-
-
-[right]
-27     p       AVCC_RF
-28     p       AVCC_RF
-31     p       AVCC_RF
-32     p       AVCC_RF
-34             GUARD
-
-
-
-[top]
-
-
-[bottom]
-49     p       VSS_EP
-
-# vim:softtabstop=0 noexpandtab ft=sh
diff --git a/test-pcb/sym/cc430f5137-4.sym b/test-pcb/sym/cc430f5137-4.sym
deleted file mode 100644 (file)
index a06371e..0000000
+++ /dev/null
@@ -1,142 +0,0 @@
-v 20060123 1
-B 300 300 3100 4300 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-T 1900 4000 9 10 1 1 0 3 1
-refdes=CC430
-T 1900 3600 9 10 1 1 0 3 1
-CC430F5137
-T 1900 3200 9 10 1 1 0 3 1
-Power
-T 1900 2800 9 10 1 1 0 3 1
-4of6
-P 0 2600 300 2600 1 0 0
-{
-T 350 2600 9 10 1 1 0 1 1
-pinlabel=VCORE
-T 200 2650 5 8 1 1 0 6 1
-pinnumber=7
-T 200 2650 5 8 0 1 0 6 1
-pinseq=7
-T 200 2650 9 10 0 1 0 6 1
-pintype=pwr
-}
-P 0 4200 300 4200 1 0 0
-{
-T 350 4200 9 10 1 1 0 1 1
-pinlabel=DVCC
-T 200 4250 5 8 1 1 0 6 1
-pinnumber=8
-T 200 4250 5 8 0 1 0 6 1
-pinseq=8
-T 200 4250 9 10 0 1 0 6 1
-pintype=pwr
-}
-P 0 3800 300 3800 1 0 0
-{
-T 350 3800 9 10 1 1 0 1 1
-pinlabel=DVCC
-T 200 3850 5 8 1 1 0 6 1
-pinnumber=22
-T 200 3850 5 8 0 1 0 6 1
-pinseq=22
-T 200 3850 9 10 0 1 0 6 1
-pintype=pwr
-}
-P 3700 4200 3400 4200 1 0 0
-{
-T 3350 4200 9 10 1 1 0 7 1
-pinlabel=AVCC_RF
-T 3500 4250 5 8 1 1 0 0 1
-pinnumber=27
-T 3500 4250 5 8 0 1 0 0 1
-pinseq=27
-T 3300 4250 9 10 0 1 0 6 1
-pintype=pwr
-}
-P 3700 3800 3400 3800 1 0 0
-{
-T 3350 3800 9 10 1 1 0 7 1
-pinlabel=AVCC_RF
-T 3500 3850 5 8 1 1 0 0 1
-pinnumber=28
-T 3500 3850 5 8 0 1 0 0 1
-pinseq=28
-T 3300 3850 9 10 0 1 0 6 1
-pintype=pwr
-}
-P 3700 3400 3400 3400 1 0 0
-{
-T 3350 3400 9 10 1 1 0 7 1
-pinlabel=AVCC_RF
-T 3500 3450 5 8 1 1 0 0 1
-pinnumber=31
-T 3500 3450 5 8 0 1 0 0 1
-pinseq=31
-T 3300 3450 9 10 0 1 0 6 1
-pintype=pwr
-}
-P 3700 3000 3400 3000 1 0 0
-{
-T 3350 3000 9 10 1 1 0 7 1
-pinlabel=AVCC_RF
-T 3500 3050 5 8 1 1 0 0 1
-pinnumber=32
-T 3500 3050 5 8 0 1 0 0 1
-pinseq=32
-T 3300 3050 9 10 0 1 0 6 1
-pintype=pwr
-}
-P 3700 2600 3400 2600 1 0 0
-{
-T 3350 2600 9 10 1 1 0 7 1
-pinlabel=GUARD
-T 3500 2650 5 8 1 1 0 0 1
-pinnumber=34
-T 3500 2650 5 8 0 1 0 0 1
-pinseq=34
-T 3300 2650 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 3400 300 3400 1 0 0
-{
-T 350 3400 9 10 1 1 0 1 1
-pinlabel=DVCC
-T 200 3450 5 8 1 1 0 6 1
-pinnumber=41
-T 200 3450 5 8 0 1 0 6 1
-pinseq=41
-T 200 3450 9 10 0 1 0 6 1
-pintype=pwr
-}
-P 0 1000 300 1000 1 0 0
-{
-T 350 1000 9 10 1 1 0 1 1
-pinlabel=AVSS
-T 200 1050 5 8 1 1 0 6 1
-pinnumber=42
-T 200 1050 5 8 0 1 0 6 1
-pinseq=42
-T 200 1050 9 10 0 1 0 6 1
-pintype=pwr
-}
-P 0 1800 300 1800 1 0 0
-{
-T 350 1800 9 10 1 1 0 1 1
-pinlabel=AVCC
-T 200 1850 5 8 1 1 0 6 1
-pinnumber=45
-T 200 1850 5 8 0 1 0 6 1
-pinseq=45
-T 200 1850 9 10 0 1 0 6 1
-pintype=pwr
-}
-P 1900 0 1900 300 1 0 0
-{
-T 1900 350 9 10 1 1 90 1 1
-pinlabel=VSS_EP
-T 1950 250 5 8 1 1 0 2 1
-pinnumber=49
-T 1950 250 5 8 0 1 0 2 1
-pinseq=49
-T 1800 750 9 10 0 1 0 6 1
-pintype=pwr
-}
diff --git a/test-pcb/sym/cc430f5137-5.djboxsym b/test-pcb/sym/cc430f5137-5.djboxsym
deleted file mode 100644 (file)
index e248501..0000000
+++ /dev/null
@@ -1,20 +0,0 @@
-#
-# cc430f5137
-#
-
-
-[labels]
-refdes=CC430
-CC430F5137
-Analog
-5of6
-
-[left]
-46     io      P2.5/SVMOUT/CB5/A5/VREF+/VeREF+
-47     io      P2.4/RTCCLK/CB4/A4/VREF-/VeREF-
-48     io      P2.3/TA1CCR2A/CB3/A3
-1      io      P2.2/TA1CCR1A/CB2/A2
-2      io      P2.1/TA1CCR0A/CB1/A1
-3      io      P2.0/CBOUT1/TA1CLK/CB0/A0
-
-# vim:softtabstop=0 noexpandtab ft=sh
diff --git a/test-pcb/sym/cc430f5137-5.sym b/test-pcb/sym/cc430f5137-5.sym
deleted file mode 100644 (file)
index c742f20..0000000
+++ /dev/null
@@ -1,76 +0,0 @@
-v 20060123 1
-B 300 300 8300 2800 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-T 4500 2500 9 10 1 1 0 3 1
-refdes=CC430
-T 4500 2100 9 10 1 1 0 3 1
-CC430F5137
-T 4500 1700 9 10 1 1 0 3 1
-Analog
-T 4500 1300 9 10 1 1 0 3 1
-5of6
-P 0 1500 300 1500 1 0 0
-{
-T 350 1500 9 10 1 1 0 1 1
-pinlabel=P2.2/TA1CCR1A/CB2/A2
-T 200 1550 5 8 1 1 0 6 1
-pinnumber=1
-T 200 1550 5 8 0 1 0 6 1
-pinseq=1
-T 200 1550 9 10 0 1 0 6 1
-pintype=inout
-}
-P 0 1100 300 1100 1 0 0
-{
-T 350 1100 9 10 1 1 0 1 1
-pinlabel=P2.1/TA1CCR0A/CB1/A1
-T 200 1150 5 8 1 1 0 6 1
-pinnumber=2
-T 200 1150 5 8 0 1 0 6 1
-pinseq=2
-T 200 1150 9 10 0 1 0 6 1
-pintype=inout
-}
-P 0 700 300 700 1 0 0
-{
-T 350 700 9 10 1 1 0 1 1
-pinlabel=P2.0/CBOUT1/TA1CLK/CB0/A0
-T 200 750 5 8 1 1 0 6 1
-pinnumber=3
-T 200 750 5 8 0 1 0 6 1
-pinseq=3
-T 200 750 9 10 0 1 0 6 1
-pintype=inout
-}
-P 0 2700 300 2700 1 0 0
-{
-T 350 2700 9 10 1 1 0 1 1
-pinlabel=P2.5/SVMOUT/CB5/A5/VREF+/VeREF+
-T 200 2750 5 8 1 1 0 6 1
-pinnumber=46
-T 200 2750 5 8 0 1 0 6 1
-pinseq=46
-T 200 2750 9 10 0 1 0 6 1
-pintype=inout
-}
-P 0 2300 300 2300 1 0 0
-{
-T 350 2300 9 10 1 1 0 1 1
-pinlabel=P2.4/RTCCLK/CB4/A4/VREF-/VeREF-
-T 200 2350 5 8 1 1 0 6 1
-pinnumber=47
-T 200 2350 5 8 0 1 0 6 1
-pinseq=47
-T 200 2350 9 10 0 1 0 6 1
-pintype=inout
-}
-P 0 1900 300 1900 1 0 0
-{
-T 350 1900 9 10 1 1 0 1 1
-pinlabel=P2.3/TA1CCR2A/CB3/A3
-T 200 1950 5 8 1 1 0 6 1
-pinnumber=48
-T 200 1950 5 8 0 1 0 6 1
-pinseq=48
-T 200 1950 9 10 0 1 0 6 1
-pintype=inout
-}
diff --git a/test-pcb/sym/cc430f5137-6.djboxsym b/test-pcb/sym/cc430f5137-6.djboxsym
deleted file mode 100644 (file)
index 9a073ce..0000000
+++ /dev/null
@@ -1,23 +0,0 @@
-#
-# cc430f5137
-#
-
-
-[labels]
-refdes=CC430
-CC430F5137
-Timer/GP
-6of6
-
-[right]
-14     io      P3.7/SMCLK
-16     io      P3.5/TA0CCR4A
-17     io      P3.4/TA0CCR3A
-18     io      P3.3/TA0CCR2A
-19     io      P3.2/TA0CCR1A
-20     io      P3.1/TA0CCR0A
-21     io      P3.0/CBOUT0/TA0CLK
-23     io      P2.7/ADC12CLK/DMAE0
-24     io      P2.6/ACLK
-
-# vim:softtabstop=0 noexpandtab ft=sh
diff --git a/test-pcb/sym/cc430f5137-6.sym b/test-pcb/sym/cc430f5137-6.sym
deleted file mode 100644 (file)
index fb1c1ad..0000000
+++ /dev/null
@@ -1,109 +0,0 @@
-v 20060123 1
-B 300 300 4300 4000 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-T 2500 3700 9 10 1 1 0 3 1
-refdes=CC430
-T 2500 3300 9 10 1 1 0 3 1
-CC430F5137
-T 2500 2900 9 10 1 1 0 3 1
-Timer/GP
-T 2500 2500 9 10 1 1 0 3 1
-6of6
-P 4900 3900 4600 3900 1 0 0
-{
-T 4550 3900 9 10 1 1 0 7 1
-pinlabel=P3.7/SMCLK
-T 4700 3950 5 8 1 1 0 0 1
-pinnumber=14
-T 4700 3950 5 8 0 1 0 0 1
-pinseq=14
-T 4500 3950 9 10 0 1 0 6 1
-pintype=inout
-}
-P 4900 3500 4600 3500 1 0 0
-{
-T 4550 3500 9 10 1 1 0 7 1
-pinlabel=P3.5/TA0CCR4A
-T 4700 3550 5 8 1 1 0 0 1
-pinnumber=16
-T 4700 3550 5 8 0 1 0 0 1
-pinseq=16
-T 4500 3550 9 10 0 1 0 6 1
-pintype=inout
-}
-P 4900 3100 4600 3100 1 0 0
-{
-T 4550 3100 9 10 1 1 0 7 1
-pinlabel=P3.4/TA0CCR3A
-T 4700 3150 5 8 1 1 0 0 1
-pinnumber=17
-T 4700 3150 5 8 0 1 0 0 1
-pinseq=17
-T 4500 3150 9 10 0 1 0 6 1
-pintype=inout
-}
-P 4900 2700 4600 2700 1 0 0
-{
-T 4550 2700 9 10 1 1 0 7 1
-pinlabel=P3.3/TA0CCR2A
-T 4700 2750 5 8 1 1 0 0 1
-pinnumber=18
-T 4700 2750 5 8 0 1 0 0 1
-pinseq=18
-T 4500 2750 9 10 0 1 0 6 1
-pintype=inout
-}
-P 4900 2300 4600 2300 1 0 0
-{
-T 4550 2300 9 10 1 1 0 7 1
-pinlabel=P3.2/TA0CCR1A
-T 4700 2350 5 8 1 1 0 0 1
-pinnumber=19
-T 4700 2350 5 8 0 1 0 0 1
-pinseq=19
-T 4500 2350 9 10 0 1 0 6 1
-pintype=inout
-}
-P 4900 1900 4600 1900 1 0 0
-{
-T 4550 1900 9 10 1 1 0 7 1
-pinlabel=P3.1/TA0CCR0A
-T 4700 1950 5 8 1 1 0 0 1
-pinnumber=20
-T 4700 1950 5 8 0 1 0 0 1
-pinseq=20
-T 4500 1950 9 10 0 1 0 6 1
-pintype=inout
-}
-P 4900 1500 4600 1500 1 0 0
-{
-T 4550 1500 9 10 1 1 0 7 1
-pinlabel=P3.0/CBOUT0/TA0CLK
-T 4700 1550 5 8 1 1 0 0 1
-pinnumber=21
-T 4700 1550 5 8 0 1 0 0 1
-pinseq=21
-T 4500 1550 9 10 0 1 0 6 1
-pintype=inout
-}
-P 4900 1100 4600 1100 1 0 0
-{
-T 4550 1100 9 10 1 1 0 7 1
-pinlabel=P2.7/ADC12CLK/DMAE0
-T 4700 1150 5 8 1 1 0 0 1
-pinnumber=23
-T 4700 1150 5 8 0 1 0 0 1
-pinseq=23
-T 4500 1150 9 10 0 1 0 6 1
-pintype=inout
-}
-P 4900 700 4600 700 1 0 0
-{
-T 4550 700 9 10 1 1 0 7 1
-pinlabel=P2.6/ACLK
-T 4700 750 5 8 1 1 0 0 1
-pinnumber=24
-T 4700 750 5 8 0 1 0 0 1
-pinseq=24
-T 4500 750 9 10 0 1 0 6 1
-pintype=inout
-}
diff --git a/test-pcb/sym/dac8568-1.djboxsym b/test-pcb/sym/dac8568-1.djboxsym
deleted file mode 100644 (file)
index 6c03fc1..0000000
+++ /dev/null
@@ -1,43 +0,0 @@
-
---vmode
-
-[labels]
-refdes=U?
-DAC8568
-! footprint=TSSOP_16N__TI
-! document=dac8586.pdf
-
-
-[left]
-1      i!      \_LDAC\_
-2      i!      \_SYNC\_
-
-4      o       Vout0
-5      o       Vout2
-6      o       Vout4
-7      o       Vout6
-
-8      o       Vref
-
-
-[right]
-16     i       SCLK
-15     i       DIN
-
-13     o       Vout1
-12     o       Vout3
-11     o       Vout5
-10     o       Vout7
-
-9      i!      \_CLR\_
-
-
-[top]
-3      p       AVDD
-
-
-[bottom]
-14     p       GND
-
-
-# vim:softtabstop=0 noexpandtab ft=sh
diff --git a/test-pcb/sym/dac8568-1.sym b/test-pcb/sym/dac8568-1.sym
deleted file mode 100644 (file)
index 6e520e9..0000000
+++ /dev/null
@@ -1,189 +0,0 @@
-v 20060123 1
-B 300 300 2300 4500 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-T 2600 4900 9 10 0 0 0 0 1
-document=dac8586.pdf
-T 2600 5100 9 10 0 0 0 0 1
-footprint=TSSOP_16N__TI
-T 1500 3700 9 10 1 1 0 3 1
-refdes=U?
-T 1500 3300 9 10 1 1 0 3 1
-DAC8568
-V 250 3900 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-P 0 3900 200 3900 1 0 0
-{
-T 350 3900 9 10 1 1 0 1 1
-pinlabel=\_LDAC\_
-T 200 3950 5 8 1 1 0 6 1
-pinnumber=1
-T 200 3950 5 8 0 1 0 6 1
-pinseq=1
-T 200 3950 9 10 0 1 0 6 1
-pintype=in
-}
-V 250 3500 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-P 0 3500 200 3500 1 0 0
-{
-T 350 3500 9 10 1 1 0 1 1
-pinlabel=\_SYNC\_
-T 200 3550 5 8 1 1 0 6 1
-pinnumber=2
-T 200 3550 5 8 0 1 0 6 1
-pinseq=2
-T 200 3550 9 10 0 1 0 6 1
-pintype=in
-}
-P 1500 5100 1500 4800 1 0 0
-{
-T 1500 4750 9 10 1 1 90 7 1
-pinlabel=AVDD
-T 1550 4850 5 8 1 1 0 0 1
-pinnumber=3
-T 1550 4850 5 8 0 1 0 0 1
-pinseq=3
-T 1400 50 9 10 0 1 0 6 1
-pintype=pwr
-}
-P 0 2700 300 2700 1 0 0
-{
-T 350 2700 9 10 1 1 0 1 1
-pinlabel=Vout0
-T 200 2750 5 8 1 1 0 6 1
-pinnumber=4
-T 200 2750 5 8 0 1 0 6 1
-pinseq=4
-T 200 2750 9 10 0 1 0 6 1
-pintype=out
-}
-P 0 2300 300 2300 1 0 0
-{
-T 350 2300 9 10 1 1 0 1 1
-pinlabel=Vout2
-T 200 2350 5 8 1 1 0 6 1
-pinnumber=5
-T 200 2350 5 8 0 1 0 6 1
-pinseq=5
-T 200 2350 9 10 0 1 0 6 1
-pintype=out
-}
-P 0 1900 300 1900 1 0 0
-{
-T 350 1900 9 10 1 1 0 1 1
-pinlabel=Vout4
-T 200 1950 5 8 1 1 0 6 1
-pinnumber=6
-T 200 1950 5 8 0 1 0 6 1
-pinseq=6
-T 200 1950 9 10 0 1 0 6 1
-pintype=out
-}
-P 0 1500 300 1500 1 0 0
-{
-T 350 1500 9 10 1 1 0 1 1
-pinlabel=Vout6
-T 200 1550 5 8 1 1 0 6 1
-pinnumber=7
-T 200 1550 5 8 0 1 0 6 1
-pinseq=7
-T 200 1550 9 10 0 1 0 6 1
-pintype=out
-}
-P 0 700 300 700 1 0 0
-{
-T 350 700 9 10 1 1 0 1 1
-pinlabel=Vref
-T 200 750 5 8 1 1 0 6 1
-pinnumber=8
-T 200 750 5 8 0 1 0 6 1
-pinseq=8
-T 200 750 9 10 0 1 0 6 1
-pintype=out
-}
-V 2650 700 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-P 2900 700 2700 700 1 0 0
-{
-T 2550 700 9 10 1 1 0 7 1
-pinlabel=\_CLR\_
-T 2700 750 5 8 1 1 0 0 1
-pinnumber=9
-T 2700 750 5 8 0 1 0 0 1
-pinseq=9
-T 2500 750 9 10 0 1 0 6 1
-pintype=in
-}
-P 2900 1500 2600 1500 1 0 0
-{
-T 2550 1500 9 10 1 1 0 7 1
-pinlabel=Vout7
-T 2700 1550 5 8 1 1 0 0 1
-pinnumber=10
-T 2700 1550 5 8 0 1 0 0 1
-pinseq=10
-T 2500 1550 9 10 0 1 0 6 1
-pintype=out
-}
-P 2900 1900 2600 1900 1 0 0
-{
-T 2550 1900 9 10 1 1 0 7 1
-pinlabel=Vout5
-T 2700 1950 5 8 1 1 0 0 1
-pinnumber=11
-T 2700 1950 5 8 0 1 0 0 1
-pinseq=11
-T 2500 1950 9 10 0 1 0 6 1
-pintype=out
-}
-P 2900 2300 2600 2300 1 0 0
-{
-T 2550 2300 9 10 1 1 0 7 1
-pinlabel=Vout3
-T 2700 2350 5 8 1 1 0 0 1
-pinnumber=12
-T 2700 2350 5 8 0 1 0 0 1
-pinseq=12
-T 2500 2350 9 10 0 1 0 6 1
-pintype=out
-}
-P 2900 2700 2600 2700 1 0 0
-{
-T 2550 2700 9 10 1 1 0 7 1
-pinlabel=Vout1
-T 2700 2750 5 8 1 1 0 0 1
-pinnumber=13
-T 2700 2750 5 8 0 1 0 0 1
-pinseq=13
-T 2500 2750 9 10 0 1 0 6 1
-pintype=out
-}
-P 1500 0 1500 300 1 0 0
-{
-T 1500 350 9 10 1 1 90 1 1
-pinlabel=GND
-T 1550 250 5 8 1 1 0 2 1
-pinnumber=14
-T 1550 250 5 8 0 1 0 2 1
-pinseq=14
-T 1400 450 9 10 0 1 0 6 1
-pintype=pwr
-}
-P 2900 3500 2600 3500 1 0 0
-{
-T 2550 3500 9 10 1 1 0 7 1
-pinlabel=DIN
-T 2700 3550 5 8 1 1 0 0 1
-pinnumber=15
-T 2700 3550 5 8 0 1 0 0 1
-pinseq=15
-T 2500 3550 9 10 0 1 0 6 1
-pintype=in
-}
-P 2900 3900 2600 3900 1 0 0
-{
-T 2550 3900 9 10 1 1 0 7 1
-pinlabel=SCLK
-T 2700 3950 5 8 1 1 0 0 1
-pinnumber=16
-T 2700 3950 5 8 0 1 0 0 1
-pinseq=16
-T 2500 3950 9 10 0 1 0 6 1
-pintype=in
-}
diff --git a/test-pcb/sym/djboxsym b/test-pcb/sym/djboxsym
deleted file mode 100755 (executable)
index 688c0fd..0000000
+++ /dev/null
@@ -1,474 +0,0 @@
-#!/usr/bin/perl
-# -*- perl -*-
-
-# Copyright (C) 2006 DJ Delorie dj@delorie.com
-# Released under the terms of the GNU General Public License, version 2
-
-# Usage: djboxsym sample.symdef > sample.sym
-
-$y{left} = 400;
-$y{right} = 400;
-$y{labels} = 600;
-$labelpin = 0;
-
-$busspace = 200;
-$groupspace = 400;
-$skipspace = 800;
-$yinvert = 300;
-$minwidth = 0;
-
-# If set, top and bottom labels are vertical.
-$vmode = 0;
-
-# Read in the file, storing information about each pin.
-
-while (<>) {
-    next if /^#/;
-    s/^\s+//;
-    s/\s+$//;
-    s/[\s\t]+/ /g;
-    s/[\r\n]+$//;
-
-    # options
-    if (/^--(\S*)\s*(.*)/) {
-       $opt = $1;
-       @args = split(' ', $2);
-       ($opt, $value) = split(' ', $opt, 2);
-       if ($opt eq "vmode") {
-           $vmode = 1;
-#          $y{left} = $y{right} = 0;
-       }
-       if ($opt eq "square") {
-           $square = 1;
-       }
-       if ($opt eq "minwidth") {
-           $minwidth = $args[0];
-       }
-       if ($opt eq "compact") {
-           $groupspace = 200;
-           $skipspace = 400;
-           $yinvert = 400;
-           $compactmode = 1;
-       }
-       next;
-    }
-
-    # Note change of section.
-    if (/^\[(.*)\]/) {
-       $side = $1;
-       $space = 0;
-       next;
-    }
-
-    # Start a bus
-    if (/^\.bus/) {
-       $busmode = 1;
-       next;
-    }
-
-    # blank lines - cancel bus, add gap.
-    if (! /\S/) {
-       if ($busmode) {
-           $y{$side} += $busspace;
-       }
-       $busmode = 0;
-       if ($space) {
-           if ($side =~ /left|right/) {
-               $y{$side} += $groupspace;
-           }
-           if ($side =~ /top|bottom/) {
-               $x{$side} += 400;
-           }
-           $space = 0;
-       }
-       next;
-    }
-
-    if (/^\.skip (\d+)/) {
-       $skip = $1;
-       $space = 0;
-       if ($side =~ /left|right/) {
-           $y{$side} += $skip;
-       }
-       next;
-    }
-
-    # Hidden labels are stored separately, because we don't care how
-    # big they are.
-    if (/! (\S.*)/ && $side eq "labels") {
-       push(@attrs, $1);
-       next;
-    }
-
-    # Visible labels are stored as pins because their size affects the
-    # size of the symbols' box.
-    if (/\S/ && $side eq "labels") {
-       $labelpin --;
-       $pinside{$labelpin} = $side;
-       $piny{$labelpin} = $y{labels};
-       $pinlabel{$labelpin} = $_;
-       $y{labels} += $groupspace;
-       $rlen{$labelpin} = &textlen($_);
-       next;
-    }
-
-    # Regular pins are handled here.
-    if (/^(\S+)\s*(.*)/) {
-       $space = 1;
-       ($pin, $rest) = ($1,$2);
-
-       if ($pin =~ /^\d+$/) {
-           $pinseq_used[$pin] = 1;
-       }
-
-       if ($saw_pin{$pin}) {
-           print STDERR "DUPLICATE PIN $pin (was $pinlabel{$pin}, now $rest)\n";
-           $errors ++;
-       }
-       $saw_pin{$pin} = 1;
-       $maxpin = $pin if $maxpin < $pin;
-
-       $pinside{$pin} = $side;
-       $pintype{$pin} = "pas";
-       next if $side eq "nc";
-       if ($rest =~ /^([!>iop]+) (.*)/) {
-           $flags = $1;
-           $pinlabel{$pin} = $2;
-           $bubble{$pin} = 1 if $flags =~ /!/;
-           $edge{$pin} = 1 if $flags =~ />/;
-           $pintype{$pin} = "in" if $flags =~ /i/;
-           $pintype{$pin} = "out" if $flags =~ /o/;
-           $pintype{$pin} = "pwr" if $flags =~ /p/;
-           $pintype{$pin} = "inout" if $flags =~ /io/;
-       } else {
-           $pinlabel{$pin} = $rest;
-       }
-       $rlen{$pin} = &textlen($pinlabel{$pin});
-
-       if ($side =~ /left|right/) {
-           $y = $piny{$pin} = $y{$side};
-           $y{$side} += ($busmode ? $busspace : $groupspace);
-       }
-       if ($side =~ /top|bottom/) {
-           $tw = &alignpin((200 + $rlen{$pin}) / 2);
-           if ($vmode) {
-               $pinx{$pin} = $w{$side};
-               $w{$side} += (($busmode || $compactmode) ? 200 : 400);
-           } else {
-               $pinx{$pin} = $w{$side} + $tw;
-               $w{$side} += $tw + $tw;
-           }
-       }
-
-    }
-
-}
-
-$pinseq = 1;
-
-$minpin = $labelpin;
-$boxwidth = $minwidth;
-%bw = ();
-
-# for each horizontal slice of the symbol, keep track of how much
-# width is used up by the left, middle, and right labels.
-for $lp (keys %pinside) {
-    next unless $pinside{$lp} =~ /left|right|label/;
-    $yb = &alignpin($piny{$lp});
-    for ($y=$yb-300; $y<=$yb+300; $y+=100) {
-       if ($bw{$y}{$pinside{$lp}} < $rlen{$lp}) {
-           $bw{$y}{$pinside{$lp}} = $rlen{$lp};
-       }
-    }
-}
-
-# Compute the height of the box. 
-for $p (keys %pinside) {
-    next unless $pinside{$p} =~ /left|right/;
-    if ($maxy < $piny{$p}) {
-       $maxy = $piny{$p};
-    }
-}
-if (! $vmode) {
-    $maxy += $groupspace;
-}
-
-# Now, use the slice widths to compute the minimum width of the box.
-for ($i=0; $i<$maxy; $i+=100) {
-    $w = $bw{$i}{left} + $bw{$i}{labels} + $bw{$i}{right};
-    if ($bw{$i}{labels}) {
-       $wl = ($bw{$i}{left} + $bw{$i}{labels}/2) * 2;
-       $w = $wl if $w < $wl;
-       $wl = ($bw{$i}{right} + $bw{$i}{labels}/2) * 2;
-       $w = $wl if $w < $wl;
-    }
-    if ($bw{$i}{left} && $bw{$i}{labels}) {
-       $w += 100;
-    } elsif ($bw{$i}{left} && $bw{$i}{right}) {
-       $w += 200;
-    }
-    if ($bw{$i}{right} && $bw{$i}{labels}) {
-       $w += 100;
-    }
-    if ($boxwidth < $w) {
-       $boxwidth = $w;
-    }
-}
-
-$boxwidth = $w{top} if $boxwidth < $w{top};
-$boxwidth = $w{bottom} if $boxwidth < $w{bottom};
-
-# Flip Y coordinates (we count from the top, but symbols coordinates
-# are from the bottom).
-for $p (keys %pinside) {
-    next unless $pinside{$p} =~ /left|right|labels/;
-    $piny{$p} = $maxy - $piny{$p} + $yinvert;
-}
-
-$boxwidth = &alignpin($boxwidth);
-$boxwidth += 200;
-
-# Adjust the position of the top/bottom pins so that, as a group,
-# they're centered.
-%maxh = ();
-for $p (keys %pinside) {
-    next unless $pinside{$p} =~ /top|bottom/;
-    $pinx{$p} += &alignpin(($boxwidth - $w{$pinside{$p}})/2) + 300;
-    if ($vmode) {
-       $pinx{$p} += 200;
-       $h = &textlen($pinlabel{$p});
-       $maxh{$pinside{$p}} = $h if $maxh{$pinside{$p}} < $h;
-    }
-}
-
-if ($vmode) {
-    $dy = &alignpin($maxh{bottom});
-    for $lp ($minpin..-1) {
-       $piny{$lp} += $dy;
-    }
-    for $p (keys %pinside) {
-       if ($pinside{$p} =~ /left|right|bottom/) {
-           $piny{$p} += $dy;
-       }
-    }
-    $maxy += $dy + &alignpin ($maxh{top});
-}
-
-if ($square) {
-    if ($boxwidth < $maxy) {
-       $delta = $maxy - $boxwidth;
-       $delta = &alignpin($delta / 2);
-       $boxwidth = $maxy;
-       for $p (keys %pinside) {
-           if ($pinside{$p} =~ /top|bottom/) {
-               $pinx{$p} += $delta;
-           }
-       }
-    }
-    if ($maxy < $boxwidth) {
-       $maxy = $boxwidth;
-    }
-}
-
-# Labels are centered in the box.
-for $lp ($minpin..-1) {
-    $pinx{$lp} = &alignpin($boxwidth/2) + 300;
-}
-
-# Version.
-print "v 20060123 1\n";
-
-# Symbol box.
-printf("B %d %d %d %d 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1\n",
-       300, 300, $boxwidth, $maxy);
-
-# These are the hidden labels.
-$ax = 300 + $boxwidth;
-$ay = 400 + $maxy;
-for $a (reverse @attrs) {
-    printf("T %d %d 9 10 0 0 0 0 1\n%s\n",
-          $ax, $ay, $a);
-    $ay += 200;
-}
-
-sub pinsort {
-    my ($a, $b) = @_;
-    $a =~ tr/a-z/A-Z/;
-    $b =~ tr/a-z/A-Z/;
-    $a =~ s/(\d+)/sprintf("%06d", $1);/ge;
-    $b =~ s/(\d+)/sprintf("%06d", $1);/ge;
-    return $a cmp $b;
-}
-
-# Now print all the pins.
-for $p (sort {&pinsort($a,$b)} keys %pinside) {
-    next unless $pinside{$p};
-    if ($pinside{$p} eq "left") {
-       $pinx{$p} = 300;
-    }
-    if ($pinside{$p} eq "right") {
-       $pinx{$p} = 300 + $boxwidth;
-    }
-    if ($p > 0 && !$saw_pin{$p}) {
-       print STDERR "MISSING PIN $p\n";
-       $errors++;
-    } else {
-       printf STDERR ("%3s  %-6s  %4d %4d  %s\n",
-                      $p, $pinside{$p}, $pinx{$p}, $piny{$p}, $pinlabel{$p});
-    }
-
-    eval "&drawpin_$pinside{\"$p\"} (\"$p\")";
-}
-
-# what remains are helper functions; for drawing each type of pin,
-# each type of label, etc.
-
-sub drawpin_nc {
-}
-
-sub drawpin_top {
-    my($pin) = @_;
-    $y = $maxy + 300;
-    printf("P %d %d %d %d 1 0 0\n",
-          $pinx{$pin}, $y+300, $pinx{$pin}, $y);
-    print "{\n";
-    if ($vmode) {
-       &pvltext($pinx{$pin}, $y-50, 7, $pinlabel{$pin});
-    } else {
-       &pltext($pinx{$pin}, $y-50, 5, $pinlabel{$pin});
-    }
-    &ntext($pinx{$pin}+50, $y+50, 0, $pin);
-    &pttext($pinx{$pin} -100, $piny{$pin} + 50, 6, $pintype{$pin});
-    print "}\n";
-}
-
-sub drawpin_bottom {
-    my($pin) = @_;
-    printf("P %d %d %d %d 1 0 0\n",
-          $pinx{$pin}, 0, $pinx{$pin}, 300);
-    print "{\n";
-    if ($vmode) {
-       &pvltext($pinx{$pin}, 350, 1, $pinlabel{$pin});
-    } else {
-       &pltext($pinx{$pin}, 350, 3, $pinlabel{$pin});
-    }
-    &ntext($pinx{$pin}+50, 250, 2, $pin);
-    &pttext($pinx{$pin} -100, $piny{$pin} + 50, 6, $pintype{$pin});
-    print "}\n";
-}
-
-sub drawpin_labels {
-    my($pin) = @_;
-    &ltext($pinx{$pin}, $piny{$pin}, 3, $pinlabel{$pin});
-}
-
-sub circle {
-    my ($x, $y) = @_;
-    # color 6 (logic bubble)
-    print "V $x $y 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1\n";
-}
-
-sub drawpin_left {
-    my($pin) = @_;
-    $x = $pinx{$pin};
-    $px = 50;
-    if ($bubble{$pin}) {
-       $x -= 100;
-       &circle($x+50, $piny{$pin});
-    }
-    if ($edge{$pin}) {
-       $px += 100;
-       printf("L %d %d %d %d 3 0 0 0 0 0\n",
-              $pinx{$pin}, $piny{$pin}-50,
-              $pinx{$pin}+100, $piny{$pin});
-       printf("L %d %d %d %d 3 0 0 0 0 0\n",
-              $pinx{$pin}+100, $piny{$pin},
-              $pinx{$pin}, $piny{$pin}+50);
-
-    }
-    printf("P %d %d %d %d 1 0 0\n",
-          $pinx{$pin} - 300, $piny{$pin}, $x, $piny{$pin});
-    print "{\n";
-    &pltext($pinx{$pin} + $px, $piny{$pin}, 1, $pinlabel{$pin});
-    &ntext($pinx{$pin} -100, $piny{$pin} + 50, 6, $pin);
-    &pttext($pinx{$pin} -100, $piny{$pin} + 50, 6, $pintype{$pin});
-    print "}\n";
-}
-
-sub drawpin_right {
-    my($pin) = @_;
-    $x = $pinx{$pin};
-    $px = 50;
-    if ($bubble{$pin}) {
-       $x += 100;
-       &circle($x-50, $piny{$pin});
-    }
-    if ($edge{$pin}) {
-       $px += 100;
-       printf("L %d %d %d %d 3 0 0 0 0 0\n",
-              $pinx{$pin}, $piny{$pin}-50,
-              $pinx{$pin}-100, $piny{$pin});
-       printf("L %d %d %d %d 3 0 0 0 0 0\n",
-              $pinx{$pin}-100, $piny{$pin},
-              $pinx{$pin}, $piny{$pin}+50);
-
-    }
-    printf("P %d %d %d %d 1 0 0\n",
-          $pinx{$pin} + 300, $piny{$pin}, $x, $piny{$pin});
-    print "{\n";
-    &pltext($pinx{$pin} - $px, $piny{$pin}, 7, $pinlabel{$pin});
-    &ntext($pinx{$pin} +100, $piny{$pin} + 50, 0, $pin);
-    &pttext($pinx{$pin} -100, $piny{$pin} + 50, 6, $pintype{$pin});
-    print "}\n";
-}
-
-sub ntext {
-    my ($x, $y, $a, $s) = @_;
-    printf("T %d %d 5 8 1 1 0 %s 1\npinnumber=%s\n", $x, $y, $a, $s);
-    if ($s =~ /^\d+$/) {
-       $my_pinseq = $s;
-    } else {
-       while ($pinseq_used[$pinseq]) {
-           $pinseq ++;
-       }
-       $pinseq_used[$pinseq] = 1;
-       $my_pinseq = $pinseq;
-    }
-    printf("T %d %d 5 8 0 1 0 %s 1\npinseq=%d\n", $x, $y, $a, $my_pinseq);
-}
-
-sub pttext {
-    my ($x, $y, $a, $s) = @_;
-    printf("T %d %d 9 10 0 1 0 %s 1\npintype=%s\n", $x, $y, $a, $s);
-}
-
-sub pltext {
-    my ($x, $y, $a, $s) = @_;
-    $s = "pinlabel=$s" unless $s =~ /=/;
-    printf("T %d %d 9 10 1 1 0 %s 1\n%s\n", $x, $y, $a, $s);
-}
-
-sub pvltext {
-    my ($x, $y, $a, $s) = @_;
-    $s = "pinlabel=$s" unless $s =~ /=/;
-    printf("T %d %d 9 10 1 1 90 %s 1\n%s\n", $x, $y, $a, $s);
-}
-
-sub ltext {
-    my ($x, $y, $a, $s) = @_;
-    printf("T %d %d 9 10 1 1 0 %s 1\n%s\n", $x, $y, $a, $s);
-}
-
-sub textlen {
-    my($t) = @_;
-    $t =~ s/^[^=]*=//;
-    $t =~ s@\\_@@g;
-    return length($t) * 110;
-}
-
-sub alignpin {
-    my($v) = @_;
-    return int(($v + 99) / 100) * 100;
-}
-
-exit $errors;
diff --git a/test-pcb/sym/m25pe80-1.djboxsym b/test-pcb/sym/m25pe80-1.djboxsym
deleted file mode 100644 (file)
index d44f18c..0000000
+++ /dev/null
@@ -1,31 +0,0 @@
-
-
-[labels]
-refdes=U?
-M25PE80
-! footprint=SO8
-! document=M25PE80.pdf
-
-
-[left]
-1      i!      \_S\_
-3      i!      \_W\_
-5      i       D
-6      i       C
-
-7      i!      \_Reset\_
-
-
-[right]
-2      o       Q
-
-
-[top]
-8      p       Vcc
-
-
-[bottom]
-4      p       Vss
-
-
-# vim:softtabstop=0 noexpandtab ft=sh
diff --git a/test-pcb/sym/m25pe80-1.sym b/test-pcb/sym/m25pe80-1.sym
deleted file mode 100644 (file)
index 66f6e29..0000000
+++ /dev/null
@@ -1,101 +0,0 @@
-v 20060123 1
-B 300 300 1400 2800 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-T 1700 3200 9 10 0 0 0 0 1
-document=M25PE80.pdf
-T 1700 3400 9 10 0 0 0 0 1
-footprint=SO8
-T 1000 2500 9 10 1 1 0 3 1
-refdes=U?
-T 1000 2100 9 10 1 1 0 3 1
-M25PE80
-V 250 2700 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-P 0 2700 200 2700 1 0 0
-{
-T 350 2700 9 10 1 1 0 1 1
-pinlabel=\_S\_
-T 200 2750 5 8 1 1 0 6 1
-pinnumber=1
-T 200 2750 5 8 0 1 0 6 1
-pinseq=1
-T 200 2750 9 10 0 1 0 6 1
-pintype=in
-}
-P 2000 2700 1700 2700 1 0 0
-{
-T 1650 2700 9 10 1 1 0 7 1
-pinlabel=Q
-T 1800 2750 5 8 1 1 0 0 1
-pinnumber=2
-T 1800 2750 5 8 0 1 0 0 1
-pinseq=2
-T 1600 2750 9 10 0 1 0 6 1
-pintype=out
-}
-V 250 2300 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-P 0 2300 200 2300 1 0 0
-{
-T 350 2300 9 10 1 1 0 1 1
-pinlabel=\_W\_
-T 200 2350 5 8 1 1 0 6 1
-pinnumber=3
-T 200 2350 5 8 0 1 0 6 1
-pinseq=3
-T 200 2350 9 10 0 1 0 6 1
-pintype=in
-}
-P 1000 0 1000 300 1 0 0
-{
-T 1000 350 9 10 1 1 0 3 1
-pinlabel=Vss
-T 1050 250 5 8 1 1 0 2 1
-pinnumber=4
-T 1050 250 5 8 0 1 0 2 1
-pinseq=4
-T 900 50 9 10 0 1 0 6 1
-pintype=pwr
-}
-P 0 1900 300 1900 1 0 0
-{
-T 350 1900 9 10 1 1 0 1 1
-pinlabel=D
-T 200 1950 5 8 1 1 0 6 1
-pinnumber=5
-T 200 1950 5 8 0 1 0 6 1
-pinseq=5
-T 200 1950 9 10 0 1 0 6 1
-pintype=in
-}
-P 0 1500 300 1500 1 0 0
-{
-T 350 1500 9 10 1 1 0 1 1
-pinlabel=C
-T 200 1550 5 8 1 1 0 6 1
-pinnumber=6
-T 200 1550 5 8 0 1 0 6 1
-pinseq=6
-T 200 1550 9 10 0 1 0 6 1
-pintype=in
-}
-V 250 700 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-P 0 700 200 700 1 0 0
-{
-T 350 700 9 10 1 1 0 1 1
-pinlabel=\_Reset\_
-T 200 750 5 8 1 1 0 6 1
-pinnumber=7
-T 200 750 5 8 0 1 0 6 1
-pinseq=7
-T 200 750 9 10 0 1 0 6 1
-pintype=in
-}
-P 1000 3400 1000 3100 1 0 0
-{
-T 1000 3050 9 10 1 1 0 5 1
-pinlabel=Vcc
-T 1050 3150 5 8 1 1 0 0 1
-pinnumber=8
-T 1050 3150 5 8 0 1 0 0 1
-pinseq=8
-T 900 50 9 10 0 1 0 6 1
-pintype=pwr
-}
diff --git a/test-pcb/sym/pad-l.sym b/test-pcb/sym/pad-l.sym
deleted file mode 100644 (file)
index 7d76b07..0000000
+++ /dev/null
@@ -1,12 +0,0 @@
-v 20060123 1
-P 900 200 1200 200 1 0 1
-{
-T 1000 300 5 10 0 1 0 0 1
-pinnumber=1
-T 1000 300 5 10 0 0 0 0 1
-pinseq=1
-}
-T 0 200 8 10 1 1 0 0 1
-refdes=pad?
-V 700 200 200 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-V 700 200 100 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
diff --git a/test-pcb/sym/pad-r.sym b/test-pcb/sym/pad-r.sym
deleted file mode 100644 (file)
index 5572243..0000000
+++ /dev/null
@@ -1,12 +0,0 @@
-v 20060123 1
-P 0 200 300 200 1 0 0
-{
-T 100 300 5 10 0 1 0 0 1
-pinnumber=1
-T 100 300 5 10 0 0 0 0 1
-pinseq=1
-}
-T 800 200 8 10 1 1 0 0 1
-refdes=pad?
-V 500 200 200 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-V 500 200 100 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
diff --git a/test-pcb/sym/plastronics-100QN40S1120120-1.djboxsym b/test-pcb/sym/plastronics-100QN40S1120120-1.djboxsym
deleted file mode 100644 (file)
index 7b31c89..0000000
+++ /dev/null
@@ -1,116 +0,0 @@
---vmode
---square
-
-[labels]
-refdes=U?
-footprint=PLASTRONICS_100QN40S1120120
-
-[left]
-1              1
-2              2
-3              3
-4              4
-5              5
-6              6
-7              7
-8              8
-9              9
-10             10
-11             11
-12             12
-13             13
-14             14
-15             15
-16             16
-17             17
-18             18
-19             19
-20             20
-21             21
-22             22
-23             23
-24             24
-25             25
-
-[bottom]
-26             26
-27             27
-28             28
-29             29
-30             30
-31             31
-32             32
-33             33
-34             34
-35             35
-36             36
-37             37
-38             38
-39             39
-40             40
-41             41
-42             42
-43             43
-44             44
-45             45
-46             46
-47             47
-48             48
-49             49
-50             50
-
-[right]
-75             75
-74             74
-73             73
-72             72
-71             71
-70             70
-69             69
-68             68
-67             67
-66             66
-65             65
-64             64
-63             63
-62             62
-61             61
-60             60
-59             59
-58             58
-57             57
-56             56
-55             55
-54             54
-53             53
-52             52
-51             51
-
-[top]
-100            100
-99             99
-98             98
-97             97
-96             96
-95             95
-94             94
-93             93
-92             92
-91             91
-90             90
-89             89
-88             88
-87             87
-86             86
-85             85
-84             84
-83             83
-82             82
-81             81
-80             80
-79             79
-78             78
-77             77
-76             76
-
-# vim:softtabstop=0 noexpandtab ft=sh
diff --git a/test-pcb/sym/plastronics-100QN40S1120120-1.sym b/test-pcb/sym/plastronics-100QN40S1120120-1.sym
deleted file mode 100644 (file)
index d94446b..0000000
+++ /dev/null
@@ -1,1106 +0,0 @@
-v 20060123 1
-B 300 300 10700 10700 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-T 5700 10000 9 10 1 1 0 3 1
-refdes=U?
-T 5700 9600 9 10 1 1 0 3 1
-footprint=PLASTRONICS_100QN40S1120120
-P 0 10200 300 10200 1 0 0
-{
-T 350 10200 9 10 1 1 0 1 1
-pinlabel=1
-T 200 10250 5 8 1 1 0 6 1
-pinnumber=1
-T 200 10250 5 8 0 1 0 6 1
-pinseq=1
-T 200 10250 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 9800 300 9800 1 0 0
-{
-T 350 9800 9 10 1 1 0 1 1
-pinlabel=2
-T 200 9850 5 8 1 1 0 6 1
-pinnumber=2
-T 200 9850 5 8 0 1 0 6 1
-pinseq=2
-T 200 9850 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 9400 300 9400 1 0 0
-{
-T 350 9400 9 10 1 1 0 1 1
-pinlabel=3
-T 200 9450 5 8 1 1 0 6 1
-pinnumber=3
-T 200 9450 5 8 0 1 0 6 1
-pinseq=3
-T 200 9450 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 9000 300 9000 1 0 0
-{
-T 350 9000 9 10 1 1 0 1 1
-pinlabel=4
-T 200 9050 5 8 1 1 0 6 1
-pinnumber=4
-T 200 9050 5 8 0 1 0 6 1
-pinseq=4
-T 200 9050 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 8600 300 8600 1 0 0
-{
-T 350 8600 9 10 1 1 0 1 1
-pinlabel=5
-T 200 8650 5 8 1 1 0 6 1
-pinnumber=5
-T 200 8650 5 8 0 1 0 6 1
-pinseq=5
-T 200 8650 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 8200 300 8200 1 0 0
-{
-T 350 8200 9 10 1 1 0 1 1
-pinlabel=6
-T 200 8250 5 8 1 1 0 6 1
-pinnumber=6
-T 200 8250 5 8 0 1 0 6 1
-pinseq=6
-T 200 8250 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 7800 300 7800 1 0 0
-{
-T 350 7800 9 10 1 1 0 1 1
-pinlabel=7
-T 200 7850 5 8 1 1 0 6 1
-pinnumber=7
-T 200 7850 5 8 0 1 0 6 1
-pinseq=7
-T 200 7850 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 7400 300 7400 1 0 0
-{
-T 350 7400 9 10 1 1 0 1 1
-pinlabel=8
-T 200 7450 5 8 1 1 0 6 1
-pinnumber=8
-T 200 7450 5 8 0 1 0 6 1
-pinseq=8
-T 200 7450 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 7000 300 7000 1 0 0
-{
-T 350 7000 9 10 1 1 0 1 1
-pinlabel=9
-T 200 7050 5 8 1 1 0 6 1
-pinnumber=9
-T 200 7050 5 8 0 1 0 6 1
-pinseq=9
-T 200 7050 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 6600 300 6600 1 0 0
-{
-T 350 6600 9 10 1 1 0 1 1
-pinlabel=10
-T 200 6650 5 8 1 1 0 6 1
-pinnumber=10
-T 200 6650 5 8 0 1 0 6 1
-pinseq=10
-T 200 6650 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 6200 300 6200 1 0 0
-{
-T 350 6200 9 10 1 1 0 1 1
-pinlabel=11
-T 200 6250 5 8 1 1 0 6 1
-pinnumber=11
-T 200 6250 5 8 0 1 0 6 1
-pinseq=11
-T 200 6250 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 5800 300 5800 1 0 0
-{
-T 350 5800 9 10 1 1 0 1 1
-pinlabel=12
-T 200 5850 5 8 1 1 0 6 1
-pinnumber=12
-T 200 5850 5 8 0 1 0 6 1
-pinseq=12
-T 200 5850 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 5400 300 5400 1 0 0
-{
-T 350 5400 9 10 1 1 0 1 1
-pinlabel=13
-T 200 5450 5 8 1 1 0 6 1
-pinnumber=13
-T 200 5450 5 8 0 1 0 6 1
-pinseq=13
-T 200 5450 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 5000 300 5000 1 0 0
-{
-T 350 5000 9 10 1 1 0 1 1
-pinlabel=14
-T 200 5050 5 8 1 1 0 6 1
-pinnumber=14
-T 200 5050 5 8 0 1 0 6 1
-pinseq=14
-T 200 5050 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 4600 300 4600 1 0 0
-{
-T 350 4600 9 10 1 1 0 1 1
-pinlabel=15
-T 200 4650 5 8 1 1 0 6 1
-pinnumber=15
-T 200 4650 5 8 0 1 0 6 1
-pinseq=15
-T 200 4650 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 4200 300 4200 1 0 0
-{
-T 350 4200 9 10 1 1 0 1 1
-pinlabel=16
-T 200 4250 5 8 1 1 0 6 1
-pinnumber=16
-T 200 4250 5 8 0 1 0 6 1
-pinseq=16
-T 200 4250 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 3800 300 3800 1 0 0
-{
-T 350 3800 9 10 1 1 0 1 1
-pinlabel=17
-T 200 3850 5 8 1 1 0 6 1
-pinnumber=17
-T 200 3850 5 8 0 1 0 6 1
-pinseq=17
-T 200 3850 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 3400 300 3400 1 0 0
-{
-T 350 3400 9 10 1 1 0 1 1
-pinlabel=18
-T 200 3450 5 8 1 1 0 6 1
-pinnumber=18
-T 200 3450 5 8 0 1 0 6 1
-pinseq=18
-T 200 3450 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 3000 300 3000 1 0 0
-{
-T 350 3000 9 10 1 1 0 1 1
-pinlabel=19
-T 200 3050 5 8 1 1 0 6 1
-pinnumber=19
-T 200 3050 5 8 0 1 0 6 1
-pinseq=19
-T 200 3050 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 2600 300 2600 1 0 0
-{
-T 350 2600 9 10 1 1 0 1 1
-pinlabel=20
-T 200 2650 5 8 1 1 0 6 1
-pinnumber=20
-T 200 2650 5 8 0 1 0 6 1
-pinseq=20
-T 200 2650 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 2200 300 2200 1 0 0
-{
-T 350 2200 9 10 1 1 0 1 1
-pinlabel=21
-T 200 2250 5 8 1 1 0 6 1
-pinnumber=21
-T 200 2250 5 8 0 1 0 6 1
-pinseq=21
-T 200 2250 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 1800 300 1800 1 0 0
-{
-T 350 1800 9 10 1 1 0 1 1
-pinlabel=22
-T 200 1850 5 8 1 1 0 6 1
-pinnumber=22
-T 200 1850 5 8 0 1 0 6 1
-pinseq=22
-T 200 1850 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 1400 300 1400 1 0 0
-{
-T 350 1400 9 10 1 1 0 1 1
-pinlabel=23
-T 200 1450 5 8 1 1 0 6 1
-pinnumber=23
-T 200 1450 5 8 0 1 0 6 1
-pinseq=23
-T 200 1450 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 1000 300 1000 1 0 0
-{
-T 350 1000 9 10 1 1 0 1 1
-pinlabel=24
-T 200 1050 5 8 1 1 0 6 1
-pinnumber=24
-T 200 1050 5 8 0 1 0 6 1
-pinseq=24
-T 200 1050 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 600 300 600 1 0 0
-{
-T 350 600 9 10 1 1 0 1 1
-pinlabel=25
-T 200 650 5 8 1 1 0 6 1
-pinnumber=25
-T 200 650 5 8 0 1 0 6 1
-pinseq=25
-T 200 650 9 10 0 1 0 6 1
-pintype=pas
-}
-P 900 0 900 300 1 0 0
-{
-T 900 350 9 10 1 1 90 1 1
-pinlabel=26
-T 950 250 5 8 1 1 0 2 1
-pinnumber=26
-T 950 250 5 8 0 1 0 2 1
-pinseq=26
-T 800 350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 1300 0 1300 300 1 0 0
-{
-T 1300 350 9 10 1 1 90 1 1
-pinlabel=27
-T 1350 250 5 8 1 1 0 2 1
-pinnumber=27
-T 1350 250 5 8 0 1 0 2 1
-pinseq=27
-T 1200 350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 1700 0 1700 300 1 0 0
-{
-T 1700 350 9 10 1 1 90 1 1
-pinlabel=28
-T 1750 250 5 8 1 1 0 2 1
-pinnumber=28
-T 1750 250 5 8 0 1 0 2 1
-pinseq=28
-T 1600 350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 2100 0 2100 300 1 0 0
-{
-T 2100 350 9 10 1 1 90 1 1
-pinlabel=29
-T 2150 250 5 8 1 1 0 2 1
-pinnumber=29
-T 2150 250 5 8 0 1 0 2 1
-pinseq=29
-T 2000 350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 2500 0 2500 300 1 0 0
-{
-T 2500 350 9 10 1 1 90 1 1
-pinlabel=30
-T 2550 250 5 8 1 1 0 2 1
-pinnumber=30
-T 2550 250 5 8 0 1 0 2 1
-pinseq=30
-T 2400 350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 2900 0 2900 300 1 0 0
-{
-T 2900 350 9 10 1 1 90 1 1
-pinlabel=31
-T 2950 250 5 8 1 1 0 2 1
-pinnumber=31
-T 2950 250 5 8 0 1 0 2 1
-pinseq=31
-T 2800 350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 3300 0 3300 300 1 0 0
-{
-T 3300 350 9 10 1 1 90 1 1
-pinlabel=32
-T 3350 250 5 8 1 1 0 2 1
-pinnumber=32
-T 3350 250 5 8 0 1 0 2 1
-pinseq=32
-T 3200 350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 3700 0 3700 300 1 0 0
-{
-T 3700 350 9 10 1 1 90 1 1
-pinlabel=33
-T 3750 250 5 8 1 1 0 2 1
-pinnumber=33
-T 3750 250 5 8 0 1 0 2 1
-pinseq=33
-T 3600 350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 4100 0 4100 300 1 0 0
-{
-T 4100 350 9 10 1 1 90 1 1
-pinlabel=34
-T 4150 250 5 8 1 1 0 2 1
-pinnumber=34
-T 4150 250 5 8 0 1 0 2 1
-pinseq=34
-T 4000 350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 4500 0 4500 300 1 0 0
-{
-T 4500 350 9 10 1 1 90 1 1
-pinlabel=35
-T 4550 250 5 8 1 1 0 2 1
-pinnumber=35
-T 4550 250 5 8 0 1 0 2 1
-pinseq=35
-T 4400 350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 4900 0 4900 300 1 0 0
-{
-T 4900 350 9 10 1 1 90 1 1
-pinlabel=36
-T 4950 250 5 8 1 1 0 2 1
-pinnumber=36
-T 4950 250 5 8 0 1 0 2 1
-pinseq=36
-T 4800 350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 5300 0 5300 300 1 0 0
-{
-T 5300 350 9 10 1 1 90 1 1
-pinlabel=37
-T 5350 250 5 8 1 1 0 2 1
-pinnumber=37
-T 5350 250 5 8 0 1 0 2 1
-pinseq=37
-T 5200 350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 5700 0 5700 300 1 0 0
-{
-T 5700 350 9 10 1 1 90 1 1
-pinlabel=38
-T 5750 250 5 8 1 1 0 2 1
-pinnumber=38
-T 5750 250 5 8 0 1 0 2 1
-pinseq=38
-T 5600 350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 6100 0 6100 300 1 0 0
-{
-T 6100 350 9 10 1 1 90 1 1
-pinlabel=39
-T 6150 250 5 8 1 1 0 2 1
-pinnumber=39
-T 6150 250 5 8 0 1 0 2 1
-pinseq=39
-T 6000 350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 6500 0 6500 300 1 0 0
-{
-T 6500 350 9 10 1 1 90 1 1
-pinlabel=40
-T 6550 250 5 8 1 1 0 2 1
-pinnumber=40
-T 6550 250 5 8 0 1 0 2 1
-pinseq=40
-T 6400 350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 6900 0 6900 300 1 0 0
-{
-T 6900 350 9 10 1 1 90 1 1
-pinlabel=41
-T 6950 250 5 8 1 1 0 2 1
-pinnumber=41
-T 6950 250 5 8 0 1 0 2 1
-pinseq=41
-T 6800 350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 7300 0 7300 300 1 0 0
-{
-T 7300 350 9 10 1 1 90 1 1
-pinlabel=42
-T 7350 250 5 8 1 1 0 2 1
-pinnumber=42
-T 7350 250 5 8 0 1 0 2 1
-pinseq=42
-T 7200 350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 7700 0 7700 300 1 0 0
-{
-T 7700 350 9 10 1 1 90 1 1
-pinlabel=43
-T 7750 250 5 8 1 1 0 2 1
-pinnumber=43
-T 7750 250 5 8 0 1 0 2 1
-pinseq=43
-T 7600 350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 8100 0 8100 300 1 0 0
-{
-T 8100 350 9 10 1 1 90 1 1
-pinlabel=44
-T 8150 250 5 8 1 1 0 2 1
-pinnumber=44
-T 8150 250 5 8 0 1 0 2 1
-pinseq=44
-T 8000 350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 8500 0 8500 300 1 0 0
-{
-T 8500 350 9 10 1 1 90 1 1
-pinlabel=45
-T 8550 250 5 8 1 1 0 2 1
-pinnumber=45
-T 8550 250 5 8 0 1 0 2 1
-pinseq=45
-T 8400 350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 8900 0 8900 300 1 0 0
-{
-T 8900 350 9 10 1 1 90 1 1
-pinlabel=46
-T 8950 250 5 8 1 1 0 2 1
-pinnumber=46
-T 8950 250 5 8 0 1 0 2 1
-pinseq=46
-T 8800 350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 9300 0 9300 300 1 0 0
-{
-T 9300 350 9 10 1 1 90 1 1
-pinlabel=47
-T 9350 250 5 8 1 1 0 2 1
-pinnumber=47
-T 9350 250 5 8 0 1 0 2 1
-pinseq=47
-T 9200 350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 9700 0 9700 300 1 0 0
-{
-T 9700 350 9 10 1 1 90 1 1
-pinlabel=48
-T 9750 250 5 8 1 1 0 2 1
-pinnumber=48
-T 9750 250 5 8 0 1 0 2 1
-pinseq=48
-T 9600 350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 10100 0 10100 300 1 0 0
-{
-T 10100 350 9 10 1 1 90 1 1
-pinlabel=49
-T 10150 250 5 8 1 1 0 2 1
-pinnumber=49
-T 10150 250 5 8 0 1 0 2 1
-pinseq=49
-T 10000 350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 10500 0 10500 300 1 0 0
-{
-T 10500 350 9 10 1 1 90 1 1
-pinlabel=50
-T 10550 250 5 8 1 1 0 2 1
-pinnumber=50
-T 10550 250 5 8 0 1 0 2 1
-pinseq=50
-T 10400 350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 11300 600 11000 600 1 0 0
-{
-T 10950 600 9 10 1 1 0 7 1
-pinlabel=51
-T 11100 650 5 8 1 1 0 0 1
-pinnumber=51
-T 11100 650 5 8 0 1 0 0 1
-pinseq=51
-T 10900 650 9 10 0 1 0 6 1
-pintype=pas
-}
-P 11300 1000 11000 1000 1 0 0
-{
-T 10950 1000 9 10 1 1 0 7 1
-pinlabel=52
-T 11100 1050 5 8 1 1 0 0 1
-pinnumber=52
-T 11100 1050 5 8 0 1 0 0 1
-pinseq=52
-T 10900 1050 9 10 0 1 0 6 1
-pintype=pas
-}
-P 11300 1400 11000 1400 1 0 0
-{
-T 10950 1400 9 10 1 1 0 7 1
-pinlabel=53
-T 11100 1450 5 8 1 1 0 0 1
-pinnumber=53
-T 11100 1450 5 8 0 1 0 0 1
-pinseq=53
-T 10900 1450 9 10 0 1 0 6 1
-pintype=pas
-}
-P 11300 1800 11000 1800 1 0 0
-{
-T 10950 1800 9 10 1 1 0 7 1
-pinlabel=54
-T 11100 1850 5 8 1 1 0 0 1
-pinnumber=54
-T 11100 1850 5 8 0 1 0 0 1
-pinseq=54
-T 10900 1850 9 10 0 1 0 6 1
-pintype=pas
-}
-P 11300 2200 11000 2200 1 0 0
-{
-T 10950 2200 9 10 1 1 0 7 1
-pinlabel=55
-T 11100 2250 5 8 1 1 0 0 1
-pinnumber=55
-T 11100 2250 5 8 0 1 0 0 1
-pinseq=55
-T 10900 2250 9 10 0 1 0 6 1
-pintype=pas
-}
-P 11300 2600 11000 2600 1 0 0
-{
-T 10950 2600 9 10 1 1 0 7 1
-pinlabel=56
-T 11100 2650 5 8 1 1 0 0 1
-pinnumber=56
-T 11100 2650 5 8 0 1 0 0 1
-pinseq=56
-T 10900 2650 9 10 0 1 0 6 1
-pintype=pas
-}
-P 11300 3000 11000 3000 1 0 0
-{
-T 10950 3000 9 10 1 1 0 7 1
-pinlabel=57
-T 11100 3050 5 8 1 1 0 0 1
-pinnumber=57
-T 11100 3050 5 8 0 1 0 0 1
-pinseq=57
-T 10900 3050 9 10 0 1 0 6 1
-pintype=pas
-}
-P 11300 3400 11000 3400 1 0 0
-{
-T 10950 3400 9 10 1 1 0 7 1
-pinlabel=58
-T 11100 3450 5 8 1 1 0 0 1
-pinnumber=58
-T 11100 3450 5 8 0 1 0 0 1
-pinseq=58
-T 10900 3450 9 10 0 1 0 6 1
-pintype=pas
-}
-P 11300 3800 11000 3800 1 0 0
-{
-T 10950 3800 9 10 1 1 0 7 1
-pinlabel=59
-T 11100 3850 5 8 1 1 0 0 1
-pinnumber=59
-T 11100 3850 5 8 0 1 0 0 1
-pinseq=59
-T 10900 3850 9 10 0 1 0 6 1
-pintype=pas
-}
-P 11300 4200 11000 4200 1 0 0
-{
-T 10950 4200 9 10 1 1 0 7 1
-pinlabel=60
-T 11100 4250 5 8 1 1 0 0 1
-pinnumber=60
-T 11100 4250 5 8 0 1 0 0 1
-pinseq=60
-T 10900 4250 9 10 0 1 0 6 1
-pintype=pas
-}
-P 11300 4600 11000 4600 1 0 0
-{
-T 10950 4600 9 10 1 1 0 7 1
-pinlabel=61
-T 11100 4650 5 8 1 1 0 0 1
-pinnumber=61
-T 11100 4650 5 8 0 1 0 0 1
-pinseq=61
-T 10900 4650 9 10 0 1 0 6 1
-pintype=pas
-}
-P 11300 5000 11000 5000 1 0 0
-{
-T 10950 5000 9 10 1 1 0 7 1
-pinlabel=62
-T 11100 5050 5 8 1 1 0 0 1
-pinnumber=62
-T 11100 5050 5 8 0 1 0 0 1
-pinseq=62
-T 10900 5050 9 10 0 1 0 6 1
-pintype=pas
-}
-P 11300 5400 11000 5400 1 0 0
-{
-T 10950 5400 9 10 1 1 0 7 1
-pinlabel=63
-T 11100 5450 5 8 1 1 0 0 1
-pinnumber=63
-T 11100 5450 5 8 0 1 0 0 1
-pinseq=63
-T 10900 5450 9 10 0 1 0 6 1
-pintype=pas
-}
-P 11300 5800 11000 5800 1 0 0
-{
-T 10950 5800 9 10 1 1 0 7 1
-pinlabel=64
-T 11100 5850 5 8 1 1 0 0 1
-pinnumber=64
-T 11100 5850 5 8 0 1 0 0 1
-pinseq=64
-T 10900 5850 9 10 0 1 0 6 1
-pintype=pas
-}
-P 11300 6200 11000 6200 1 0 0
-{
-T 10950 6200 9 10 1 1 0 7 1
-pinlabel=65
-T 11100 6250 5 8 1 1 0 0 1
-pinnumber=65
-T 11100 6250 5 8 0 1 0 0 1
-pinseq=65
-T 10900 6250 9 10 0 1 0 6 1
-pintype=pas
-}
-P 11300 6600 11000 6600 1 0 0
-{
-T 10950 6600 9 10 1 1 0 7 1
-pinlabel=66
-T 11100 6650 5 8 1 1 0 0 1
-pinnumber=66
-T 11100 6650 5 8 0 1 0 0 1
-pinseq=66
-T 10900 6650 9 10 0 1 0 6 1
-pintype=pas
-}
-P 11300 7000 11000 7000 1 0 0
-{
-T 10950 7000 9 10 1 1 0 7 1
-pinlabel=67
-T 11100 7050 5 8 1 1 0 0 1
-pinnumber=67
-T 11100 7050 5 8 0 1 0 0 1
-pinseq=67
-T 10900 7050 9 10 0 1 0 6 1
-pintype=pas
-}
-P 11300 7400 11000 7400 1 0 0
-{
-T 10950 7400 9 10 1 1 0 7 1
-pinlabel=68
-T 11100 7450 5 8 1 1 0 0 1
-pinnumber=68
-T 11100 7450 5 8 0 1 0 0 1
-pinseq=68
-T 10900 7450 9 10 0 1 0 6 1
-pintype=pas
-}
-P 11300 7800 11000 7800 1 0 0
-{
-T 10950 7800 9 10 1 1 0 7 1
-pinlabel=69
-T 11100 7850 5 8 1 1 0 0 1
-pinnumber=69
-T 11100 7850 5 8 0 1 0 0 1
-pinseq=69
-T 10900 7850 9 10 0 1 0 6 1
-pintype=pas
-}
-P 11300 8200 11000 8200 1 0 0
-{
-T 10950 8200 9 10 1 1 0 7 1
-pinlabel=70
-T 11100 8250 5 8 1 1 0 0 1
-pinnumber=70
-T 11100 8250 5 8 0 1 0 0 1
-pinseq=70
-T 10900 8250 9 10 0 1 0 6 1
-pintype=pas
-}
-P 11300 8600 11000 8600 1 0 0
-{
-T 10950 8600 9 10 1 1 0 7 1
-pinlabel=71
-T 11100 8650 5 8 1 1 0 0 1
-pinnumber=71
-T 11100 8650 5 8 0 1 0 0 1
-pinseq=71
-T 10900 8650 9 10 0 1 0 6 1
-pintype=pas
-}
-P 11300 9000 11000 9000 1 0 0
-{
-T 10950 9000 9 10 1 1 0 7 1
-pinlabel=72
-T 11100 9050 5 8 1 1 0 0 1
-pinnumber=72
-T 11100 9050 5 8 0 1 0 0 1
-pinseq=72
-T 10900 9050 9 10 0 1 0 6 1
-pintype=pas
-}
-P 11300 9400 11000 9400 1 0 0
-{
-T 10950 9400 9 10 1 1 0 7 1
-pinlabel=73
-T 11100 9450 5 8 1 1 0 0 1
-pinnumber=73
-T 11100 9450 5 8 0 1 0 0 1
-pinseq=73
-T 10900 9450 9 10 0 1 0 6 1
-pintype=pas
-}
-P 11300 9800 11000 9800 1 0 0
-{
-T 10950 9800 9 10 1 1 0 7 1
-pinlabel=74
-T 11100 9850 5 8 1 1 0 0 1
-pinnumber=74
-T 11100 9850 5 8 0 1 0 0 1
-pinseq=74
-T 10900 9850 9 10 0 1 0 6 1
-pintype=pas
-}
-P 11300 10200 11000 10200 1 0 0
-{
-T 10950 10200 9 10 1 1 0 7 1
-pinlabel=75
-T 11100 10250 5 8 1 1 0 0 1
-pinnumber=75
-T 11100 10250 5 8 0 1 0 0 1
-pinseq=75
-T 10900 10250 9 10 0 1 0 6 1
-pintype=pas
-}
-P 10500 11300 10500 11000 1 0 0
-{
-T 10500 10950 9 10 1 1 90 7 1
-pinlabel=76
-T 10550 11050 5 8 1 1 0 0 1
-pinnumber=76
-T 10550 11050 5 8 0 1 0 0 1
-pinseq=76
-T 10400 50 9 10 0 1 0 6 1
-pintype=pas
-}
-P 10100 11300 10100 11000 1 0 0
-{
-T 10100 10950 9 10 1 1 90 7 1
-pinlabel=77
-T 10150 11050 5 8 1 1 0 0 1
-pinnumber=77
-T 10150 11050 5 8 0 1 0 0 1
-pinseq=77
-T 10000 50 9 10 0 1 0 6 1
-pintype=pas
-}
-P 9700 11300 9700 11000 1 0 0
-{
-T 9700 10950 9 10 1 1 90 7 1
-pinlabel=78
-T 9750 11050 5 8 1 1 0 0 1
-pinnumber=78
-T 9750 11050 5 8 0 1 0 0 1
-pinseq=78
-T 9600 50 9 10 0 1 0 6 1
-pintype=pas
-}
-P 9300 11300 9300 11000 1 0 0
-{
-T 9300 10950 9 10 1 1 90 7 1
-pinlabel=79
-T 9350 11050 5 8 1 1 0 0 1
-pinnumber=79
-T 9350 11050 5 8 0 1 0 0 1
-pinseq=79
-T 9200 50 9 10 0 1 0 6 1
-pintype=pas
-}
-P 8900 11300 8900 11000 1 0 0
-{
-T 8900 10950 9 10 1 1 90 7 1
-pinlabel=80
-T 8950 11050 5 8 1 1 0 0 1
-pinnumber=80
-T 8950 11050 5 8 0 1 0 0 1
-pinseq=80
-T 8800 50 9 10 0 1 0 6 1
-pintype=pas
-}
-P 8500 11300 8500 11000 1 0 0
-{
-T 8500 10950 9 10 1 1 90 7 1
-pinlabel=81
-T 8550 11050 5 8 1 1 0 0 1
-pinnumber=81
-T 8550 11050 5 8 0 1 0 0 1
-pinseq=81
-T 8400 50 9 10 0 1 0 6 1
-pintype=pas
-}
-P 8100 11300 8100 11000 1 0 0
-{
-T 8100 10950 9 10 1 1 90 7 1
-pinlabel=82
-T 8150 11050 5 8 1 1 0 0 1
-pinnumber=82
-T 8150 11050 5 8 0 1 0 0 1
-pinseq=82
-T 8000 50 9 10 0 1 0 6 1
-pintype=pas
-}
-P 7700 11300 7700 11000 1 0 0
-{
-T 7700 10950 9 10 1 1 90 7 1
-pinlabel=83
-T 7750 11050 5 8 1 1 0 0 1
-pinnumber=83
-T 7750 11050 5 8 0 1 0 0 1
-pinseq=83
-T 7600 50 9 10 0 1 0 6 1
-pintype=pas
-}
-P 7300 11300 7300 11000 1 0 0
-{
-T 7300 10950 9 10 1 1 90 7 1
-pinlabel=84
-T 7350 11050 5 8 1 1 0 0 1
-pinnumber=84
-T 7350 11050 5 8 0 1 0 0 1
-pinseq=84
-T 7200 50 9 10 0 1 0 6 1
-pintype=pas
-}
-P 6900 11300 6900 11000 1 0 0
-{
-T 6900 10950 9 10 1 1 90 7 1
-pinlabel=85
-T 6950 11050 5 8 1 1 0 0 1
-pinnumber=85
-T 6950 11050 5 8 0 1 0 0 1
-pinseq=85
-T 6800 50 9 10 0 1 0 6 1
-pintype=pas
-}
-P 6500 11300 6500 11000 1 0 0
-{
-T 6500 10950 9 10 1 1 90 7 1
-pinlabel=86
-T 6550 11050 5 8 1 1 0 0 1
-pinnumber=86
-T 6550 11050 5 8 0 1 0 0 1
-pinseq=86
-T 6400 50 9 10 0 1 0 6 1
-pintype=pas
-}
-P 6100 11300 6100 11000 1 0 0
-{
-T 6100 10950 9 10 1 1 90 7 1
-pinlabel=87
-T 6150 11050 5 8 1 1 0 0 1
-pinnumber=87
-T 6150 11050 5 8 0 1 0 0 1
-pinseq=87
-T 6000 50 9 10 0 1 0 6 1
-pintype=pas
-}
-P 5700 11300 5700 11000 1 0 0
-{
-T 5700 10950 9 10 1 1 90 7 1
-pinlabel=88
-T 5750 11050 5 8 1 1 0 0 1
-pinnumber=88
-T 5750 11050 5 8 0 1 0 0 1
-pinseq=88
-T 5600 50 9 10 0 1 0 6 1
-pintype=pas
-}
-P 5300 11300 5300 11000 1 0 0
-{
-T 5300 10950 9 10 1 1 90 7 1
-pinlabel=89
-T 5350 11050 5 8 1 1 0 0 1
-pinnumber=89
-T 5350 11050 5 8 0 1 0 0 1
-pinseq=89
-T 5200 50 9 10 0 1 0 6 1
-pintype=pas
-}
-P 4900 11300 4900 11000 1 0 0
-{
-T 4900 10950 9 10 1 1 90 7 1
-pinlabel=90
-T 4950 11050 5 8 1 1 0 0 1
-pinnumber=90
-T 4950 11050 5 8 0 1 0 0 1
-pinseq=90
-T 4800 50 9 10 0 1 0 6 1
-pintype=pas
-}
-P 4500 11300 4500 11000 1 0 0
-{
-T 4500 10950 9 10 1 1 90 7 1
-pinlabel=91
-T 4550 11050 5 8 1 1 0 0 1
-pinnumber=91
-T 4550 11050 5 8 0 1 0 0 1
-pinseq=91
-T 4400 50 9 10 0 1 0 6 1
-pintype=pas
-}
-P 4100 11300 4100 11000 1 0 0
-{
-T 4100 10950 9 10 1 1 90 7 1
-pinlabel=92
-T 4150 11050 5 8 1 1 0 0 1
-pinnumber=92
-T 4150 11050 5 8 0 1 0 0 1
-pinseq=92
-T 4000 50 9 10 0 1 0 6 1
-pintype=pas
-}
-P 3700 11300 3700 11000 1 0 0
-{
-T 3700 10950 9 10 1 1 90 7 1
-pinlabel=93
-T 3750 11050 5 8 1 1 0 0 1
-pinnumber=93
-T 3750 11050 5 8 0 1 0 0 1
-pinseq=93
-T 3600 50 9 10 0 1 0 6 1
-pintype=pas
-}
-P 3300 11300 3300 11000 1 0 0
-{
-T 3300 10950 9 10 1 1 90 7 1
-pinlabel=94
-T 3350 11050 5 8 1 1 0 0 1
-pinnumber=94
-T 3350 11050 5 8 0 1 0 0 1
-pinseq=94
-T 3200 50 9 10 0 1 0 6 1
-pintype=pas
-}
-P 2900 11300 2900 11000 1 0 0
-{
-T 2900 10950 9 10 1 1 90 7 1
-pinlabel=95
-T 2950 11050 5 8 1 1 0 0 1
-pinnumber=95
-T 2950 11050 5 8 0 1 0 0 1
-pinseq=95
-T 2800 50 9 10 0 1 0 6 1
-pintype=pas
-}
-P 2500 11300 2500 11000 1 0 0
-{
-T 2500 10950 9 10 1 1 90 7 1
-pinlabel=96
-T 2550 11050 5 8 1 1 0 0 1
-pinnumber=96
-T 2550 11050 5 8 0 1 0 0 1
-pinseq=96
-T 2400 50 9 10 0 1 0 6 1
-pintype=pas
-}
-P 2100 11300 2100 11000 1 0 0
-{
-T 2100 10950 9 10 1 1 90 7 1
-pinlabel=97
-T 2150 11050 5 8 1 1 0 0 1
-pinnumber=97
-T 2150 11050 5 8 0 1 0 0 1
-pinseq=97
-T 2000 50 9 10 0 1 0 6 1
-pintype=pas
-}
-P 1700 11300 1700 11000 1 0 0
-{
-T 1700 10950 9 10 1 1 90 7 1
-pinlabel=98
-T 1750 11050 5 8 1 1 0 0 1
-pinnumber=98
-T 1750 11050 5 8 0 1 0 0 1
-pinseq=98
-T 1600 50 9 10 0 1 0 6 1
-pintype=pas
-}
-P 1300 11300 1300 11000 1 0 0
-{
-T 1300 10950 9 10 1 1 90 7 1
-pinlabel=99
-T 1350 11050 5 8 1 1 0 0 1
-pinnumber=99
-T 1350 11050 5 8 0 1 0 0 1
-pinseq=99
-T 1200 50 9 10 0 1 0 6 1
-pintype=pas
-}
-P 900 11300 900 11000 1 0 0
-{
-T 900 10950 9 10 1 1 90 7 1
-pinlabel=100
-T 950 11050 5 8 1 1 0 0 1
-pinnumber=100
-T 950 11050 5 8 0 1 0 0 1
-pinseq=100
-T 800 50 9 10 0 1 0 6 1
-pintype=pas
-}
diff --git a/test-pcb/sym/title-phd-85x110.sym b/test-pcb/sym/title-phd-85x110.sym
deleted file mode 100644 (file)
index 40879f4..0000000
+++ /dev/null
@@ -1,128 +0,0 @@
-v 20100214 2
-T 9900 600 9 10 1 1 0 0 1
-date=---
-T 13700 600 9 10 1 1 0 0 1
-rev=---
-T 13700 300 9 10 1 1 0 0 1
-auth=DJW
-T 9900 900 9 8 1 1 0 0 1
-fname=$Id: $
-T 9900 1300 9 14 1 1 0 0 1
-title=TITLE
-T 9300 900 15 8 1 0 0 0 1
-FILE:
-T 10600 300 9 10 1 1 0 6 1
-pagenum=1
-T 12100 300 9 10 1 1 0 6 1
-pageof=1
-T 9300 1300 15 8 1 0 0 0 1
-TITLE
-T 9300 600 15 8 1 0 0 0 1
-DATE
-B 0 0 17000 13100 15 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-L 12700 800 12700 200 15 0 0 0 -1 -1
-B 9200 200 7600 1400 15 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-L 9200 800 16800 800 15 0 0 0 -1 -1
-B 200 200 16600 12700 15 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-L 200 2000 0 2000 15 0 0 0 -1 -1
-L 200 4000 0 4000 15 0 0 0 -1 -1
-L 200 6000 0 6000 15 0 0 0 -1 -1
-L 200 8000 0 8000 15 0 0 0 -1 -1
-L 2000 200 2000 0 15 0 0 0 -1 -1
-L 4000 200 4000 0 15 0 0 0 -1 -1
-L 6000 200 6000 0 15 0 0 0 -1 -1
-L 8000 200 8000 0 15 0 0 0 -1 -1
-L 10000 200 10000 0 15 0 0 0 -1 -1
-L 200 10000 0 10000 15 0 0 0 -1 -1
-L 12000 200 12000 0 15 0 0 0 -1 -1
-L 14000 200 14000 0 15 0 0 0 -1 -1
-L 16000 200 16000 0 15 0 0 0 -1 -1
-L 17000 10000 16800 10000 15 0 0 0 -1 -1
-L 17000 8000 16800 8000 15 0 0 0 -1 -1
-L 17000 6000 16800 6000 15 0 0 0 -1 -1
-L 17000 4000 16800 4000 15 0 0 0 -1 -1
-L 17000 2000 16800 2000 15 0 0 0 -1 -1
-L 2000 13100 2000 12900 15 0 0 0 -1 -1
-L 4000 13100 4000 12900 15 0 0 0 -1 -1
-L 6000 13100 6000 12900 15 0 0 0 -1 -1
-L 8000 13100 8000 12900 15 0 0 0 -1 -1
-L 10000 13100 10000 12900 15 0 0 0 -1 -1
-L 12000 13100 12000 12900 15 0 0 0 -1 -1
-L 14000 13100 14000 12900 15 0 0 0 -1 -1
-L 16000 13100 16000 12900 15 0 0 0 -1 -1
-T 15100 1700 5 10 0 0 0 0 1
-graphical=1
-T 12800 600 15 8 1 0 0 0 1
-REVISION:
-T 12800 300 15 8 1 0 0 0 1
-DRAWN BY: 
-T 9300 300 15 8 1 0 0 0 1
-PAGE
-T 11000 300 15 8 1 0 0 0 1
-OF
-T 100 1000 15 8 1 0 0 4 1
-A
-T 100 3000 15 8 1 0 0 4 1
-B
-T 100 5000 15 8 1 0 0 4 1
-C
-T 100 7000 15 8 1 0 0 4 1
-D
-T 1000 100 15 8 1 0 0 4 1
-1
-T 3000 100 15 8 1 0 0 4 1
-2
-T 5000 100 15 8 1 0 0 4 1
-3
-T 7000 100 15 8 1 0 0 4 1
-4
-T 9000 100 15 8 1 0 0 4 1
-5
-T 100 9000 15 8 1 0 0 4 1
-E
-T 100 11000 15 8 1 0 0 4 1
-F
-T 11000 100 15 8 1 0 0 4 1
-6
-T 13000 100 15 8 1 0 0 4 1
-7
-T 15000 100 15 8 1 0 0 4 1
-8
-T 16500 100 15 8 1 0 0 4 1
-9
-T 16900 1000 15 8 1 0 0 4 1
-A
-T 16900 3000 15 8 1 0 0 4 1
-B
-T 16900 5000 15 8 1 0 0 4 1
-C
-T 16900 7000 15 8 1 0 0 4 1
-D
-T 16900 9000 15 8 1 0 0 4 1
-E
-T 16900 11000 15 8 1 0 0 4 1
-F
-T 1000 13000 15 8 1 0 0 4 1
-1
-T 3000 13000 15 8 1 0 0 4 1
-2
-T 5000 13000 15 8 1 0 0 4 1
-3
-T 7000 13000 15 8 1 0 0 4 1
-4
-T 9000 13000 15 8 1 0 0 4 1
-5
-T 11000 13000 15 8 1 0 0 4 1
-6
-T 13000 13000 15 8 1 0 0 4 1
-7
-T 15000 13000 15 8 1 0 0 4 1
-8
-T 16500 13000 15 8 1 0 0 4 1
-9
-L 17000 12000 16800 12000 15 0 0 0 -1 -1
-L 200 12000 0 12000 15 0 0 0 -1 -1
-T 16900 12500 15 8 1 0 0 4 1
-G
-T 100 12500 15 8 1 0 0 4 1
-G
diff --git a/test-pcb/sym/wb430-1.djboxsym b/test-pcb/sym/wb430-1.djboxsym
deleted file mode 100644 (file)
index ec08425..0000000
+++ /dev/null
@@ -1,83 +0,0 @@
-#
-# WB430 digital
-#   ns430 and atoi_digital
-#
-
---vmode
-
-[labels]
-AtoI
-NS430
-AtoI digi
-1of4
-refdes=AtoI0
-footprint=SEMPAC_12x12_100A.fp
-
-
-[left]
-100            INT_SPI
-
-3              PA15/CS0_conf
-4              PA14/RXD1
-5              PA13/TXD1
-6              PA12/SCLK1
-7              PA11/MOSI1
-8              PA10/MISO1
-9              PA9/SCL/swap
-10             PA8/SDA
-11             PA7/CS0_mux/BSL
-12             PA6/IRQ
-13             PA5/RDX0
-14             PA4/TXD0
-# M25PExx serial flash
-15             PA3/SCLK0
-16             PA2/MOSI0
-17             PA1/MISO0
-18             PA0/CS_flash
-
-19     !       \_RST\_
-
-24             LFXTALI
-25             LFXTALO
-26             NC
-27             HFXTALI
-28             HFXTALO
-
-
-[right]
-1              DOUT0_mux
-2              DOUT0_conf
-
-99             NCO_CLK
-97             MULT0
-96             MULT1
-95             CS1_conf
-94             CS1_mux
-
-98     !       \_RESET\_
-
-
-
-[top]
-93             DVDD
-22             DVDD
-30             DVDD
-20             VDD
-32             VDD
-91             VDD
-
-89             VDD_digi
-
-
-[bottom]
-92             DVSS
-23             DVSS
-29             DVSS
-21             VSS
-31             VSS
-90             VSS
-
-88             VSS_digi
-
-
-# vim:softtabstop=0 noexpandtab ft=sh
diff --git a/test-pcb/sym/wb430-1.sym b/test-pcb/sym/wb430-1.sym
deleted file mode 100644 (file)
index 682b6bf..0000000
+++ /dev/null
@@ -1,511 +0,0 @@
-v 20060123 1
-B 300 300 4800 12200 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-T 2700 11000 9 10 1 1 0 3 1
-AtoI
-T 2700 10600 9 10 1 1 0 3 1
-NS430
-T 2700 10200 9 10 1 1 0 3 1
-AtoI digi
-T 2700 9800 9 10 1 1 0 3 1
-1of4
-T 2700 9400 9 10 1 1 0 3 1
-refdes=AtoI0
-T 2700 9000 9 10 1 1 0 3 1
-footprint=SEMPAC_12x12_100A.fp
-P 5400 11200 5100 11200 1 0 0
-{
-T 5050 11200 9 10 1 1 0 7 1
-pinlabel=DOUT0_mux
-T 5200 11250 5 8 1 1 0 0 1
-pinnumber=1
-T 5200 11250 5 8 0 1 0 0 1
-pinseq=1
-T 5000 11250 9 10 0 1 0 6 1
-pintype=pas
-}
-P 5400 10800 5100 10800 1 0 0
-{
-T 5050 10800 9 10 1 1 0 7 1
-pinlabel=DOUT0_conf
-T 5200 10850 5 8 1 1 0 0 1
-pinnumber=2
-T 5200 10850 5 8 0 1 0 0 1
-pinseq=2
-T 5000 10850 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 10400 300 10400 1 0 0
-{
-T 350 10400 9 10 1 1 0 1 1
-pinlabel=PA15/CS0_conf
-T 200 10450 5 8 1 1 0 6 1
-pinnumber=3
-T 200 10450 5 8 0 1 0 6 1
-pinseq=3
-T 200 10450 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 10000 300 10000 1 0 0
-{
-T 350 10000 9 10 1 1 0 1 1
-pinlabel=PA14/RXD1
-T 200 10050 5 8 1 1 0 6 1
-pinnumber=4
-T 200 10050 5 8 0 1 0 6 1
-pinseq=4
-T 200 10050 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 9600 300 9600 1 0 0
-{
-T 350 9600 9 10 1 1 0 1 1
-pinlabel=PA13/TXD1
-T 200 9650 5 8 1 1 0 6 1
-pinnumber=5
-T 200 9650 5 8 0 1 0 6 1
-pinseq=5
-T 200 9650 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 9200 300 9200 1 0 0
-{
-T 350 9200 9 10 1 1 0 1 1
-pinlabel=PA12/SCLK1
-T 200 9250 5 8 1 1 0 6 1
-pinnumber=6
-T 200 9250 5 8 0 1 0 6 1
-pinseq=6
-T 200 9250 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 8800 300 8800 1 0 0
-{
-T 350 8800 9 10 1 1 0 1 1
-pinlabel=PA11/MOSI1
-T 200 8850 5 8 1 1 0 6 1
-pinnumber=7
-T 200 8850 5 8 0 1 0 6 1
-pinseq=7
-T 200 8850 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 8400 300 8400 1 0 0
-{
-T 350 8400 9 10 1 1 0 1 1
-pinlabel=PA10/MISO1
-T 200 8450 5 8 1 1 0 6 1
-pinnumber=8
-T 200 8450 5 8 0 1 0 6 1
-pinseq=8
-T 200 8450 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 8000 300 8000 1 0 0
-{
-T 350 8000 9 10 1 1 0 1 1
-pinlabel=PA9/SCL/swap
-T 200 8050 5 8 1 1 0 6 1
-pinnumber=9
-T 200 8050 5 8 0 1 0 6 1
-pinseq=9
-T 200 8050 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 7600 300 7600 1 0 0
-{
-T 350 7600 9 10 1 1 0 1 1
-pinlabel=PA8/SDA
-T 200 7650 5 8 1 1 0 6 1
-pinnumber=10
-T 200 7650 5 8 0 1 0 6 1
-pinseq=10
-T 200 7650 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 7200 300 7200 1 0 0
-{
-T 350 7200 9 10 1 1 0 1 1
-pinlabel=PA7/CS0_mux/BSL
-T 200 7250 5 8 1 1 0 6 1
-pinnumber=11
-T 200 7250 5 8 0 1 0 6 1
-pinseq=11
-T 200 7250 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 6800 300 6800 1 0 0
-{
-T 350 6800 9 10 1 1 0 1 1
-pinlabel=PA6/IRQ
-T 200 6850 5 8 1 1 0 6 1
-pinnumber=12
-T 200 6850 5 8 0 1 0 6 1
-pinseq=12
-T 200 6850 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 6400 300 6400 1 0 0
-{
-T 350 6400 9 10 1 1 0 1 1
-pinlabel=PA5/RDX0
-T 200 6450 5 8 1 1 0 6 1
-pinnumber=13
-T 200 6450 5 8 0 1 0 6 1
-pinseq=13
-T 200 6450 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 6000 300 6000 1 0 0
-{
-T 350 6000 9 10 1 1 0 1 1
-pinlabel=PA4/TXD0
-T 200 6050 5 8 1 1 0 6 1
-pinnumber=14
-T 200 6050 5 8 0 1 0 6 1
-pinseq=14
-T 200 6050 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 5600 300 5600 1 0 0
-{
-T 350 5600 9 10 1 1 0 1 1
-pinlabel=PA3/SCLK0
-T 200 5650 5 8 1 1 0 6 1
-pinnumber=15
-T 200 5650 5 8 0 1 0 6 1
-pinseq=15
-T 200 5650 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 5200 300 5200 1 0 0
-{
-T 350 5200 9 10 1 1 0 1 1
-pinlabel=PA2/MOSI0
-T 200 5250 5 8 1 1 0 6 1
-pinnumber=16
-T 200 5250 5 8 0 1 0 6 1
-pinseq=16
-T 200 5250 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 4800 300 4800 1 0 0
-{
-T 350 4800 9 10 1 1 0 1 1
-pinlabel=PA1/MISO0
-T 200 4850 5 8 1 1 0 6 1
-pinnumber=17
-T 200 4850 5 8 0 1 0 6 1
-pinseq=17
-T 200 4850 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 4400 300 4400 1 0 0
-{
-T 350 4400 9 10 1 1 0 1 1
-pinlabel=PA0/CS_flash
-T 200 4450 5 8 1 1 0 6 1
-pinnumber=18
-T 200 4450 5 8 0 1 0 6 1
-pinseq=18
-T 200 4450 9 10 0 1 0 6 1
-pintype=pas
-}
-V 250 3600 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-P 0 3600 200 3600 1 0 0
-{
-T 350 3600 9 10 1 1 0 1 1
-pinlabel=\_RST\_
-T 200 3650 5 8 1 1 0 6 1
-pinnumber=19
-T 200 3650 5 8 0 1 0 6 1
-pinseq=19
-T 200 3650 9 10 0 1 0 6 1
-pintype=pas
-}
-P 2700 12800 2700 12500 1 0 0
-{
-T 2700 12450 9 10 1 1 90 7 1
-pinlabel=VDD
-T 2750 12550 5 8 1 1 0 0 1
-pinnumber=20
-T 2750 12550 5 8 0 1 0 0 1
-pinseq=20
-T 2600 50 9 10 0 1 0 6 1
-pintype=pas
-}
-P 2700 0 2700 300 1 0 0
-{
-T 2700 350 9 10 1 1 90 1 1
-pinlabel=VSS
-T 2750 250 5 8 1 1 0 2 1
-pinnumber=21
-T 2750 250 5 8 0 1 0 2 1
-pinseq=21
-T 2600 950 9 10 0 1 0 6 1
-pintype=pas
-}
-P 1900 12800 1900 12500 1 0 0
-{
-T 1900 12450 9 10 1 1 90 7 1
-pinlabel=DVDD
-T 1950 12550 5 8 1 1 0 0 1
-pinnumber=22
-T 1950 12550 5 8 0 1 0 0 1
-pinseq=22
-T 1800 50 9 10 0 1 0 6 1
-pintype=pas
-}
-P 1900 0 1900 300 1 0 0
-{
-T 1900 350 9 10 1 1 90 1 1
-pinlabel=DVSS
-T 1950 250 5 8 1 1 0 2 1
-pinnumber=23
-T 1950 250 5 8 0 1 0 2 1
-pinseq=23
-T 1800 950 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 2800 300 2800 1 0 0
-{
-T 350 2800 9 10 1 1 0 1 1
-pinlabel=LFXTALI
-T 200 2850 5 8 1 1 0 6 1
-pinnumber=24
-T 200 2850 5 8 0 1 0 6 1
-pinseq=24
-T 200 2850 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 2400 300 2400 1 0 0
-{
-T 350 2400 9 10 1 1 0 1 1
-pinlabel=LFXTALO
-T 200 2450 5 8 1 1 0 6 1
-pinnumber=25
-T 200 2450 5 8 0 1 0 6 1
-pinseq=25
-T 200 2450 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 2000 300 2000 1 0 0
-{
-T 350 2000 9 10 1 1 0 1 1
-pinlabel=NC
-T 200 2050 5 8 1 1 0 6 1
-pinnumber=26
-T 200 2050 5 8 0 1 0 6 1
-pinseq=26
-T 200 2050 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 1600 300 1600 1 0 0
-{
-T 350 1600 9 10 1 1 0 1 1
-pinlabel=HFXTALI
-T 200 1650 5 8 1 1 0 6 1
-pinnumber=27
-T 200 1650 5 8 0 1 0 6 1
-pinseq=27
-T 200 1650 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 1200 300 1200 1 0 0
-{
-T 350 1200 9 10 1 1 0 1 1
-pinlabel=HFXTALO
-T 200 1250 5 8 1 1 0 6 1
-pinnumber=28
-T 200 1250 5 8 0 1 0 6 1
-pinseq=28
-T 200 1250 9 10 0 1 0 6 1
-pintype=pas
-}
-P 2300 0 2300 300 1 0 0
-{
-T 2300 350 9 10 1 1 90 1 1
-pinlabel=DVSS
-T 2350 250 5 8 1 1 0 2 1
-pinnumber=29
-T 2350 250 5 8 0 1 0 2 1
-pinseq=29
-T 2200 950 9 10 0 1 0 6 1
-pintype=pas
-}
-P 2300 12800 2300 12500 1 0 0
-{
-T 2300 12450 9 10 1 1 90 7 1
-pinlabel=DVDD
-T 2350 12550 5 8 1 1 0 0 1
-pinnumber=30
-T 2350 12550 5 8 0 1 0 0 1
-pinseq=30
-T 2200 50 9 10 0 1 0 6 1
-pintype=pas
-}
-P 3100 0 3100 300 1 0 0
-{
-T 3100 350 9 10 1 1 90 1 1
-pinlabel=VSS
-T 3150 250 5 8 1 1 0 2 1
-pinnumber=31
-T 3150 250 5 8 0 1 0 2 1
-pinseq=31
-T 3000 950 9 10 0 1 0 6 1
-pintype=pas
-}
-P 3100 12800 3100 12500 1 0 0
-{
-T 3100 12450 9 10 1 1 90 7 1
-pinlabel=VDD
-T 3150 12550 5 8 1 1 0 0 1
-pinnumber=32
-T 3150 12550 5 8 0 1 0 0 1
-pinseq=32
-T 3000 50 9 10 0 1 0 6 1
-pintype=pas
-}
-P 3900 0 3900 300 1 0 0
-{
-T 3900 350 9 10 1 1 90 1 1
-pinlabel=VSS_digi
-T 3950 250 5 8 1 1 0 2 1
-pinnumber=88
-T 3950 250 5 8 0 1 0 2 1
-pinseq=88
-T 3800 950 9 10 0 1 0 6 1
-pintype=pas
-}
-P 3900 12800 3900 12500 1 0 0
-{
-T 3900 12450 9 10 1 1 90 7 1
-pinlabel=VDD_digi
-T 3950 12550 5 8 1 1 0 0 1
-pinnumber=89
-T 3950 12550 5 8 0 1 0 0 1
-pinseq=89
-T 3800 50 9 10 0 1 0 6 1
-pintype=pas
-}
-P 3500 0 3500 300 1 0 0
-{
-T 3500 350 9 10 1 1 90 1 1
-pinlabel=VSS
-T 3550 250 5 8 1 1 0 2 1
-pinnumber=90
-T 3550 250 5 8 0 1 0 2 1
-pinseq=90
-T 3400 950 9 10 0 1 0 6 1
-pintype=pas
-}
-P 3500 12800 3500 12500 1 0 0
-{
-T 3500 12450 9 10 1 1 90 7 1
-pinlabel=VDD
-T 3550 12550 5 8 1 1 0 0 1
-pinnumber=91
-T 3550 12550 5 8 0 1 0 0 1
-pinseq=91
-T 3400 50 9 10 0 1 0 6 1
-pintype=pas
-}
-P 1500 0 1500 300 1 0 0
-{
-T 1500 350 9 10 1 1 90 1 1
-pinlabel=DVSS
-T 1550 250 5 8 1 1 0 2 1
-pinnumber=92
-T 1550 250 5 8 0 1 0 2 1
-pinseq=92
-T 1400 950 9 10 0 1 0 6 1
-pintype=pas
-}
-P 1500 12800 1500 12500 1 0 0
-{
-T 1500 12450 9 10 1 1 90 7 1
-pinlabel=DVDD
-T 1550 12550 5 8 1 1 0 0 1
-pinnumber=93
-T 1550 12550 5 8 0 1 0 0 1
-pinseq=93
-T 1400 50 9 10 0 1 0 6 1
-pintype=pas
-}
-P 5400 8400 5100 8400 1 0 0
-{
-T 5050 8400 9 10 1 1 0 7 1
-pinlabel=CS1_mux
-T 5200 8450 5 8 1 1 0 0 1
-pinnumber=94
-T 5200 8450 5 8 0 1 0 0 1
-pinseq=94
-T 5000 8450 9 10 0 1 0 6 1
-pintype=pas
-}
-P 5400 8800 5100 8800 1 0 0
-{
-T 5050 8800 9 10 1 1 0 7 1
-pinlabel=CS1_conf
-T 5200 8850 5 8 1 1 0 0 1
-pinnumber=95
-T 5200 8850 5 8 0 1 0 0 1
-pinseq=95
-T 5000 8850 9 10 0 1 0 6 1
-pintype=pas
-}
-P 5400 9200 5100 9200 1 0 0
-{
-T 5050 9200 9 10 1 1 0 7 1
-pinlabel=MULT1
-T 5200 9250 5 8 1 1 0 0 1
-pinnumber=96
-T 5200 9250 5 8 0 1 0 0 1
-pinseq=96
-T 5000 9250 9 10 0 1 0 6 1
-pintype=pas
-}
-P 5400 9600 5100 9600 1 0 0
-{
-T 5050 9600 9 10 1 1 0 7 1
-pinlabel=MULT0
-T 5200 9650 5 8 1 1 0 0 1
-pinnumber=97
-T 5200 9650 5 8 0 1 0 0 1
-pinseq=97
-T 5000 9650 9 10 0 1 0 6 1
-pintype=pas
-}
-V 5150 7600 50 6 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-P 5400 7600 5200 7600 1 0 0
-{
-T 5050 7600 9 10 1 1 0 7 1
-pinlabel=\_RESET\_
-T 5200 7650 5 8 1 1 0 0 1
-pinnumber=98
-T 5200 7650 5 8 0 1 0 0 1
-pinseq=98
-T 5000 7650 9 10 0 1 0 6 1
-pintype=pas
-}
-P 5400 10000 5100 10000 1 0 0
-{
-T 5050 10000 9 10 1 1 0 7 1
-pinlabel=NCO_CLK
-T 5200 10050 5 8 1 1 0 0 1
-pinnumber=99
-T 5200 10050 5 8 0 1 0 0 1
-pinseq=99
-T 5000 10050 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 11200 300 11200 1 0 0
-{
-T 350 11200 9 10 1 1 0 1 1
-pinlabel=INT_SPI
-T 200 11250 5 8 1 1 0 6 1
-pinnumber=100
-T 200 11250 5 8 0 1 0 6 1
-pinseq=100
-T 200 11250 9 10 0 1 0 6 1
-pintype=pas
-}
diff --git a/test-pcb/sym/wb430-2.djboxsym b/test-pcb/sym/wb430-2.djboxsym
deleted file mode 100644 (file)
index 00209a7..0000000
+++ /dev/null
@@ -1,45 +0,0 @@
-#
-# AtoI Analog
-#
-
-[labels]
-AtoI
-Analog
-2of4
-refdes=AtoI0
-
-
-[top]
-77             AVDD
-
-
-[bottom]
-76             AVSS
-
-
-[left]
-75             biasp
-74             biasccp
-73             biasR
-
-72             buf_biasp
-71             buf_biasccp
-70             buf_biasR
-
-69             INA
-68             INB
-67             CMI
-
-
-[right]
-66             mux0_outA
-65             mux0_outB
-
-64             arb_out0
-63             arb_out1
-
-62             mux1_outA
-61             mux1_outB
-
-
-# vim:softtabstop=0 noexpandtab ft=sh
diff --git a/test-pcb/sym/wb430-2.sym b/test-pcb/sym/wb430-2.sym
deleted file mode 100644 (file)
index 9b0848d..0000000
+++ /dev/null
@@ -1,197 +0,0 @@
-v 20060123 1
-B 300 300 3400 4800 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-T 2000 4500 9 10 1 1 0 3 1
-AtoI
-T 2000 4100 9 10 1 1 0 3 1
-Analog
-T 2000 3700 9 10 1 1 0 3 1
-2of4
-T 2000 3300 9 10 1 1 0 3 1
-refdes=AtoI0
-P 4000 1900 3700 1900 1 0 0
-{
-T 3650 1900 9 10 1 1 0 7 1
-pinlabel=mux1_outB
-T 3800 1950 5 8 1 1 0 0 1
-pinnumber=61
-T 3800 1950 5 8 0 1 0 0 1
-pinseq=61
-T 3600 1950 9 10 0 1 0 6 1
-pintype=pas
-}
-P 4000 2300 3700 2300 1 0 0
-{
-T 3650 2300 9 10 1 1 0 7 1
-pinlabel=mux1_outA
-T 3800 2350 5 8 1 1 0 0 1
-pinnumber=62
-T 3800 2350 5 8 0 1 0 0 1
-pinseq=62
-T 3600 2350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 4000 3100 3700 3100 1 0 0
-{
-T 3650 3100 9 10 1 1 0 7 1
-pinlabel=arb_out1
-T 3800 3150 5 8 1 1 0 0 1
-pinnumber=63
-T 3800 3150 5 8 0 1 0 0 1
-pinseq=63
-T 3600 3150 9 10 0 1 0 6 1
-pintype=pas
-}
-P 4000 3500 3700 3500 1 0 0
-{
-T 3650 3500 9 10 1 1 0 7 1
-pinlabel=arb_out0
-T 3800 3550 5 8 1 1 0 0 1
-pinnumber=64
-T 3800 3550 5 8 0 1 0 0 1
-pinseq=64
-T 3600 3550 9 10 0 1 0 6 1
-pintype=pas
-}
-P 4000 4300 3700 4300 1 0 0
-{
-T 3650 4300 9 10 1 1 0 7 1
-pinlabel=mux0_outB
-T 3800 4350 5 8 1 1 0 0 1
-pinnumber=65
-T 3800 4350 5 8 0 1 0 0 1
-pinseq=65
-T 3600 4350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 4000 4700 3700 4700 1 0 0
-{
-T 3650 4700 9 10 1 1 0 7 1
-pinlabel=mux0_outA
-T 3800 4750 5 8 1 1 0 0 1
-pinnumber=66
-T 3800 4750 5 8 0 1 0 0 1
-pinseq=66
-T 3600 4750 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 700 300 700 1 0 0
-{
-T 350 700 9 10 1 1 0 1 1
-pinlabel=CMI
-T 200 750 5 8 1 1 0 6 1
-pinnumber=67
-T 200 750 5 8 0 1 0 6 1
-pinseq=67
-T 200 750 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 1100 300 1100 1 0 0
-{
-T 350 1100 9 10 1 1 0 1 1
-pinlabel=INB
-T 200 1150 5 8 1 1 0 6 1
-pinnumber=68
-T 200 1150 5 8 0 1 0 6 1
-pinseq=68
-T 200 1150 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 1500 300 1500 1 0 0
-{
-T 350 1500 9 10 1 1 0 1 1
-pinlabel=INA
-T 200 1550 5 8 1 1 0 6 1
-pinnumber=69
-T 200 1550 5 8 0 1 0 6 1
-pinseq=69
-T 200 1550 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 2300 300 2300 1 0 0
-{
-T 350 2300 9 10 1 1 0 1 1
-pinlabel=buf_biasR
-T 200 2350 5 8 1 1 0 6 1
-pinnumber=70
-T 200 2350 5 8 0 1 0 6 1
-pinseq=70
-T 200 2350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 2700 300 2700 1 0 0
-{
-T 350 2700 9 10 1 1 0 1 1
-pinlabel=buf_biasccp
-T 200 2750 5 8 1 1 0 6 1
-pinnumber=71
-T 200 2750 5 8 0 1 0 6 1
-pinseq=71
-T 200 2750 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 3100 300 3100 1 0 0
-{
-T 350 3100 9 10 1 1 0 1 1
-pinlabel=buf_biasp
-T 200 3150 5 8 1 1 0 6 1
-pinnumber=72
-T 200 3150 5 8 0 1 0 6 1
-pinseq=72
-T 200 3150 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 3900 300 3900 1 0 0
-{
-T 350 3900 9 10 1 1 0 1 1
-pinlabel=biasR
-T 200 3950 5 8 1 1 0 6 1
-pinnumber=73
-T 200 3950 5 8 0 1 0 6 1
-pinseq=73
-T 200 3950 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 4300 300 4300 1 0 0
-{
-T 350 4300 9 10 1 1 0 1 1
-pinlabel=biasccp
-T 200 4350 5 8 1 1 0 6 1
-pinnumber=74
-T 200 4350 5 8 0 1 0 6 1
-pinseq=74
-T 200 4350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 4700 300 4700 1 0 0
-{
-T 350 4700 9 10 1 1 0 1 1
-pinlabel=biasp
-T 200 4750 5 8 1 1 0 6 1
-pinnumber=75
-T 200 4750 5 8 0 1 0 6 1
-pinseq=75
-T 200 4750 9 10 0 1 0 6 1
-pintype=pas
-}
-P 2000 0 2000 300 1 0 0
-{
-T 2000 350 9 10 1 1 0 3 1
-pinlabel=AVSS
-T 2050 250 5 8 1 1 0 2 1
-pinnumber=76
-T 2050 250 5 8 0 1 0 2 1
-pinseq=76
-T 1900 50 9 10 0 1 0 6 1
-pintype=pas
-}
-P 2000 5400 2000 5100 1 0 0
-{
-T 2000 5050 9 10 1 1 0 5 1
-pinlabel=AVDD
-T 2050 5150 5 8 1 1 0 0 1
-pinnumber=77
-T 2050 5150 5 8 0 1 0 0 1
-pinseq=77
-T 1900 50 9 10 0 1 0 6 1
-pintype=pas
-}
diff --git a/test-pcb/sym/wb430-3.djboxsym b/test-pcb/sym/wb430-3.djboxsym
deleted file mode 100644 (file)
index c211fd9..0000000
+++ /dev/null
@@ -1,28 +0,0 @@
-#
-# AtoI OTA
-#
-
-[labels]
-AtoI
-OTA
-3of4
-refdes=AtoI0
-
-
-[left]
-87             ota_slow
-86             ota_slowinv
-85             ota_biasa
-84             ota_biasb
-83             ota_biasccp
-82             ota_biasccn
-81             ota_ina
-80             ota_inb
-79             ota_cmi
-
-
-[right]
-78             ota_out
-
-
-# vim:softtabstop=0 noexpandtab ft=sh
diff --git a/test-pcb/sym/wb430-3.sym b/test-pcb/sym/wb430-3.sym
deleted file mode 100644 (file)
index d9a8b06..0000000
+++ /dev/null
@@ -1,120 +0,0 @@
-v 20060123 1
-B 300 300 3300 4000 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-T 2000 3700 9 10 1 1 0 3 1
-AtoI
-T 2000 3300 9 10 1 1 0 3 1
-OTA
-T 2000 2900 9 10 1 1 0 3 1
-3of4
-T 2000 2500 9 10 1 1 0 3 1
-refdes=AtoI0
-P 3900 3900 3600 3900 1 0 0
-{
-T 3550 3900 9 10 1 1 0 7 1
-pinlabel=ota_out
-T 3700 3950 5 8 1 1 0 0 1
-pinnumber=78
-T 3700 3950 5 8 0 1 0 0 1
-pinseq=78
-T 3500 3950 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 700 300 700 1 0 0
-{
-T 350 700 9 10 1 1 0 1 1
-pinlabel=ota_cmi
-T 200 750 5 8 1 1 0 6 1
-pinnumber=79
-T 200 750 5 8 0 1 0 6 1
-pinseq=79
-T 200 750 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 1100 300 1100 1 0 0
-{
-T 350 1100 9 10 1 1 0 1 1
-pinlabel=ota_inb
-T 200 1150 5 8 1 1 0 6 1
-pinnumber=80
-T 200 1150 5 8 0 1 0 6 1
-pinseq=80
-T 200 1150 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 1500 300 1500 1 0 0
-{
-T 350 1500 9 10 1 1 0 1 1
-pinlabel=ota_ina
-T 200 1550 5 8 1 1 0 6 1
-pinnumber=81
-T 200 1550 5 8 0 1 0 6 1
-pinseq=81
-T 200 1550 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 1900 300 1900 1 0 0
-{
-T 350 1900 9 10 1 1 0 1 1
-pinlabel=ota_biasccn
-T 200 1950 5 8 1 1 0 6 1
-pinnumber=82
-T 200 1950 5 8 0 1 0 6 1
-pinseq=82
-T 200 1950 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 2300 300 2300 1 0 0
-{
-T 350 2300 9 10 1 1 0 1 1
-pinlabel=ota_biasccp
-T 200 2350 5 8 1 1 0 6 1
-pinnumber=83
-T 200 2350 5 8 0 1 0 6 1
-pinseq=83
-T 200 2350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 2700 300 2700 1 0 0
-{
-T 350 2700 9 10 1 1 0 1 1
-pinlabel=ota_biasb
-T 200 2750 5 8 1 1 0 6 1
-pinnumber=84
-T 200 2750 5 8 0 1 0 6 1
-pinseq=84
-T 200 2750 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 3100 300 3100 1 0 0
-{
-T 350 3100 9 10 1 1 0 1 1
-pinlabel=ota_biasa
-T 200 3150 5 8 1 1 0 6 1
-pinnumber=85
-T 200 3150 5 8 0 1 0 6 1
-pinseq=85
-T 200 3150 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 3500 300 3500 1 0 0
-{
-T 350 3500 9 10 1 1 0 1 1
-pinlabel=ota_slowinv
-T 200 3550 5 8 1 1 0 6 1
-pinnumber=86
-T 200 3550 5 8 0 1 0 6 1
-pinseq=86
-T 200 3550 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 3900 300 3900 1 0 0
-{
-T 350 3900 9 10 1 1 0 1 1
-pinlabel=ota_slow
-T 200 3950 5 8 1 1 0 6 1
-pinnumber=87
-T 200 3950 5 8 0 1 0 6 1
-pinseq=87
-T 200 3950 9 10 0 1 0 6 1
-pintype=pas
-}
diff --git a/test-pcb/sym/wb430-4.djboxsym b/test-pcb/sym/wb430-4.djboxsym
deleted file mode 100644 (file)
index 95072d5..0000000
+++ /dev/null
@@ -1,46 +0,0 @@
-# 
-# MB unused pins
-#
-
-[labels]
-AtoI
-mb
-4of4
-refdes=AtoI0
-
-
-[left]
-33             mb
-34             mb
-35             mb
-36             mb
-37             mb
-38             mb
-39             mb
-40             mb
-41             mb
-42             mb
-43             mb
-44             mb
-45             mb
-46             mb
-47             mb
-48             mb
-49             mb
-50             mb
-
-
-[right]
-60             mb
-59             mb
-58             mb
-57             mb
-56             mb
-55             mb
-54             mb
-53             mb
-52             mb
-51             mb
-
-
-# vim:softtabstop=0 noexpandtab ft=sh
diff --git a/test-pcb/sym/wb430-4.sym b/test-pcb/sym/wb430-4.sym
deleted file mode 100644 (file)
index 3d9b7e6..0000000
+++ /dev/null
@@ -1,318 +0,0 @@
-v 20060123 1
-B 300 300 1400 7600 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
-T 1000 7300 9 10 1 1 0 3 1
-AtoI
-T 1000 6900 9 10 1 1 0 3 1
-mb
-T 1000 6500 9 10 1 1 0 3 1
-4of4
-T 1000 6100 9 10 1 1 0 3 1
-refdes=AtoI0
-P 0 7500 300 7500 1 0 0
-{
-T 350 7500 9 10 1 1 0 1 1
-pinlabel=mb
-T 200 7550 5 8 1 1 0 6 1
-pinnumber=33
-T 200 7550 5 8 0 1 0 6 1
-pinseq=33
-T 200 7550 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 7100 300 7100 1 0 0
-{
-T 350 7100 9 10 1 1 0 1 1
-pinlabel=mb
-T 200 7150 5 8 1 1 0 6 1
-pinnumber=34
-T 200 7150 5 8 0 1 0 6 1
-pinseq=34
-T 200 7150 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 6700 300 6700 1 0 0
-{
-T 350 6700 9 10 1 1 0 1 1
-pinlabel=mb
-T 200 6750 5 8 1 1 0 6 1
-pinnumber=35
-T 200 6750 5 8 0 1 0 6 1
-pinseq=35
-T 200 6750 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 6300 300 6300 1 0 0
-{
-T 350 6300 9 10 1 1 0 1 1
-pinlabel=mb
-T 200 6350 5 8 1 1 0 6 1
-pinnumber=36
-T 200 6350 5 8 0 1 0 6 1
-pinseq=36
-T 200 6350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 5900 300 5900 1 0 0
-{
-T 350 5900 9 10 1 1 0 1 1
-pinlabel=mb
-T 200 5950 5 8 1 1 0 6 1
-pinnumber=37
-T 200 5950 5 8 0 1 0 6 1
-pinseq=37
-T 200 5950 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 5500 300 5500 1 0 0
-{
-T 350 5500 9 10 1 1 0 1 1
-pinlabel=mb
-T 200 5550 5 8 1 1 0 6 1
-pinnumber=38
-T 200 5550 5 8 0 1 0 6 1
-pinseq=38
-T 200 5550 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 5100 300 5100 1 0 0
-{
-T 350 5100 9 10 1 1 0 1 1
-pinlabel=mb
-T 200 5150 5 8 1 1 0 6 1
-pinnumber=39
-T 200 5150 5 8 0 1 0 6 1
-pinseq=39
-T 200 5150 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 4700 300 4700 1 0 0
-{
-T 350 4700 9 10 1 1 0 1 1
-pinlabel=mb
-T 200 4750 5 8 1 1 0 6 1
-pinnumber=40
-T 200 4750 5 8 0 1 0 6 1
-pinseq=40
-T 200 4750 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 4300 300 4300 1 0 0
-{
-T 350 4300 9 10 1 1 0 1 1
-pinlabel=mb
-T 200 4350 5 8 1 1 0 6 1
-pinnumber=41
-T 200 4350 5 8 0 1 0 6 1
-pinseq=41
-T 200 4350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 3900 300 3900 1 0 0
-{
-T 350 3900 9 10 1 1 0 1 1
-pinlabel=mb
-T 200 3950 5 8 1 1 0 6 1
-pinnumber=42
-T 200 3950 5 8 0 1 0 6 1
-pinseq=42
-T 200 3950 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 3500 300 3500 1 0 0
-{
-T 350 3500 9 10 1 1 0 1 1
-pinlabel=mb
-T 200 3550 5 8 1 1 0 6 1
-pinnumber=43
-T 200 3550 5 8 0 1 0 6 1
-pinseq=43
-T 200 3550 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 3100 300 3100 1 0 0
-{
-T 350 3100 9 10 1 1 0 1 1
-pinlabel=mb
-T 200 3150 5 8 1 1 0 6 1
-pinnumber=44
-T 200 3150 5 8 0 1 0 6 1
-pinseq=44
-T 200 3150 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 2700 300 2700 1 0 0
-{
-T 350 2700 9 10 1 1 0 1 1
-pinlabel=mb
-T 200 2750 5 8 1 1 0 6 1
-pinnumber=45
-T 200 2750 5 8 0 1 0 6 1
-pinseq=45
-T 200 2750 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 2300 300 2300 1 0 0
-{
-T 350 2300 9 10 1 1 0 1 1
-pinlabel=mb
-T 200 2350 5 8 1 1 0 6 1
-pinnumber=46
-T 200 2350 5 8 0 1 0 6 1
-pinseq=46
-T 200 2350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 1900 300 1900 1 0 0
-{
-T 350 1900 9 10 1 1 0 1 1
-pinlabel=mb
-T 200 1950 5 8 1 1 0 6 1
-pinnumber=47
-T 200 1950 5 8 0 1 0 6 1
-pinseq=47
-T 200 1950 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 1500 300 1500 1 0 0
-{
-T 350 1500 9 10 1 1 0 1 1
-pinlabel=mb
-T 200 1550 5 8 1 1 0 6 1
-pinnumber=48
-T 200 1550 5 8 0 1 0 6 1
-pinseq=48
-T 200 1550 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 1100 300 1100 1 0 0
-{
-T 350 1100 9 10 1 1 0 1 1
-pinlabel=mb
-T 200 1150 5 8 1 1 0 6 1
-pinnumber=49
-T 200 1150 5 8 0 1 0 6 1
-pinseq=49
-T 200 1150 9 10 0 1 0 6 1
-pintype=pas
-}
-P 0 700 300 700 1 0 0
-{
-T 350 700 9 10 1 1 0 1 1
-pinlabel=mb
-T 200 750 5 8 1 1 0 6 1
-pinnumber=50
-T 200 750 5 8 0 1 0 6 1
-pinseq=50
-T 200 750 9 10 0 1 0 6 1
-pintype=pas
-}
-P 2000 3900 1700 3900 1 0 0
-{
-T 1650 3900 9 10 1 1 0 7 1
-pinlabel=mb
-T 1800 3950 5 8 1 1 0 0 1
-pinnumber=51
-T 1800 3950 5 8 0 1 0 0 1
-pinseq=51
-T 1600 3950 9 10 0 1 0 6 1
-pintype=pas
-}
-P 2000 4300 1700 4300 1 0 0
-{
-T 1650 4300 9 10 1 1 0 7 1
-pinlabel=mb
-T 1800 4350 5 8 1 1 0 0 1
-pinnumber=52
-T 1800 4350 5 8 0 1 0 0 1
-pinseq=52
-T 1600 4350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 2000 4700 1700 4700 1 0 0
-{
-T 1650 4700 9 10 1 1 0 7 1
-pinlabel=mb
-T 1800 4750 5 8 1 1 0 0 1
-pinnumber=53
-T 1800 4750 5 8 0 1 0 0 1
-pinseq=53
-T 1600 4750 9 10 0 1 0 6 1
-pintype=pas
-}
-P 2000 5100 1700 5100 1 0 0
-{
-T 1650 5100 9 10 1 1 0 7 1
-pinlabel=mb
-T 1800 5150 5 8 1 1 0 0 1
-pinnumber=54
-T 1800 5150 5 8 0 1 0 0 1
-pinseq=54
-T 1600 5150 9 10 0 1 0 6 1
-pintype=pas
-}
-P 2000 5500 1700 5500 1 0 0
-{
-T 1650 5500 9 10 1 1 0 7 1
-pinlabel=mb
-T 1800 5550 5 8 1 1 0 0 1
-pinnumber=55
-T 1800 5550 5 8 0 1 0 0 1
-pinseq=55
-T 1600 5550 9 10 0 1 0 6 1
-pintype=pas
-}
-P 2000 5900 1700 5900 1 0 0
-{
-T 1650 5900 9 10 1 1 0 7 1
-pinlabel=mb
-T 1800 5950 5 8 1 1 0 0 1
-pinnumber=56
-T 1800 5950 5 8 0 1 0 0 1
-pinseq=56
-T 1600 5950 9 10 0 1 0 6 1
-pintype=pas
-}
-P 2000 6300 1700 6300 1 0 0
-{
-T 1650 6300 9 10 1 1 0 7 1
-pinlabel=mb
-T 1800 6350 5 8 1 1 0 0 1
-pinnumber=57
-T 1800 6350 5 8 0 1 0 0 1
-pinseq=57
-T 1600 6350 9 10 0 1 0 6 1
-pintype=pas
-}
-P 2000 6700 1700 6700 1 0 0
-{
-T 1650 6700 9 10 1 1 0 7 1
-pinlabel=mb
-T 1800 6750 5 8 1 1 0 0 1
-pinnumber=58
-T 1800 6750 5 8 0 1 0 0 1
-pinseq=58
-T 1600 6750 9 10 0 1 0 6 1
-pintype=pas
-}
-P 2000 7100 1700 7100 1 0 0
-{
-T 1650 7100 9 10 1 1 0 7 1
-pinlabel=mb
-T 1800 7150 5 8 1 1 0 0 1
-pinnumber=59
-T 1800 7150 5 8 0 1 0 0 1
-pinseq=59
-T 1600 7150 9 10 0 1 0 6 1
-pintype=pas
-}
-P 2000 7500 1700 7500 1 0 0
-{
-T 1650 7500 9 10 1 1 0 7 1
-pinlabel=mb
-T 1800 7550 5 8 1 1 0 0 1
-pinnumber=60
-T 1800 7550 5 8 0 1 0 0 1
-pinseq=60
-T 1600 7550 9 10 0 1 0 6 1
-pintype=pas
-}
diff --git a/test-pcb/waeda-fp b/test-pcb/waeda-fp
deleted file mode 160000 (submodule)
index 658636c..0000000
+++ /dev/null
@@ -1 +0,0 @@
-Subproject commit 658636cc5a84eecaa9013b086bebb5a6c3e7ce40
diff --git a/test-pcb/waeda-sym b/test-pcb/waeda-sym
deleted file mode 160000 (submodule)
index 2412006..0000000
+++ /dev/null
@@ -1 +0,0 @@
-Subproject commit 2412006c43c1ad4c91df175b443ac437bb5035d9