add generated RCF file for ROM msp4th-fixup s3/msp4th-fixup
authorDan White <dan@whiteaudio.com>
Mon, 29 Apr 2013 18:41:45 +0000 (13:41 -0500)
committerDan White <dan@whiteaudio.com>
Mon, 29 Apr 2013 18:41:45 +0000 (13:41 -0500)
rom-bugfix.rcf [new file with mode: 0644]

diff --git a/rom-bugfix.rcf b/rom-bugfix.rcf
new file mode 100644 (file)
index 0000000..74243c3
--- /dev/null
@@ -0,0 +1,2048 @@
+0100000000110001
+1111111110110000
+0100001110010010
+0001101000001000
+0100001110000010
+0001101000001010
+0100001110010010
+0001101000000100
+0100000010110010
+1000000000000000
+0001101000000110
+0100000010110010
+0000000000001110
+0001101000001100
+0100001100001110
+1011000010110010
+0000000100000000
+0001101000000000
+0010010000000100
+0100001100011110
+0100000010110010
+0000000011001010
+0010010000010110
+0100000010110010
+0000000001110000
+0010000000000000
+1100001110010010
+0001101000001000
+0100000010110010
+1010101100000000
+0010000000000100
+1011001110100010
+0010000000000110
+0010011111111101
+0100000010110010
+0000000011110000
+0010000000000000
+1101001110010010
+0001101000001000
+0100001100001001
+0100000000110101
+1010101010101010
+0100000000110111
+0100000000000000
+0100000000111000
+0110000000000000
+1000001100011000
+0001111011001000
+0100010100000111
+1100000000111000
+0000000000001111
+1001001100001000
+0010001111111001
+0100000000110111
+0100000000000000
+0100000000111000
+0110000000000000
+0100010100001011
+0000111001011011
+0100001100001010
+1000001100011000
+0001100011001000
+0101011100111010
+1001101000001011
+0010010000000001
+0101001100011001
+1100000000111000
+0000000000001111
+1001001100001000
+0010001111110101
+1001001100110101
+0010010000001000
+1001000000110101
+0101010101010101
+0010010000000011
+0100000000110101
+0101010101010101
+0011111111011100
+0100001100110101
+0011111111011010
+0100100110000010
+0010011000111110
+1011000010110010
+0000000010000000
+0001101000000000
+0010010001001110
+0100001110000010
+0000000000000100
+0100001110000010
+0001101000001010
+0100000010110010
+1000000000000000
+0001101000000110
+0100001100001101
+1011000010110010
+0000001000000000
+0001101000000000
+0010010000000001
+0100001100011101
+0100001110000010
+0001101000000110
+0100000000110111
+0100000000000000
+0000000110001000
+0000000000000000
+1100001110010010
+0001101000001000
+0100000010110010
+0000001100000000
+0010000000000100
+0100011110000010
+0010000000000100
+1011001110010010
+0010000000000110
+0010011111111101
+0100001110000010
+0010000000000100
+1011001110010010
+0010000000000110
+0010011111111101
+0100001110000010
+0010000000000100
+0100001110000010
+0010000000000110
+1011001010100010
+0010000000000110
+0010011111111101
+0100001000010101
+0010000000000010
+0100001110000010
+0010000000000100
+1001001100001101
+0010010000000001
+0001000010000101
+0100010110000111
+0000000000000000
+0100011110110010
+0000000000000000
+0000011111011000
+0010001111110000
+1011001110100010
+0010000000000110
+0010011111111101
+1101001110010010
+0001101000001000
+0100000010110010
+0000000001110000
+0010000000000000
+1100001110010010
+0001101000001000
+0100000010110010
+1011100100000000
+0010000000000100
+1011001110100010
+0010000000000110
+0010011111111101
+1101001110010010
+0001101000001000
+0100001110000010
+0001101000001100
+0100001110000010
+0001101000000100
+0100001000010000
+1111111111111110
+0100001110000010
+0001101000000110
+1001001100001110
+0010000000000100
+0100000010110000
+0010000000000100
+1111001010101100
+0011110000000011
+0100000010110000
+0010000000001000
+1111001010100100
+1101000000110010
+0000000000000000
+0100000010110010
+0011010010001110
+1111111111101010
+0100000010110010
+0011001111011010
+1111111111110000
+0100000000110000
+0011000111010010
+0100011001111100
+0100000001010100
+0100000000111111
+0100000000000000
+0100001110001111
+0000000000000000
+0101001100101111
+1001000000111111
+0100101110011111
+0010101111111010
+0100001110010010
+0100101110011010
+0100001110010010
+0100101010010110
+0100000100110000
+0101001100001010
+0110111001100101
+0010000001100100
+0110100101110110
+0010000001100001
+0100110101011000
+0100010001001111
+0100110101000101
+0010100000100000
+0101001001000011
+0010000001000011
+0111001001101111
+0011000100100000
+0010100101001011
+0111010000100000
+0110010101101000
+0110010100100000
+0111010001111000
+0110111001100101
+0110010101100100
+0010000001100100
+0110010101101000
+0010000001111000
+0110100101100110
+0110010101101100
+0111010000100000
+0010000001101111
+0111001001110000
+0110011101101111
+0110000101110010
+0010111001101101
+0011111000001010
+0000000000000000
+0100000000110001
+1100000000000000
+0100000000110001
+1111111110110000
+0100000010110010
+0000000000111110
+0001101000001100
+0001001010110000
+0011000101110010
+0100000010110010
+0000000001110000
+0010000000000000
+0001001010110000
+0011001000101110
+0100111110000010
+0010001000000010
+0100000010110010
+0000000010000100
+0010001000000000
+1101001000110010
+0100000000111111
+0011000110010000
+0001001010110000
+0011001011101010
+0100000010110010
+0000101000000000
+0001110000000010
+0100000010110010
+0000000011000100
+0001110000000000
+0100000010110010
+1100001101010000
+0001110000001010
+0100001110000010
+0001110000000100
+1101000000110010
+0000000000011000
+0101001100000100
+0011111111111100
+0100000000110000
+0011000111010010
+1101001000110010
+1011001110100010
+0010001000000000
+0010001111111100
+0100000100110000
+0001001000001011
+0001001000001010
+0100001110000010
+0001110000000000
+0100001110000010
+0001110000000100
+0100001110000010
+0001110000000010
+1011000010110010
+0000000000100000
+0001101000000000
+0010001111111100
+0100000010110010
+0000000001000000
+0001110000000000
+1011000010110010
+0000000000100000
+0001101000000000
+0010011111111100
+1011000010110010
+0000000000100000
+0001101000000000
+0010001111111100
+1011000010110010
+0000000000100000
+0001101000000000
+0010011111111100
+0100001000011101
+0001110000000100
+0100001100001110
+1011001010110010
+0001110000000010
+0010010000000010
+0101001100001101
+0110001100011110
+0100111000001111
+0100110100001110
+0101000000111110
+0000000001001011
+0110001100001111
+1100001100010010
+0001000000001111
+0001000000001110
+0001000100001111
+0001000000001110
+0001000100001111
+0001000000001110
+0001000100001111
+0001000000001110
+0100111000001100
+0100000000111010
+0000000000001001
+0001001010110000
+0011111110100110
+0100110000001111
+0101001100111111
+0100001110000010
+0001110000000000
+0100000100111010
+0100000100111011
+0100000100110000
+0100111101001101
+0100001000011110
+0100010001110000
+0101001100011110
+0100111000001100
+1111001101111100
+0101001100111110
+1101001000110010
+1001110010000010
+0100010001111000
+0010011111111100
+1011001110100010
+0010001000000000
+0010000000001110
+0100110101001111
+0100111110000010
+0010001000001000
+1101001110100010
+0010001000000000
+1001000001111101
+0000000000001010
+0010010000000001
+0100000100110000
+0100000001111111
+0000000000001101
+0001001010110000
+0011001010101000
+0011111111111010
+0100110111001110
+0100010001111010
+0100110010000010
+0100010001110000
+0011111111110010
+0001001000001011
+0100111100001011
+1001001111001111
+0000000000000000
+0010010000000111
+0100101101101111
+0101001100011011
+0001001010110000
+0011001010101000
+1001001111001011
+0000000000000000
+0010001111111001
+0100000100111011
+0100000100110000
+0110111001001001
+0110111101100011
+0111000001101101
+0110010101101100
+0110010101110100
+0110100000100000
+0111100001100101
+0110011000100000
+0110110001101001
+0000000001100101
+0110111101001110
+0010110101101110
+0110010101101000
+0010000001111000
+0110100001100011
+0111001001100001
+0110001101100001
+0110010101110100
+0010000001110010
+0110111101100110
+0110111001110101
+0010000001100100
+0110111001101001
+0110011000100000
+0110110001101001
+0000000001100101
+0110010101001000
+0010000001111000
+0110111101100011
+0110010101100100
+0110110000100000
+0110111001100101
+0111010001100111
+0010000001101000
+0111001001100101
+0110111101110010
+0000000001110010
+0110010101001000
+0010000001111000
+0110100001100011
+0110001101100101
+0111001101101011
+0110110101110101
+0110010100100000
+0111001001110010
+0111001001101111
+0100011000000000
+0110000101101100
+0110100001110011
+0111011100100000
+0110100101110010
+0110100101110100
+0110011101101110
+0111000000100000
+0110111101110010
+0110110001100010
+0110110101100101
+0101010000000000
+0110000101110010
+0111001101101110
+0110010101100110
+0010000001110010
+0110000101100011
+0110001101101110
+0110110001100101
+0110010101101100
+0000000001100100
+0011001100000110
+0000000000000000
+0011001100011010
+0011001100111010
+0011001101010000
+0011001101100011
+0011001101111001
+0100010100001010
+0111001001110010
+0111001001101111
+0010000000111010
+0000101000000000
+0110010101001000
+0010000001111000
+0110100101100110
+0110010101101100
+0110110000100000
+0110111001101001
+0010000001100101
+0111010101101110
+0011101001101101
+0000000000100000
+0011111000001010
+0000101000000000
+0110111101000011
+0111000001101101
+0110010101101100
+0110010101110100
+0010000000111010
+0110001000000000
+0111010001111001
+0111001101100101
+0111000000100000
+0110111101110010
+0111001001100111
+0110110101100001
+0110010101101101
+0010111001100100
+0000000000000000
+0001001000001111
+0001001000001110
+0001001000001101
+0001001000001100
+0100001000011111
+0001110000000010
+0100001000011111
+0100010001110110
+1001001100011111
+0010010001000101
+1001001100011111
+0010100000110110
+1001001100101111
+0010000001000111
+1100001010100010
+0001110000000000
+1001001110010010
+0100010101111010
+0010010000100010
+0100000000111111
+0011001110011010
+0001001010110000
+0011001011101010
+0100001000011111
+0100010101111010
+0101111100001111
+0100111100011111
+0011001110001100
+0001001010110000
+0011001011101010
+0100000000111111
+0011001110100011
+0001001010110000
+0011001011101010
+0100001000011111
+0100000000000010
+0001001010110000
+0011111001010000
+0100000000111111
+0011001110111000
+0001001010110000
+0011001011101010
+0001001010110000
+0011001000100100
+0001001010110000
+0011000101110110
+0100001110010010
+0001110000000100
+0100001000011111
+0001110000000010
+1101001010100010
+0001110000000000
+0011110000100000
+0100000000111111
+0011001110111011
+0001001010110000
+0011001011101010
+0100001000011111
+0100000000001000
+0001001010110000
+0011111001010000
+0100000000111111
+0011001111000111
+0001001010110000
+0011001011101010
+0011111111100100
+0101001110010010
+0100101010011000
+1001000010110010
+0000000000000011
+0100101010011000
+0010000000001101
+0100000001111111
+0000000001000011
+0001001010110000
+0011001010101000
+0100001110000010
+0100101010011000
+0011110000000110
+0100001110000010
+0100010001110010
+0100000001111111
+0000000000010101
+0001001010110000
+0011001010101000
+0100000100111100
+0100000100111101
+0100000100111110
+0100000100111111
+0001001100000000
+0001001000001111
+0001001000001110
+0001001000001101
+0001001000001100
+1011001010100010
+0010001000000100
+0010010000000110
+0100001000011111
+0010001000000110
+1101001000110010
+0001001010110000
+0011010111101010
+0011110000010011
+0100001000011111
+0100010001111000
+1001111110000010
+0100010001110000
+0010010000001100
+0100001000011111
+0100010001111000
+0101000000111111
+0100010001111010
+0100111101101111
+0100111110000010
+0010001000001000
+0101001110010010
+0100010001111000
+0100001111000010
+0100010001111001
+0011110000000010
+1100001110100010
+0010001000000000
+0100000100111100
+0100000100111101
+0100000100111110
+0100000100111111
+0001001100000000
+1001001111001111
+0000000000000000
+0010010000001100
+0100111101101110
+1001000001111110
+0000000001100001
+0010100000000100
+0101000001111110
+1111111111100000
+0100111011001111
+0000000000000000
+0101001100011111
+1001001111001111
+0000000000000000
+0010001111110100
+0100000100110000
+1001001111001111
+0000000000000000
+0010010000001110
+1001001111001110
+0000000000000000
+0010010000001011
+1001111111101110
+0000000000000000
+0010000000001010
+0101001100011111
+0101001100011110
+1001001111001111
+0000000000000000
+0010010000000011
+1001001111001110
+0000000000000000
+0010001111110101
+0100001100001111
+0100000100110000
+0100001100011111
+0100000100110000
+0101001001000101
+0101001101000001
+0000000001000101
+0100010101010011
+0101010001000011
+0101001001001111
+0100010100100000
+0100000101010010
+0100010101010011
+0000000000100000
+0101001001010111
+0101010001001001
+0010000001000101
+0101001000000000
+0100000101000101
+0010000001000100
+0100100100000000
+0000000001000100
+0101010001010011
+0101001001000001
+0000000001010100
+0100010101010010
+0100010101010011
+0000000001010100
+0100010101010010
+0100001000101101
+0101010101000001
+0000000001000100
+0101001001000110
+0100000101000101
+0010000001000100
+0000000000000000
+0011010100100010
+0011010100101000
+0011010100110110
+0011010100111101
+0011010101000011
+0011010101000110
+0011010101001100
+0011010101010010
+0011010101011010
+0001001000001011
+0001001000001010
+0100111100001010
+0100001100001011
+0100101100001111
+0101111100001111
+0100111100011110
+0011010101100010
+0100101000001111
+0001001010110000
+0011010011111000
+1001001100001111
+0010010000000110
+0101001100011011
+1001000000111011
+0000000000001001
+0010101111110011
+0100001100001111
+0011110000000010
+0100101100001111
+0101001100011111
+0100000100111010
+0100000100111011
+0100000100110000
+0100010100001010
+0110000101110010
+0110010101110011
+0100001100100000
+0110110101101111
+0110110001110000
+0111010001100101
+0000000001100101
+0110010101010011
+0110010001101110
+0101001100100000
+0110000101110000
+0110010101100011
+0010000000000000
+0000000000100000
+0111010001010011
+0111001001100001
+0110100101110100
+0110011101101110
+0101010100100000
+0110010101110011
+0010000001110010
+0111001001010000
+0110011101101111
+0110000101110010
+0010111001101101
+0010111000101110
+0000000000001010
+0110010101010010
+0110010101110011
+0111010001110100
+0110111001101001
+0010111001100111
+0010111000101110
+0000000000001010
+0001001000001011
+0001001000001010
+0001001000001001
+0001001000001000
+0100111101001011
+0100001110000010
+0001110000000100
+1001001110000010
+0100000000001010
+0010000010100110
+0100001000011110
+0100010001110010
+1001001100001110
+0010000000110110
+0100001110010010
+0100010001110110
+1001001101011111
+0010010010011010
+1001001101101111
+0010010000101101
+1001001001101111
+0010010000100110
+1001000001111111
+0000000000011000
+0010010000011100
+1001000001111111
+0000000000101110
+0010010000001110
+1001000001111111
+0000000000001010
+0010010000001001
+1001000001111111
+0000000000001101
+0010010000000110
+0100000001111111
+0000000000010101
+0001001010110000
+0011001010101000
+0100000000110000
+0011101000100000
+0100101101001111
+0011111111111010
+0100000001111111
+0000000000101110
+0001001010110000
+0011001010101000
+0100001110010010
+0100000000001010
+0100000010110010
+0000000000000011
+0100010001110110
+0100000000110000
+0011101000100000
+0100000010110010
+0000000000000110
+0100010101111010
+0100001110100010
+0100010001110110
+0100000000110000
+0011101000100000
+0100000001111111
+0000000000000110
+0001001010110000
+0011001010101000
+0011111111110111
+0100000010110010
+0000010000000100
+0100000000000100
+1001001000011110
+0100000000000100
+0010010000010101
+0100001000011111
+0100000000000000
+0101111100001111
+0100111100011111
+0011000101110010
+0101111000001111
+0100101111001111
+0000000000000000
+0101001110010010
+0100010001110010
+0100001000011111
+0100010001110010
+1001001000101111
+0010100111000110
+1001001000011111
+0100000000000100
+0010110111000011
+0100101101001111
+0001001010110000
+0011101000101010
+0011110110111111
+0100001000011111
+0100000000000000
+0101111100001111
+0100111100011111
+0011000101110010
+0101111000001111
+0100101111001111
+0000000000000000
+0100001000011111
+0100000000000000
+0101111100001111
+0100111100011111
+0011000101110010
+0100111101011101
+0000000000000001
+0100001000011110
+0100101010010110
+1001111000001101
+0010010000010111
+1001111000001101
+0010100000010010
+0101001110010010
+0100101110011100
+1001000010110010
+0000000000000101
+0100101110011100
+0010100000001001
+0100000001111111
+0000000000011000
+0001001010110000
+0011001010101000
+0100001110000010
+0100000001010010
+0100001110000010
+0100010001110010
+0011110110011011
+0100000001111111
+0000000000010101
+0011111111110110
+0100000001111111
+0000000000000110
+0011111110011110
+0100001000011101
+0100000001010010
+0100001000011110
+0100010001110010
+0001001010110000
+0011101001011000
+1001001100001111
+0010011111110010
+0100001000011011
+0100000000000000
+0100001000011010
+0100010001110010
+0100101100001111
+1110001100011111
+0100111110000010
+0100000000000000
+0100001000011111
+0100101010010110
+0101001100011111
+0100111111000010
+0100101010010110
+0100001111000010
+0100101010010111
+0100000001111111
+0000000000000110
+0001001010110000
+0011001010101000
+0100001110000010
+0100000001010010
+0100001110000010
+0100010001110010
+0101101100001011
+0100101000001110
+0100101100011111
+0011000101110010
+0001001010110000
+0011101001110010
+0011111111001111
+0100000010110010
+0000000010000100
+0100000000000100
+0011111110010100
+0100000000111010
+0100011001111100
+0100001000011111
+0100010001110010
+0101101000001111
+0100101111001111
+0000000000000000
+0101001110010010
+0100010001110010
+0100101101001111
+0001001010110000
+0011001010101000
+1001001001111011
+0010010101010011
+1001000001111011
+0000000000001010
+0010010000000011
+1001000001111011
+0000000000001101
+0010000101010111
+0100000001111111
+0000000000001010
+0001001010110000
+0011001010101000
+0100001000011111
+0100010001110010
+0100001111001111
+0100011001111100
+0100101000001111
+0001001010110000
+0011010011011000
+0100101000001111
+0001001010110000
+0011010101110100
+1001000000111111
+0000000000001010
+0010110000011110
+0101111100001111
+0100111100010000
+0011011110011010
+0011011111100010
+0011011110101110
+0011011111101100
+0011011111111010
+0011100010010000
+0011100100000110
+0011100100100100
+0011100101001000
+0011100101100010
+0011100101101000
+0100001100001111
+0001001010110000
+0011111000000000
+0100001100011111
+0001001010110000
+0011111000000000
+0100001100101111
+0001001010110000
+0011111000000000
+0100000000111111
+0000000000000011
+0001001010110000
+0011111000000000
+0100000000111111
+0011010110100100
+0001001010110000
+0011001011101010
+0100000000111111
+0011001110111000
+0001001010110000
+0011001011101010
+0100001110000010
+0100010001110110
+0100001110000010
+0100000000001010
+0011111110000001
+0100000001111111
+0000000000111111
+0001001010110000
+0011001010101000
+0011111111110010
+0100001001011111
+0100011010001001
+1111000000111111
+0000000000001111
+0001001010110000
+0011111000000000
+0011111111101011
+0100001000101110
+0100000000111111
+0100011010000010
+0001001010110000
+0011111100011100
+1001001100001111
+0010001111101101
+0100001000101110
+0100000000111111
+0100011010000111
+0001001010110000
+0011111100011100
+1001001100001111
+0010001111100110
+0100001001011011
+0100011010000010
+0001000010001011
+0100001001011111
+0100011010000011
+1101111100001011
+1100001100011011
+0100001001011010
+0100011010000111
+0001000010001010
+0100001001011111
+0100011010001000
+1101111100001010
+1001001110000010
+0100010001110100
+0010000000100110
+0100101010001011
+0000000000000000
+0100000000111010
+0100011010001100
+0100001000101110
+0100101000001111
+0001001010110000
+0011111100011100
+1001001100001111
+0010000000001100
+0100101001101111
+0001000010001111
+0100101001011101
+0000000000000001
+0101000000111010
+0000000000000101
+0100101100001110
+0101001100101011
+1101110100001111
+0100111110001110
+0000000000000000
+0011111111101110
+1001001110000010
+0100010001110100
+0010011110110100
+0100001000011001
+0010001000000000
+0100001110000010
+0010001000000000
+0001001010110000
+0011001000101110
+0100111110000010
+0010001000000010
+0100100110000010
+0010001000000000
+0100001110000010
+0100010001110100
+0011111110100111
+0100000000111111
+0011010110110100
+0001001010110000
+0011001011101010
+0001001010110000
+0011001000100100
+0011111111010011
+0100001000101110
+0100000000111111
+0100011010000001
+0001001010110000
+0011111100011100
+1001001100001111
+0010001110100010
+0100001001011011
+0100011010000001
+0001000010001011
+0100001001011111
+0100011010000010
+1101111100001011
+1100001100011011
+0100001000101110
+0100000000111111
+0100011010000110
+0001001010110000
+0011111100011100
+1001001100001111
+0010000000100010
+0100001001011000
+0100011010000110
+0001000010001000
+0100001001011111
+0100011010000111
+1101111100001000
+1100001100010010
+0001000000001000
+0100001100001001
+1001100000001001
+0010111110000000
+1011000000111001
+0000000000000111
+0010000000001011
+0100000001111111
+0000000000001010
+0001001010110000
+0011001010101000
+0100101100001111
+0001001010110000
+0011111011111110
+0100000000111111
+0011010110111111
+0001001010110000
+0011001011101010
+0100101100001111
+0101001100101011
+0100111100101111
+0001001010110000
+0011111011111110
+0101001100011001
+1001100000001001
+0010101111101010
+0011111101101001
+0100101100101111
+0001001010110000
+0011111011111110
+0011111101100101
+0100001000011111
+0010011001000000
+0001001010110000
+0011111011111110
+0100001000011111
+0010011001000010
+0001001010110000
+0011111011111110
+0100001000011111
+0010011001000100
+0001001010110000
+0011111011111110
+0100001000011111
+0010011001000110
+0011111111101110
+0100000000111111
+0011010111000010
+0001001010110000
+0011001011101010
+0001001010110000
+0011001000100100
+1100001000110010
+0100001110000010
+0010001000000000
+0100000010110010
+0000001000000000
+0001110000000000
+0100000010110010
+0000000011110000
+0010000000000000
+0100000000110000
+0011000010101100
+0011111101000100
+0100000000111111
+0011010111011100
+0001001010110000
+0011001011101010
+0001001010110000
+0011001000100100
+1100001000110010
+0100001110000010
+0010001000000000
+1101000010110010
+0000000100000000
+0010011000000000
+0011111100110111
+0100001110010010
+0100010001110100
+0011111100110100
+0100000000111110
+0000000000000110
+0100000000111111
+0100011010000010
+0001001010110000
+0011111100011100
+1001001100001111
+0010001100110101
+0100001001011001
+0100011010000010
+0100001100001000
+0100001001011111
+0100011010000011
+0001000010001111
+0100111100001010
+0100001100001011
+1101100000001010
+1101100100001011
+0100001001011110
+0100011010000100
+0100001100001111
+1101111000001010
+1101111100001011
+0100001000101110
+0100000000111111
+0100011010001001
+0001001010110000
+0011111100011100
+1001001100001111
+0010000000110010
+0100001001011000
+0100011010001001
+0001000010001000
+0100001001011111
+0100011010001010
+1101111100001000
+0100100000001100
+0100000000111101
+0100101110011110
+0100101000001110
+0100101100001111
+0001001010110000
+0011101111011110
+0100001100001001
+1001100000001001
+0010111100000110
+1011000000111001
+0000000000001111
+0010000000010011
+0100000001111111
+0000000000001010
+0001001010110000
+0011001010101000
+0100101100001110
+0100001100001111
+0100111000001111
+0001001010110000
+0011111011100100
+0100101000001111
+0001001010110000
+0011111011111110
+0100000000111111
+0011010110111111
+0001001010110000
+0011001011101010
+0101000000111010
+0000000000010000
+0110001100001011
+0100100101011111
+0100101110011110
+0001001010110000
+0011111011100100
+0100000001111111
+0000000000100000
+0001001010110000
+0011001010101000
+0101001100011001
+1001100000001001
+0010101111011111
+0011111011100100
+0100001100011000
+0011111111010010
+1000001110100010
+0100010001110010
+0100000001111111
+0000000000100000
+0001001010110000
+0011001010101000
+0100001001111111
+0001001010110000
+0011001010101000
+0011111010100011
+0100000100111000
+0100000100111001
+0100000100111010
+0100000100111011
+0100000100110000
+1111001101111111
+0001000010001111
+1110001000011111
+0100000001010010
+0100111110000010
+0100000001010010
+0100000000111110
+0000000000000111
+1001001100001111
+0011010000001000
+0101111100001111
+1110000000111111
+0001000000100001
+0101001100111110
+1011000000111110
+1000000000000000
+0011011111110111
+0011110000000010
+0101111100001111
+0011111111111001
+0100111110000010
+0100000001010010
+0100000100110000
+0100111100001100
+0101111000001100
+0100110001011111
+1111111111111111
+0001000010001111
+0100110001101110
+0101111000001111
+1001111100001101
+0010010000000010
+0100001100001111
+0100000100110000
+0100001100011111
+0100000100110000
+0001001000001011
+0001001000001010
+0001001000001001
+0001001000001000
+0001001000000111
+0100111100000111
+0100111000001010
+1001001110000010
+0100000000010000
+0010010000000101
+0100001110000010
+0100000000010000
+0100000010110010
+0000000000000101
+0100010101111010
+1001001110000010
+0100010101111010
+0010000010010001
+0100001110010010
+0100000000010000
+0101001100111010
+0100000000111000
+0000000000000011
+1001101000001000
+0010110000011000
+0100011100001111
+0101100000001111
+0100111101101110
+1001000001111110
+0000000000001010
+0010010000010101
+1001000001111110
+0000000000001101
+0010010000010010
+1001000001111110
+0000000000111010
+0010010000000110
+0100001000011111
+0100000000001110
+0100111011001111
+0100000000010010
+0101001110010010
+0100000000001110
+1001001110000010
+0100010001101110
+0010000000000011
+0101001100011000
+1001101000001000
+0010101111101000
+0100001110000010
+0100000000010000
+0011110001101111
+0100001000011110
+0100000000001110
+1001001100001110
+0010011111110011
+0101001110010010
+0100000000000010
+1001001110000010
+0100010101111010
+0010001111110100
+0100000000111111
+0100000000010010
+0001001010110000
+0011111100011100
+1001001100001111
+0010010000000101
+0100001110100010
+0100010101111010
+0100001110000010
+0100000000001110
+0011111111100011
+0100001001011001
+0100000000010010
+0100100101001110
+0100001000011101
+0100000000001110
+0101000000111101
+1111111111110110
+0100110100001111
+1100001100010010
+0001000000001111
+0101000000111101
+0000000000001010
+1001111100001110
+0010010000000100
+0100000010110010
+0000000000000011
+0100010101111010
+0011111111101011
+0100001100001011
+0100001100001100
+0100110100001110
+0101001100111110
+0100111000001111
+1100001100010010
+0001000000001111
+1001111100001100
+0010110000001011
+0100000000111101
+0100000000010010
+0100110101101111
+0101001100011101
+0101111100001011
+0101001100011100
+0100111000001111
+1100001100010010
+0001000000001111
+1001111100001100
+0010101111110111
+0100101100001110
+1111001101111110
+0100110001011111
+0100000000010010
+0101001100111111
+1110001100111111
+1111001101111111
+1001111100001110
+0010010000000011
+0100001010100010
+0100010101111010
+0011111111001011
+0100001001011111
+0100000000010101
+1001001101101111
+0010110000001011
+1001001101011111
+0010010000000110
+0100100101001111
+0101111110000010
+0100000000001000
+0001001010110000
+0011110101000000
+0011111110111111
+0100001110010010
+0100010001101110
+0011111111111010
+1001001101101111
+0010010000001000
+1001001001101111
+0010001110111000
+0100001001011111
+0100000000010111
+0001000010001111
+0100111110000010
+0100000000001100
+0011111110110010
+0100001001011111
+0100000000010110
+0101111100001111
+0101111100001111
+0101111100001111
+0101111100001111
+0100001001011110
+0100000000010111
+1100001100010010
+0001000001001110
+0001000101001110
+0001000101001110
+0001000101001110
+1111001101111110
+0101111000001111
+0011111111101101
+0100000100110111
+0100000100111000
+0100000100111001
+0100000100111010
+0100000100111011
+0100000100110000
+0100111100001110
+1011001110010010
+0010000000000110
+0010011111111101
+0100111010000010
+0010000000000100
+0100000100110000
+0001001010110000
+0011101111000100
+1011001110100010
+0010000000000110
+0010011111111101
+0100000100110000
+0001001000001011
+0001001000001010
+0001001000001001
+0001001000001000
+0100111000001010
+0100111100001011
+0100110100001000
+0100110000001001
+1100001110010010
+0001101000001000
+0100000000111111
+0000001100000000
+0001001010110000
+0011101111000100
+0100101000001110
+0100101100001111
+0001000010001110
+0001000010001111
+1110111101001110
+1110111100001110
+1111001101111111
+0100111000001111
+0001001010110000
+0011101111000100
+0100101000001111
+0001001010110000
+0011101111000100
+0100101000001111
+1111001101111111
+0001000010001111
+0001001010110000
+0011101111000100
+0100001100001111
+0001001010110000
+0011101111000100
+0100001100001111
+0001001010110000
+0011101111000100
+0100001000011111
+0010000000000010
+0100111111001000
+0000000000000000
+0101001100011000
+0101001100111001
+0010001111110110
+1011001110100010
+0010000000000110
+0010011111111101
+1101001110010010
+0001101000001000
+0100000100111000
+0100000100111001
+0100000100111010
+0100000100111011
+0100000100110000
+1100001110010010
+0001101000001000
+0100000000111111
+0000010100000000
+0001001010110000
+0011101111000100
+0100001100001111
+0001001010110000
+0011101111010010
+1101001110010010
+0001101000001000
+1011001110010010
+0010000000000010
+0010001111110010
+0100000100110000
+0001001010110000
+0011110001001100
+1100001110010010
+0001101000001000
+0100000000111111
+0000011000000000
+0001001010110000
+0011101111010010
+1101001110010010
+0001101000001000
+0100000100110000
+0001001000001011
+0001001000001010
+0001001000001001
+1000001000100001
+0100000100001110
+0100000100001111
+0101001100101111
+0001001010110000
+0011110011111100
+0100111100001001
+1001000110100001
+0000000000000010
+0010010000101100
+0001001010110000
+0011110001101010
+1100001110010010
+0001101000001000
+0100000000111111
+0000101000000000
+0001001010110000
+0011101111000100
+0100001000011111
+0100000000000110
+0001001010110000
+0011101111000100
+0100001001011111
+0100000000000110
+0001000010001111
+0001001010110000
+0011101111000100
+0100000101011111
+0000000000000010
+0001000010001111
+0001001010110000
+0011101111000100
+0100000100011011
+0000000000000010
+1001000100101011
+0011010000001011
+0100101100001010
+0101000000111010
+0100010101111100
+0100101001101111
+0101001100011010
+0001000010001111
+0001001010110000
+0011101111000100
+0101001100011011
+1001000100101011
+0011101111111000
+1011001110100010
+0010000000000110
+0010011111111101
+1101001110010010
+0001101000001000
+1001001100001001
+0010011111001011
+0101001000100001
+0100000100111001
+0100000100111010
+0100000100111011
+0100000100110000
+0100001100001101
+1001001111001101
+0100101010011010
+0010000000000100
+0101001100011101
+1001000000111101
+0000000100000000
+0011101111111001
+0100110110001111
+0000000000000000
+1001000000111101
+0000000100000000
+0011010000001100
+0100110100001111
+0101000000111111
+0100101010011010
+1001001111001111
+0000000000000000
+0010010000000110
+0100001111001111
+0000000000000000
+0101001100011101
+1001000000111101
+0000000100000000
+0011101111110100
+0100110110001110
+0000000000000000
+1001000000111101
+0000000100000000
+0010010000000010
+0100001100001111
+0100000100110000
+0100001100011111
+0100000100110000
+0001001000001011
+1001001110010010
+0100101110011010
+0010010001000000
+1001001110010010
+0100010001101110
+0010010000110110
+0100001001011111
+0100000000010011
+0101001000011111
+0100000000001100
+1001111110000010
+0100000000000110
+0010010000001010
+0001001010110000
+0011110010000000
+0001001010110000
+0011110111011110
+0100001001011111
+0100000000010011
+0101001000011111
+0100000000001100
+0100111110000010
+0100000000000110
+0100001001011110
+0100000000010100
+0100001000101011
+0100000000111101
+0100000000010010
+0100001001011111
+0100000000010010
+0101001000101111
+1001111100001011
+0011010000101011
+0100101100001111
+0101110100001111
+0100111111101110
+0100010101111100
+0100001111011110
+0100101010011010
+1001000000111110
+0000000011111111
+0010010000001010
+0101001100011110
+0101001100011011
+0100000000111101
+0100000000010010
+0100001001011111
+0100000000010010
+0101001000101111
+1001111100001011
+0011101111101110
+0011110000011000
+0001001010110000
+0011110010000000
+0001001010110000
+0011110111011110
+0100001100111110
+0101001110010010
+0100000000000110
+0011111111101110
+0001001010110000
+0011110010000000
+0001001010110000
+0011110111011110
+0100001110010010
+0100010101111010
+0011110000001001
+0100001001011111
+0100000000010011
+0101001000011111
+0100000000001100
+0100111110000010
+0100000000000110
+0100001110000010
+0100101110011010
+0011111110110111
+0100000100111011
+0100000100110000
+0100001100001111
+0100001111001111
+0100101010011010
+0101001100011111
+1001000000111111
+0000000100000000
+0011101111111010
+0100000100110000
+0101001100001010
+0110001101100101
+0110111101110100
+0010000001110010
+0010000000000000
+0111001001000101
+0111001101100001
+0110010001100101
+0000000000000000
+0001001000001011
+0100111100001011
+0001001010110000
+0011110001101010
+1100001110010010
+0001101000001000
+0100000000111111
+1101100000000000
+0001001010110000
+0011101111000100
+0100101100001111
+1111001101111111
+0001000010001111
+0001001010110000
+0011101111000100
+0100001100001111
+0001001010110000
+0011101111000100
+0100001100001111
+0001001010110000
+0011101111010010
+1101001110010010
+0001101000001000
+0001001010110000
+0011110001001100
+0100000000111111
+0011110111101110
+0001001010110000
+0011001011101010
+0100101101001111
+0101000001111111
+0000000000110000
+0001001010110000
+0011001010101000
+0100000000111111
+0011110111110111
+0001001010110000
+0011001011101010
+0100000100111011
+0100000100110000
+0001001000001011
+0001001000001010
+0001001000001001
+0001001000001000
+0001001000000111
+0001001000000110
+1000001000110001
+0100111100000111
+0100111100001000
+1001001100001111
+0011100000100100
+0100000011110001
+0000000000100000
+0000000000000111
+0100001111000001
+0000000000001000
+0100000000110110
+0000000000000110
+0100100000001100
+0100000000111010
+0000000000001010
+0001001010110000
+0011111111000010
+0100111000001001
+0100100000001100
+0100000000111010
+0000000000001010
+0001001010110000
+0011111111000010
+0100110000001000
+0100011000001111
+0101000100001111
+0101000001111001
+0000000000110000
+0100100111001111
+0000000000000000
+0101001100110110
+1001001100001100
+0010001111101011
+0101001100010110
+1001001100000111
+0011010000001000
+0100000001111111
+0000000000101101
+0001001010110000
+0011001010101000
+0011110000000011
+1110001100111000
+0101001100011000
+0011111111011001
+0100000100001111
+0101011000001111
+0001001010110000
+0011001011101010
+0101001000110001
+0100000100110110
+0100000100110111
+0100000100111000
+0100000100111001
+0100000100111010
+0100000100111011
+0100000100110000
+1111000000111111
+0000000000001111
+1001000000111111
+0000000000001010
+0011100000000010
+0101000000111111
+0000000000000111
+0101000000111111
+0000000000110000
+0001001010110000
+0011001010101000
+0100000100110000
+0001001000001011
+0100111100001011
+0001000100001111
+0001000100001111
+0001000100001111
+0001000100001111
+0001001010110000
+0011111011001100
+0100101100001111
+0001001010110000
+0011111011001100
+0100000100111011
+0100000100110000
+0001001000001011
+0100111100001011
+0001000010001111
+0001000110001111
+0001001010110000
+0011111011100100
+0100101100001111
+0001001010110000
+0011111011100100
+0100000001111111
+0000000000100000
+0001001010110000
+0011001010101000
+0100000100111011
+0100000100110000
+0001001000001011
+0001001000001010
+0100111100001011
+0100111000001010
+0100001100001100
+1001111000001100
+0010110000010111
+0100101100001111
+0101110000001111
+0100111101101110
+0100111001001111
+0101000001111111
+1111111111010000
+1001000001111111
+0000000000001010
+0010110000011101
+0100111000001101
+1111000000111101
+0000000000001111
+1011001100011100
+0010010000001011
+0100110000001111
+1100001100010010
+0001000000001111
+0101101100001111
+1101110111001111
+0000000000000000
+0101001100011100
+1001101000001100
+0010101111101001
+0100001100001111
+0011110000100010
+0100110000001111
+1100001100010010
+0001000000001111
+0100101100001110
+0101111100001110
+0100110101001111
+0101111101001111
+0101111101001111
+0101111101001111
+0101111101001111
+0100111111001110
+0000000000000000
+0011111111101110
+0100111001001111
+0101000001111111
+1111111110111111
+1001000001111111
+0000000000000110
+0010110000000100
+0100111001001101
+0101000000111101
+1111111111001001
+0011111111011100
+0100111001001111
+0101000001111111
+1111111110011111
+1001000001111111
+0000000000000110
+0010110000000100
+0100111001001101
+0101000000111101
+1111111110101001
+0011111111010010
+0100001100011111
+0100000100111010
+0100000100111011
+0100000100110000
+1110111000001110
+0100000000111011
+0000000000010001
+0011110000000101
+0001000000001101
+0110111000001110
+1001101000001110
+0010100000000001
+1000101000001110
+0110110000001100
+0110110100001101
+1000001100011011
+0010001111110111
+0100000100110000
+0100001100001101
+1011000000111100
+1000000000000000
+0010100000000011
+1110001100111100
+0101001100011100
+1101001000101101
+1011000000111010
+1000000000000000
+0010100000000011
+1110001100111010
+0101001100011010
+1101001000111101
+0001001010110000
+0011111110100110
+0001000000001101
+1011001000101101
+0010100000000100
+1110001100111110
+0101001100011110
+1110001100111100
+0101001100011100
+1011001000111101
+0010100000000010
+1110001100111100
+0101001100011100
+0100000100110000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000