From: rickb44 Date: Thu, 28 Jan 2016 23:27:53 +0000 (+0000) Subject: Progress - Latest PCB block layouts. Plocks are identified and are moveable. Present... X-Git-Url: http://git.whiteaudio.com/gitweb/?a=commitdiff_plain;h=0d5a6c83888f1f7a92971de7b1d88cc6d1d5610d;p=freetel-svn-tracking.git Progress - Latest PCB block layouts. Plocks are identified and are moveable. Present loations are placeholders. Added netlist(NET-SM2000.txt),PDF schematic(SCH_SM2000.pdf) BOM PDF(BOM-SM2000.txt) and PDF of board file(BRD-SM2000.pdf). RGB git-svn-id: https://svn.code.sf.net/p/freetel/code@2667 01035d8c-6547-0410-b346-abe4f91aad63 --- diff --git a/sm2000/CAD/BOM-SM2000.txt b/sm2000/CAD/BOM-SM2000.txt new file mode 100644 index 00000000..beb854ab --- /dev/null +++ b/sm2000/CAD/BOM-SM2000.txt @@ -0,0 +1,412 @@ +Bill of Materials from SM2000.sch, 407 parts, grouped by values, as of 1/28/2016 6:16:19 PM + +Part Value Device Package +C1 100nF,25V CAP-0805 C0805 +C3 100nF,25V CAP-0805 C0805 +C4 100nF,25V CAP-0805 C0805 +C8 100nF,25V CAP-0805 C0805 +C11 100nF,25V CAP-0805 C0805 +C12 100nF,25V CAP-0805 C0805 +C14 100nF,25V CAP-0805 C0805 +C20 100nF,25V CAP-0805 C0805 +C24 100nF,25V CAP-0805 C0805 +C30 100nF,25V CAP-0805 C0805 +C33 100nF,25V CAP-0805 C0805 +C35 100nF,25V CAP-0805 C0805 +C37 100nF,25V CAP-0805 C0805 +C41 100nF,25V CAP-0805 C0805 +C45 100nF,25V CAP-0805 C0805 +C48 100nF,25V CAP-0805 C0805 +C49 100nF,25V CAP-0805 C0805 +C56 100nF,25V CAP-0805 C0805 +C57 100nF,25V CAP-0805 C0805 +C58 100nF,25V CAP-0805 C0805 +C59 100nF,25V CAP-0805 C0805 +C60 100nF,25V CAP-0805 C0805 +C61 100nF,25V CAP-0805 C0805 +C63 100nF,25V CAP-0805 C0805 +C65 100nF,25V CAP-0805 C0805 +C68 100nF,25V CAP-0805 C0805 +C70 100nF,25V CAP-0805 C0805 +C71 100nF,25V CAP-0805 C0805 +C137 100nF,25V CAP-0805 C0805 +C138 100nF,25V CAP-0805 C0805 +C2 10nF,25V CAP-0805 C0805 +C5 10nF,25V CAP-0805 C0805 +C9 10nF,25V CAP-0805 C0805 +C15 10nF,25V CAP-0805 C0805 +C25 10nF,25V CAP-0805 C0805 +C6 1uF,25V CAP-0805 C0805 +C7 1uF,25V CAP-0805 C0805 +C77 1uF,25V CAP-0805 C0805 +C10 1nF,25V CAP-0805 C0805 +C13 1nF,25V CAP-0805 C0805 +C27 1nF,25V CAP-0805 C0805 +C40 1nF,25V CAP-0805 C0805 +C72 1nF,25V CAP-0805 C0805 +C73 1nF,25V CAP-0805 C0805 +C74 1nF,25V CAP-0805 C0805 +C75 1nF,25V CAP-0805 C0805 +C76 1nF,25V CAP-0805 C0805 +C78 1nF,25V CAP-0805 C0805 +C81 1nF,25V CAP-0805 C0805 +C91 1nF,25V CAP-0805 C0805 +C96 1nF,25V CAP-0805 C0805 +C97 1nF,25V CAP-0805 C0805 +C98 1nF,25V CAP-0805 C0805 +C100 1nF,25V CAP-0805 C0805 +C102 1nF,25V CAP-0805 C0805 +C103 1nF,25V CAP-0805 C0805 +C106 1nF,25V CAP-0805 C0805 +C131 1nF,25V CAP-0805 C0805 +C132 1nF,25V CAP-0805 C0805 +C135 1nF,25V CAP-0805 C0805 +C16 1uF,16V CAP-0805 C0805 +C17 2.2uF,25V CAP-0805 C0805 +C18 2.2uF,25V CAP-0805 C0805 +C38 2.2uF,25V CAP-0805 C0805 +C19 20pF,50V CAP-0805 C0805 +C21 20pF,50V CAP-0805 C0805 +C51 20pF,50V CAP-0805 C0805 +C22 4.7uF,25V CAP-0805 C0805 +C23 .33uF,25V CAP-0805 C0805 +C26 22uF,25V CAP-1210 C1210 +C29 22uF,25V CAP-1210 C1210 +C36 22uF,25V CAP-1210 C1210 +C42 22uF,25V CAP-1210 C1210 +C43 22uF,25V CAP-1210 C1210 +C44 22uF,25V CAP-1210 C1210 +C28 220nF,25V CAP-0805 C0805 +C31 100uF,6.3V,EL NICHICON-WX-6.3 NICHICON-WX-6.3 +C32 100uF,6.3V,EL NICHICON-WX-6.3 NICHICON-WX-6.3 +C34 220uF,25V CAP-CHEMI-MVE-HA0 CAP-CHEMI-HA0 +C52 220uF,25V CAP-CHEMI-MVE-HA0 CAP-CHEMI-HA0 +C55 220uF,25V CAP-CHEMI-MVE-HA0 CAP-CHEMI-HA0 +C39 100pF,50V CAP-0805 C0805 +C46 470nF,25V CAP-0805 C0805 +C47 8.2nF,25V CAP-0805 C0805 +C50 3.9nF,25V CAP-0805 C0805 +C53 47nF,25V CAP-0805 C0805 +C54 22uF,25V,1210 CAPACITOR_1210 CAPC3225X168N +C62 3.3pF,50V CAP-0805 C0805 +C69 3.3pF,50V CAP-0805 C0805 +C80 3.3pF,50V CAP-0805 C0805 +C89 3.3pF,50V CAP-0805 C0805 +C64 12pF.50V CAP-0805 C0805 +C66 0.5pF,50V CAP-0805 C0805 +C85 0.5pF,50V CAP-0805 C0805 +C67 12pF,50V CAP-0805 C0805 +C82 12pF,50V CAP-0805 C0805 +C86 12pF,50V CAP-0805 C0805 +C79 22pF,50V CAP-0805 C0805 +C92 22pF,50V CAP-0805 C0805 +C93 22pF,50V CAP-0805 C0805 +C95 22pF,50V CAP-0805 C0805 +C83 2.2pF,50V CAP-0805 C0805 +C88 2.2pF,50V CAP-0805 C0805 +C84 0.1uF.25V CAP-0805 C0805 +C90 0.1uF.25V CAP-0805 C0805 +C94 0.1uF.25V CAP-0805 C0805 +C104 0.1uF.25V CAP-0805 C0805 +C108 0.1uF.25V CAP-0805 C0805 +C125 0.1uF.25V CAP-0805 C0805 +C87 5.6pF,50V CAP-0805 C0805 +C115 5.6pF,50V CAP-0805 C0805 +C99 1nF,25V,DNL CAP-0805 C0805 +C101 1pF,50V CAP-0805 C0805 +C105 33pF,50V CAP-0805 C0805 +C110 33pF,50V CAP-0805 C0805 +C107 47pF,50V CAP-0805 C0805 +C109 100uF,25V,EL CAP-CHEMI-F80 CAP-CHEMI-F80 +C111 0.1uF,25V CAP-0805 C0805 +C113 0.1uF,25V CAP-0805 C0805 +C114 0.1uF,25V CAP-0805 C0805 +C117 0.1uF,25V CAP-0805 C0805 +C118 0.1uF,25V CAP-0805 C0805 +C119 0.1uF,25V CAP-0805 C0805 +C120 0.1uF,25V CAP-0805 C0805 +C121 0.1uF,25V CAP-0805 C0805 +C127 0.1uF,25V CAP-0805 C0805 +C133 0.1uF,25V CAP-0805 C0805 +C134 0.1uF,25V CAP-0805 C0805 +C112 39pF,50V CAP-0805 C0805 +C116 2-12pF C-TRIMM3008 3008 +C122 10uF,25V,EL CAP-10UF-WJ5 NICHICON-WJ-5 +C126 10uF,25V,EL CAP-10UF-WJ5 NICHICON-WJ-5 +C129 10uF,25V,EL CAP-10UF-WJ5 NICHICON-WJ-5 +C130 10uF,25V,EL CAP-10UF-WJ5 NICHICON-WJ-5 +C136 10uF,25V,EL CAP-10UF-WJ5 NICHICON-WJ-5 +C123 27pF,50V CAP-0805 C0805 +C124 150pF,50V CAP-0805 C0805 +C128 150pF,50V CAP-0805 C0805 +CN1 HDR100-3 HDR100-3 HDR100-3 +CN3 HDR100-3 HDR100-3 HDR100-3 +CN2 ST-3509 ST-3509 ST-3509 +CN6 ST-3509 ST-3509 ST-3509 +CN7 ST-3509 ST-3509 ST-3509 +CN4 JS-MU3-002 JS-MU3-002 JI-MU3-002 +CN5 DS-0518 DS-0518 DS-0518 +D1 DNL PESD5ZX SOD523 +D2 DNL PESD5ZX SOD523 +D3 PTVS20VP1UP PTVS20VP1UP SOD128 +D4 BAT60J BAT60J SOD323R_INFINEON +D5 DNL PTVS20VP1UP SOD128 +D6 BAR64-02V BAR64-02V SC79_INFINEON +D7 BAR64-02V BAR64-02V SC79_INFINEON +D8 BAR64-02V BAR64-02V SC79_INFINEON +D9 BAR64-02V BAR64-02V SC79_INFINEON +D10 BAT18 BAT18 SOT23 +FB1 FB0805 FB0805 FE0805 +FB2 FB0805 FB0805 FE0805 +FB3 FB0805 FB0805 FE0805 +FB4 FB0805 FB0805 FE0805 +FB5 FB0805 FB0805 FE0805 +FB6 FB0805 FB0805 FE0805 +FB7 FB0805 FB0805 FE0805 +FB8 FB0805 FB0805 FE0805 +FB9 FB0805 FB0805 FE0805 +FB10 FB0805 FB0805 FE0805 +FB11 FB0805 FB0805 FE0805 +FB12 FB0805 FB0805 FE0805 +FB13 FB0805 FB0805 FE0805 +FB14 FB0805 FB0805 FE0805 +FB15 FB0805 FB0805 FE0805 +FB16 FB0805 FB0805 FE0805 +FB17 FB0805 FB0805 FE0805 +FB18 FB0805 FB0805 FE0805 +FB19 FB0805 FB0805 FE0805 +FB20 FB0805 FB0805 FE0805 +J1 JMP-2PIN JMP-2PIN JMPR-2PIN +J3 JMP-2PIN JMP-2PIN JMPR-2PIN +J5 JMP-2PIN JMP-2PIN JMPR-2PIN +J2 HDR100-7 HDR100-7 HDR100-7 +J4 CONN-BOOM-XH-2A CONN-BOOM-XH-2A CONN-BOOM-XH-2A +J6 JMPR-2X2 JMPR-2X2 JMPR-2X2X.1 +J7 JMPR-2X2 JMPR-2X2 JMPR-2X2X.1 +J8 JMPR-2X2 JMPR-2X2 JMPR-2X2X.1 +J9 JMPR-2X2 JMPR-2X2 JMPR-2X2X.1 +J10 JMPR-2X2 JMPR-2X2 JMPR-2X2X.1 +J11 JMPR-2X2 JMPR-2X2 JMPR-2X2X.1 +J12 JMPR-2X2 JMPR-2X2 JMPR-2X2X.1 +J13 JMPR-2X2 JMPR-2X2 JMPR-2X2X.1 +J14 JMPR-2X2 JMPR-2X2 JMPR-2X2X.1 +L1 4.2uH SRR5028 SRR5028 +L2 68nH L-ROWE_15X10 L10X15 +L3 68nH L-ROWE_15X10 L10X15 +L5 68nH L-ROWE_15X10 L10X15 +L8 68nH L-ROWE_15X10 L10X15 +L4 57nH L-ROWE_15X10 L10X15 +L14 57nH L-ROWE_15X10 L10X15 +L16 57nH L-ROWE_15X10 L10X15 +L6 168nH L-ROWE_15X10 L10X15 +L7 260nH L-US0204/5 0204/5 +L9 54nH L-ROWE_15X10 L10X15 +L10 53nH 3T 5mm ID L-ROWE_15X10 L10X15 +L11 220nH L-ROWE_15X10 L10X15 +L12 RFC RFC Z15/4 +L13 RFC RFC Z15/4 +L15 RFC RFC Z15/4 +L17 5.7uH L-TDK-MLF-2012 L-TDK-MLF2012 +L18 L-TDK-MLF-2012-9.2uH L-TDK-MLF-2012 L-TDK-MLF2012 +L19 5.2uH L-TDK-MLF-2012 L-TDK-MLF2012 +L20 15uH L-TDK-MLF-2012 L-TDK-MLF2012 +LED1 LTST-S220KGKT LTST-S220K LTST-S2200K +LED3 LTST-S220KGKT LTST-S220K LTST-S2200K +LED2 LTST-S220KRKT LTST-S220K LTST-S2200K +LED4 LTST-S220KRKT LTST-S220K LTST-S2200K +LED5 SML-LX0603GW SML-LX0603SRW SML-LX0603SRW +LED6 SML-LX0603GW SML-LX0603SRW SML-LX0603SRW +LED7 SML-LX0603GW SML-LX0603SRW SML-LX0603SRW +LED8 SML-LX0603GW SML-LX0603SRW SML-LX0603SRW +LED10 SML-LX0603GW SML-LX0603SRW SML-LX0603SRW +LED9 SML-LX0603SRW SML-LX0603SRW SML-LX0603SRW +LED11 SML-LX0603YW SML-LX0603SRW SML-LX0603SRW +MIC1 CMA-4544PF-W CMA-4544PF-W CMA-4544PF-W +P1 TPAD50 TPAD50 TPAD50 +P2 TPAD50 TPAD50 TPAD50 +P3 TPAD50 TPAD50 TPAD50 +P4 TPAD50 TPAD50 TPAD50 +P5 TPAD50 TPAD50 TPAD50 +P6 TPAD50 TPAD50 TPAD50 +P7 TPAD50 TPAD50 TPAD50 +P8 TPAD50 TPAD50 TPAD50 +P9 TPAD50 TPAD50 TPAD50 +P10 TPAD50 TPAD50 TPAD50 +PCB1 SM2000A PCB NOPACKAGE +Q1 MMBT3904 MMBT3904 SOT23 +Q3 MMBT3904 MMBT3904 SOT23 +Q5 MMBT3904 MMBT3904 SOT23 +Q6 MMBT3904 MMBT3904 SOT23 +Q7 MMBT3904 MMBT3904 SOT23 +Q8 MMBT3904 MMBT3904 SOT23 +Q9 MMBT3904 MMBT3904 SOT23 +Q10 MMBT3904 MMBT3904 SOT23 +Q14 MMBT3904 MMBT3904 SOT23 +Q15 MMBT3904 MMBT3904 SOT23 +Q16 MMBT3904 MMBT3904 SOT23 +Q2 STR2P3LLH6 STR2P3LLH6 SOT23 +Q4 STR2P3LLH6 STR2P3LLH6 SOT23 +Q11 BFR92 BFR92 SOT23 +Q12 BFQ19 BFQ19 SOT89 +Q13 RD01MUS2 RD01MUS2 SOT89 +Q17 MMBT3906 MMBT3906 SOT23 +R1 680,1% RES-0805 R0805 +R2 680,1% RES-0805 R0805 +R3 680,1% RES-0805 R0805 +R4 680,1% RES-0805 R0805 +R55 680,1% RES-0805 R0805 +R63 680,1% RES-0805 R0805 +R74 680,1% RES-0805 R0805 +R5 22,1% RES-0805 R0805 +R6 22,1% RES-0805 R0805 +R21 22,1% RES-0805 R0805 +R24 22,1% RES-0805 R0805 +R7 4.7K,1% RES-0805 R0805 +R8 4.7K,1% RES-0805 R0805 +R9 4.7K,1% RES-0805 R0805 +R10 4.7K,1% RES-0805 R0805 +R28 4.7K,1% RES-0805 R0805 +R29 4.7K,1% RES-0805 R0805 +R89 4.7K,1% RES-0805 R0805 +R11 1K,1% RES-0805 R0805 +R50 1K,1% RES-0805 R0805 +R57 1K,1% RES-0805 R0805 +R87 1K,1% RES-0805 R0805 +R99 1K,1% RES-0805 R0805 +R100 1K,1% RES-0805 R0805 +R106 1K,1% RES-0805 R0805 +R107 1K,1% RES-0805 R0805 +R113 1K,1% RES-0805 R0805 +R12 47,1% RES-0805 R0805 +R62 47,1% RES-0805 R0805 +R75 47,1% RES-0805 R0805 +R13 0 Ohm RES-0805 R0805 +R14 0 Ohm RES-0805 R0805 +R16 0 Ohm RES-0805 R0805 +R26 0 Ohm RES-0805 R0805 +R41 0 Ohm RES-0805 R0805 +R96 0 Ohm RES-0805 R0805 +R97 0 Ohm RES-0805 R0805 +R98 0 Ohm RES-0805 R0805 +R15 220,1% RES-0805 R0805 +R17 10K,1% RES-0805 R0805 +R18 10K,1% RES-0805 R0805 +R20 10K,1% RES-0805 R0805 +R22 10K,1% RES-0805 R0805 +R27 10K,1% RES-0805 R0805 +R43 10K,1% RES-0805 R0805 +R46 10K,1% RES-0805 R0805 +R54 10K,1% RES-0805 R0805 +R59 10K,1% RES-0805 R0805 +R76 10K,1% RES-0805 R0805 +R80 10K,1% RES-0805 R0805 +R81 10K,1% RES-0805 R0805 +R83 10K,1% RES-0805 R0805 +R95 10K,1% RES-0805 R0805 +R19 470,1% RES-0805 R0805 +R64 470,1% RES-0805 R0805 +R73 470,1% RES-0805 R0805 +R23 2.2K,1% RES-0805 R0805 +R33 2.2K,1% RES-0805 R0805 +R35 2.2K,1% RES-0805 R0805 +R36 2.2K,1% RES-0805 R0805 +R37 2.2K,1% RES-0805 R0805 +R38 2.2K,1% RES-0805 R0805 +R47 2.2K,1% RES-0805 R0805 +R56 2.2K,1% RES-0805 R0805 +R60 2.2K,1% RES-0805 R0805 +R67 2.2K,1% RES-0805 R0805 +R70 2.2K,1% RES-0805 R0805 +R77 2.2K,1% RES-0805 R0805 +R90 2.2K,1% RES-0805 R0805 +R112 2.2K,1% RES-0805 R0805 +R25 47K,1% RES-0805 R0805 +R30 1.5K,1% RES-0805 R0805 +R42 1.5K,1% RES-0805 R0805 +R31 100,1% RES-0805 R0805 +R32 100,1% RES-0805 R0805 +R114 100,1% RES-0805 R0805 +R119 100,1% RES-0805 R0805 +R34 320,1% RES-0805 R0805 +R40 320,1% RES-0805 R0805 +R44 320,1% RES-0805 R0805 +R39 500K,TrimPOT POT3361 POT3361 +R45 22.1K,1% RES-0805 R0805 +R52 22.1K,1% RES-0805 R0805 +R48 100K,POT POT-3310C POT-3310C +R49 4.7,0.5W,1206 RES-1206 R1206 +R51 124.0K,1% RES-0805 R0805 +R53 10,1% RES-0805 R0805 +R58 10,1% RES-0805 R0805 +R61 10,1% RES-0805 R0805 +R85 10,1% RES-0805 R0805 +R91 10,1% RES-0805 R0805 +R108 10,1% RES-0805 R0805 +R115 10,1% RES-0805 R0805 +R120 10,1% RES-0805 R0805 +R65 330,1% RES-0805 R0805 +R71 330,1% RES-0805 R0805 +R93 330,1% RES-0805 R0805 +R66 180,1% RES-0805 R0805 +R72 180,1% RES-0805 R0805 +R68 15,1% RES-0805 R0805 +R69 15,1% RES-0805 R0805 +R78 18K,1% RES-0805 R0805 +R79 18K,1% RES-0805 R0805 +R111 18K,1% RES-0805 R0805 +R82 3K,1% RES-0805 R0805 +R86 3K,1% RES-0805 R0805 +R105 3K,1% RES-0805 R0805 +R84 150,1% RES-0805 R0805 +R101 150,1% RES-0805 R0805 +R102 150,1% RES-0805 R0805 +R116 150,1% RES-0805 R0805 +R88 49.9,1% RES-0805 R0805 +R109 49.9,1% RES-0805 R0805 +R92 47,1%, DNL RES-0805 R0805 +R94 68K,1% RES-0805 R0805 +R103 33K,1% RES-0805 R0805 +R104 15K,1% RES-0805 R0805 +R110 39K,1% RES-0805 R0805 +R117 3.3K,1% RES-0805 R0805 +R118 3.3K,1% RES-0805 R0805 +SH1 SHUNT,0.1IN SHUNT,0.1IN NOPACKAGE +SH2 SHUNT,0.1IN SHUNT,0.1IN NOPACKAGE +SH3 SHUNT,0.1IN SHUNT,0.1IN NOPACKAGE +SP1 SCOPE_PAD SCOPE_PAD SCOPE_PAD +SP2 SCOPE_PAD SCOPE_PAD SCOPE_PAD +SP3 SCOPE_PAD SCOPE_PAD SCOPE_PAD +SP4 SCOPE_PAD SCOPE_PAD SCOPE_PAD +SP5 SCOPE_PAD SCOPE_PAD SCOPE_PAD +SP6 SCOPE_PAD SCOPE_PAD SCOPE_PAD +SP7 SCOPE_PAD SCOPE_PAD SCOPE_PAD +SP8 SCOPE_PAD SCOPE_PAD SCOPE_PAD +SP9 SCOPE_PAD SCOPE_PAD SCOPE_PAD +SW1 TS-02B TS-02B TS-02B +SW2 SW-LC2259 SW-LC2259 SW-LC2259 +SW3 TS-026 TS-026 TS-026 +SW4 TS-026 TS-026 TS-026 +SW5 SW-LC2259EENP SW-LC2259 SW-LC2259 +U1 STM32F405VGT6 STM32F407 LQFP100-14 +U2 STMPS2141 STMPS2141 SOT23-5L +U3 EMIF02-USB03F2 EMIF02-USB03F2 ECOPACK-11 +U4 DNL I2C-EEPROM-256K SOIC8 +U5 L78M09 L78MXX DPAK +U6 LD1117AS-33 LD1117AS33 SOT223 +U8 LD1117AS-33 LD1117AS33 SOT223 +U7 LMV341 LMV341 SOT23-6 +U13 LMV341 LMV341 SOT23-6 +U9 TPS54329E TPS54329E PSO-DDA +U10 LM386M-1 LM386M-1 SO08 +U11 ADE-1 ADE-1 ADE-1 +U12 PGA-103+ PGA-103+ DF782 +U14 SI5351A SI5351A MSOP10 +U15 SI570 SI570 SI570 +U16 SA612A SA612A SO-08 +X1 BU-SMA-H BU-SMA-H BU-SMA-H +XTAL1 XTAL-FY0800035 XTAL-FY0800035 XTAL-FY0800035 +XTAL2 25MHz,TXC,SMD XTAL-FY0800035 XTAL-FY0800035 +XTAL3 ECS-10.7-15B ECS-10.7-15B HC49/S +XTAL4 ECS-10.7-15B ECS-10.7-15B HC49/S + + diff --git a/sm2000/CAD/BRD-SM2000.pdf b/sm2000/CAD/BRD-SM2000.pdf new file mode 100644 index 00000000..f02a5f0b Binary files /dev/null and b/sm2000/CAD/BRD-SM2000.pdf differ diff --git a/sm2000/CAD/NET-SM2000.txt b/sm2000/CAD/NET-SM2000.txt new file mode 100644 index 00000000..2cffa414 --- /dev/null +++ b/sm2000/CAD/NET-SM2000.txt @@ -0,0 +1,1211 @@ +Netlist + +Exported from SM2000.sch at 1/28/2016 6:20:56 PM + +EAGLE Version 6.5.0 Copyright (c) 1988-2013 CadSoft + +Net Part Pad Pin Sheet + +!BACK! R27 1 1 1 + SW4 1 1 1 + U1 82 PD1 1 + +!BOOT0! R19 1 1 1 + U1 88 PD7 1 + U1 94 BOOT0 1 + +!EXT-PTT! R23 2 2 1 + U1 55 PD8 1 + +!SELECT! R22 1 1 1 + SW3 1 1 1 + U1 81 PD0 1 + +!TEST! J1 1 1 1 + R7 2 2 1 + U1 56 PD9 1 + ++5V C51 1 1 2 + C54 1 1 2 + FB16 2 2 4 + FB17 1 1 4 + FB19 2 2 4 + FB20 1 1 2 + FB4 1 1 2 + L1 2 2 2 + P5 1 1 1 + R51 1 1 2 + R55 2 2 2 + U2 5 IN 1 + ++9V C108 1 1 3 + C109 1 1 3 + C24 1 1 2 + L15 2 2 3 + R30 2 2 2 + U5 3 OUT 2 + ++12VRXENB J2 7 7 1 + R54 1 1 2 + U1 4 PE5 1 + ++12VTXENB J2 6 6 1 + R46 1 1 2 + U1 3 PE4 1 + ++VIN C23 1 1 2 + C34 1 1 2 + C40 1 1 2 + C41 1 1 2 + C42 1 1 2 + C43 1 1 2 + C56 1 1 2 + P10 1 1 1 + Q2 2 S 2 + Q4 2 S 2 + R40 2 2 2 + R41 2 2 2 + R43 1 1 2 + R47 2 2 2 + R49 1 1 2 + R56 2 2 2 + U5 1 IN 2 + U9 2 VIN 2 + +1ST_LO R96 1 1 4 + R97 1 1 4 + R98 1 1 4 + SP6 1 1 4 + U11 1 G1 3 + +3V3 C1 1 1 1 + C14 1 1 1 + C15 1 1 1 + C2 1 1 1 + C29 1 1 2 + C3 1 1 1 + C37 1 1 2 + C5 1 1 1 + C8 1 1 1 + C9 1 1 1 + FB13 1 1 4 + FB14 1 1 4 + FB15 1 1 4 + P1 1 1 1 + R10 1 1 1 + R14 1 1 1 + R18 2 2 1 + R20 1 1 1 + R22 2 2 1 + R25 1 1 1 + R27 2 2 1 + R28 1 1 1 + R29 1 1 1 + R34 2 2 2 + R7 1 1 1 + R8 1 1 1 + R9 1 1 1 + SW2 2 2 1 + SW2 5 5 1 + U1 100 VDD3 1 + U1 11 VDD5 1 + U1 19 VDD12 1 + U1 28 VDD4 1 + U1 50 VDD1 1 + U1 75 VDD2 1 + U4 8 VCC 1 + U6 2 OUT 2 + U6 4 OUT 2 + +A3V3 C35 1 1 2 + C38 1 1 2 + C44 1 1 2 + C45 1 1 2 + FB1 1 1 1 + FB9 2 2 3 + J3 2 2 2 + R38 2 2 2 + R44 2 2 2 + U13 5 !SHDN! 3 + U13 6 V+ 3 + U7 5 !SHDN! 2 + U7 6 V+ 2 + U8 2 OUT 2 + U8 4 OUT 2 + +AIN1 C13 1 1 1 + Q17 3 C 4 + R118 2 2 4 + SP1 1 1 4 + U1 24 PA1 1 + +AIN2 C10 1 1 1 + R42 1 1 2 + SP2 1 1 2 + U1 25 PA2 1 + +AIN3 C97 2 2 3 + D10 1 K 3 + U1 26 PA3 1 + +ANT_SMA C100 1 1 3 + C101 2 2 3 + R94 2 2 3 + X1 1 1 3 + +BBAMP C135 2 2 4 + J14 1 1 4 + L20 P$2 2 4 + +BOOT1 R11 2 2 1 + U1 37 PB2 1 + +DAC2_OUT C48 1 1 2 + R13 2 2 1 + R45 1 1 2 + SP4 1 1 2 + +DRIVER/PA_INPUT C74 2 2 3 + J10 1 1 3 + +GND C1 2 2 1 + C10 2 2 1 + C103 2 2 3 + C104 2 2 3 + C105 2 2 3 + C106 2 2 3 + C107 2 2 3 + C108 2 2 3 + C109 2 2 3 + C11 2 2 1 + C110 2 2 3 + C111 2 2 4 + C112 2 2 4 + C113 2 2 4 + C114 2 2 4 + C115 2 2 4 + C116 - A 4 + C12 2 2 1 + C120 2 2 4 + C122 2 2 4 + C125 1 1 4 + C127 2 2 4 + C129 2 2 4 + C13 2 2 1 + C131 1 1 4 + C132 1 1 4 + C133 1 1 4 + C134 2 2 4 + C135 1 1 4 + C136 2 2 4 + C137 2 2 2 + C138 2 2 2 + C14 2 2 1 + C15 2 2 1 + C16 2 2 1 + C17 2 2 1 + C18 2 2 1 + C19 2 2 1 + C2 2 2 1 + C20 2 2 1 + C21 2 2 1 + C22 2 2 1 + C23 2 2 2 + C24 2 2 2 + C25 2 2 2 + C26 2 2 2 + C27 2 2 2 + C29 2 2 2 + C3 2 2 1 + C30 2 2 2 + C31 2 - 2 + C32 2 - 2 + C33 1 1 2 + C34 2 2 2 + C35 2 2 2 + C36 2 2 2 + C37 2 2 2 + C38 2 2 2 + C4 2 2 1 + C40 2 2 2 + C41 2 2 2 + C42 2 2 2 + C43 2 2 2 + C44 2 2 2 + C45 2 2 2 + C46 2 2 2 + C47 2 2 2 + C5 2 2 1 + C50 2 2 2 + C52 2 2 2 + C54 2 2 2 + C56 2 2 2 + C6 2 2 1 + C61 2 2 3 + C63 2 2 3 + C64 2 2 3 + C67 1 1 3 + C7 2 2 1 + C72 2 2 3 + C75 2 2 3 + C76 2 2 3 + C77 2 2 3 + C8 2 2 1 + C81 2 2 3 + C82 2 2 3 + C84 2 2 3 + C86 1 1 3 + C9 2 2 1 + C90 2 2 3 + C91 2 2 3 + C92 2 2 3 + C94 2 2 3 + C95 2 2 3 + C97 1 1 3 + C98 2 2 3 + C99 2 2 3 + CN1 2 2 1 + CN2 A A 1 + CN3 2 2 1 + CN4 5 GND 1 + CN4 6 SHIELD1 1 + CN4 7 SHIELD2 1 + CN4 8 SHIELD3 1 + CN4 9 SHIELD4 1 + CN5 2 2 2 + CN6 A A 2 + CN7 A A 2 + D1 A A 1 + D2 A A 2 + D3 A A 2 + D5 A A 2 + D8 C C 3 + FB6 1 1 3 + J1 2 2 1 + J10 3 3 3 + J10 4 4 3 + J11 3 3 3 + J11 4 4 3 + J12 3 3 3 + J12 4 4 3 + J13 3 3 4 + J13 4 4 4 + J14 3 3 4 + J14 4 4 4 + J2 1 1 1 + J4 1 1 2 + J6 3 3 3 + J6 4 4 3 + J7 3 3 3 + J7 4 4 3 + J8 3 3 3 + J8 4 4 3 + J9 3 3 3 + J9 4 4 3 + L18 P$2 2 4 + L2 2 2 3 + L3 2 2 3 + L4 2 2 3 + L5 2 2 3 + L7 2 2 3 + L8 2 2 3 + LED1 C C 1 + LED10 C C 2 + LED11 C C 2 + LED2 C C 1 + LED3 C C 1 + LED4 C C 1 + LED5 C C 2 + LED6 C C 2 + LED7 C C 2 + LED8 C C 2 + LED9 C C 2 + MIC1 2 2 2 + P2 1 1 1 + P3 1 1 1 + P4 1 1 1 + Q1 2 E 2 + Q13 2 S 3 + Q13 2.1 S 3 + Q3 2 E 2 + R101 2 2 4 + R102 2 2 4 + R104 1 1 4 + R106 1 1 4 + R107 1 1 4 + R109 1 1 4 + R11 1 1 1 + R111 1 1 4 + R113 1 1 4 + R114 1 1 4 + R118 1 1 4 + R17 1 1 1 + R36 2 2 2 + R45 2 2 2 + R48 1 1 2 + R52 2 2 2 + R53 2 2 2 + R60 1 1 3 + R64 1 1 3 + R66 1 1 3 + R67 1 1 3 + R68 1 1 3 + R69 1 1 3 + R70 1 1 3 + R72 1 1 3 + R73 1 1 3 + R77 1 1 3 + R80 2 2 3 + R82 1 1 3 + R84 1 1 3 + R87 1 1 3 + R88 1 1 3 + R93 1 1 3 + R94 1 1 3 + R95 1 1 3 + SP1 2 2 4 + SP2 2 2 2 + SP3 2 2 4 + SP4 2 2 2 + SP5 2 2 3 + SP6 2 2 4 + SP7 2 2 4 + SP8 2 2 4 + SP9 2 2 2 + SW1 1 1 1 + SW1 2 2 1 + SW3 2 2 1 + SW3 3 3 1 + SW4 2 2 1 + SW4 3 3 1 + U1 10 VSS5 1 + U1 20 VSSA 1 + U1 27 VSS4 1 + U1 74 VSS2 1 + U1 99 VSS 1 + U10 2 -IN 2 + U10 4 GND 2 + U12 2 GND 3 + U12 4 GND1 3 + U13 2 GND 3 + U14 8 GND 4 + U15 3 GND 4 + U16 3 GND 4 + U2 2 GND 1 + U3 D2 GND 1 + U4 1 A0 1 + U4 2 A1 1 + U4 3 A2 1 + U4 4 GND 1 + U4 7 WP 1 + U5 4 GND 2 + U6 1 GND 2 + U7 2 GND 2 + U8 1 GND 2 + U9 4 GND 2 + U9 EP EP 2 + X1 2 2 3 + X1 3 2 3 + X1 4 2 3 + X1 5 2 3 + XTAL1 2 2 1 + XTAL1 4 4 1 + XTAL2 2 2 4 + XTAL2 4 4 4 + +LED-ERR R4 1 1 1 + U1 62 PD15 1 + +LED-PTT R2 1 1 1 + U1 60 PD13 1 + +LED-PWR R1 1 1 1 + U1 59 PD12 1 + +LED-RT R3 1 1 1 + U1 61 PD14 1 + +MISO P8 1 1 1 + U1 79 PC11 1 + +MOSI P9 1 1 1 + U1 80 PC12 1 + +N$1 C17 1 1 1 + U1 73 VCAP2 1 + +N$2 C16 1 1 1 + R14 2 2 1 + U1 6 VBAT 1 + +N$3 C18 1 1 1 + U1 49 VCAP1 1 + +N$4 C76 1 1 3 + C77 1 1 3 + FB10 2 2 3 + FB9 1 1 3 + +N$5 C11 1 1 1 + C6 1 1 1 + R12 2 2 1 + U1 21 VREF+ 1 + +N$6 CN1 3 3 1 + R6 2 2 1 + +N$7 CN1 1 1 1 + R5 2 2 1 + +N$8 C53 1 1 2 + C55 1 1 2 + U10 5 OUT 2 + +N$9 C107 1 1 3 + L14 2 2 3 + L16 1 1 3 + +N$10 C19 1 1 1 + R15 2 2 1 + XTAL1 1 1 1 + +N$11 C80 2 2 3 + J11 2 2 3 + +N$12 C12 1 1 1 + C7 1 1 1 + FB1 2 2 1 + R12 1 1 1 + U1 22 VDDA 1 + +N$13 R13 1 1 1 + U1 30 PA5 1 + +N$14 R17 2 2 1 + R19 2 2 1 + SW2 1 1 1 + SW2 4 4 1 + +N$15 C20 1 1 1 + CN2 C C 1 + D1 C C 1 + R20 2 2 1 + R23 1 1 1 + +N$16 LED1 A A 1 + R1 2 2 1 + +N$17 LED2 A A 1 + R2 2 2 1 + +N$18 LED3 A A 1 + R3 2 2 1 + +N$19 L12 2 2 3 + R91 1 1 3 + +N$20 LED4 A A 1 + R4 2 2 1 + +N$21 C62 1 1 3 + C64 1 1 3 + C66 2 2 3 + L2 1 1 3 + +N$22 CN4 3 DP 1 + R24 1 1 1 + U3 C1 D+IN 1 + +N$23 CN4 2 DM 1 + R21 1 1 1 + U3 D1 D-IN 1 + +N$24 D4 A A 2 + FB3 2 2 2 + +N$25 C27 1 1 2 + C28 1 1 2 + R31 2 2 2 + R33 1 1 2 + +N$26 FB2 2 2 2 + R31 1 1 2 + +N$27 C39 2 2 2 + R39 1 1 2 + R42 2 2 2 + U7 4 OUT 2 + +N$28 CN4 4 ID 1 + R26 1 1 1 + U3 A3 ID 1 + +N$29 J3 1 1 2 + R32 2 2 2 + +N$30 C88 1 1 3 + +N$31 R16 2 2 1 + R18 1 1 1 + U2 4 !EN! 1 + +N$32 C102 1 1 3 + L13 2 2 3 + Q13 3 D 3 + +N$33 C93 1 1 3 + FB12 2 2 3 + Q13 1 G 3 + R92 2 2 3 + +N$34 C48 2 2 2 + R48 3 3 2 + +N$35 C28 2 2 2 + R35 1 1 2 + +N$36 C83 2 2 3 + +N$37 C100 2 2 3 + C95 1 1 3 + D9 C C 3 + L10 2 2 3 + +N$38 C94 1 1 3 + FB11 2 2 3 + R89 1 1 3 + +N$39 C50 1 1 2 + R48 2 2 2 + U10 3 +IN 2 + +N$40 C119 2 2 4 + J13 1 1 4 + +N$41 C39 1 1 2 + R37 2 2 2 + R39 2 2 2 + R39 3 3 2 + +N$42 Q1 3 C 2 + Q2 1 G 2 + R47 1 1 2 + +N$43 C53 2 2 2 + R53 1 1 2 + +N$44 C55 2 2 2 + FB5 1 1 2 + +N$45 C30 1 1 2 + C31 1 + 2 + R32 1 1 2 + R33 2 2 2 + +N$46 C32 1 + 2 + C33 2 2 2 + R36 1 1 2 + R38 1 1 2 + U7 1 +IN 2 + +N$47 C114 1 1 4 + FB15 2 2 4 + U14 1 VDD 4 + +N$48 C52 1 1 2 + R49 2 2 2 + U10 6 VS 2 + +N$49 C123 1 1 4 + C124 2 2 4 + J13 2 2 4 + R109 2 2 4 + +N$50 Q3 3 C 2 + Q4 1 G 2 + R56 1 1 2 + +N$51 C124 1 1 4 + C125 2 2 4 + L19 P$2 2 4 + U16 2 IN_B 4 + +N$52 C110 1 1 3 + J12 1 1 3 + L16 2 2 3 + +N$53 Q1 1 B 2 + R46 2 2 2 + +N$54 C138 1 1 2 + C36 1 1 2 + FB4 2 2 2 + U8 3 IN 2 + +N$55 Q3 1 B 2 + R54 2 2 2 + +N$56 C62 2 2 3 + J7 2 2 3 + +N$57 C101 1 1 3 + D10 3 A 3 + R93 2 2 3 + +N$58 J7 1 1 3 + U11 3 RF 3 + +N$59 C78 2 2 3 + J11 1 1 3 + +N$60 CN6 D D 2 + MIC1 1 1 2 + +N$61 C78 1 1 3 + U12 1 1N 3 + +N$62 CN7 C C 2 + D5 C C 2 + FB5 2 2 2 + J5 2 2 2 + +N$63 LED5 A A 2 + R30 1 1 2 + +N$64 CN7 B B 2 + J5 1 1 2 + +N$65 U14 2 XA 4 + XTAL2 3 3 4 + +N$66 Q6 3 C 3 + Q8 1 B 3 + R63 2 2 3 + R65 2 2 3 + +N$67 C61 1 1 3 + Q10 3 C 3 + Q8 3 C 3 + R58 1 1 3 + R59 2 2 3 + R65 1 1 3 + +N$68 Q10 1 B 3 + Q8 2 E 3 + R70 2 2 3 + +N$69 C59 1 1 3 + R63 1 1 3 + +N$70 C81 1 1 3 + Q11 2 E 3 + R84 2 2 3 + +N$71 C91 1 1 3 + Q12 3 E 3 + R88 2 2 3 + +N$72 CN7 D D 2 + J4 2 2 2 + +N$73 C84 1 1 3 + L6 1 1 3 + R81 2 2 3 + R85 2 2 3 + +N$74 C90 1 1 3 + L9 1 1 3 + R85 1 1 3 + R86 2 2 3 + R91 2 2 3 + +N$75 C87 1 1 3 + Q12 1 B 3 + R86 1 1 3 + R87 2 2 3 + +N$76 CN6 C C 2 + D2 C C 2 + FB2 1 1 2 + +N$77 C25 1 1 2 + CN5 1 1 2 + D3 C C 2 + FB3 1 1 2 + SP9 1 1 2 + +N$78 C79 1 1 3 + Q11 1 B 3 + R81 1 1 3 + R82 2 2 3 + +N$79 C69 1 1 3 + J8 1 1 3 + +N$80 U14 3 XB 4 + XTAL2 1 1 4 + +N$81 D4 C C 2 + SW5 1 1 2 + SW5 4 4 2 + +N$82 C87 2 2 3 + L6 2 2 3 + Q11 3 C 3 + +N$83 C57 1 1 3 + C59 2 2 3 + Q6 1 B 3 + R59 1 1 3 + R60 2 2 3 + +N$84 C93 2 2 3 + L9 2 2 3 + Q12 2 C 3 + Q12 2.1 C 3 + +N$85 C60 1 1 3 + Q6 2 E 3 + R66 2 2 3 + +N$86 C60 2 2 3 + R68 2 2 3 + +N$87 R35 2 2 2 + R37 1 1 2 + U7 3 -IN 2 + +N$88 Q10 2 E 3 + R73 2 2 3 + R75 1 1 3 + +N$89 C70 2 2 3 + R75 2 2 3 + +N$90 J6 2 2 3 + U11 5 G3 3 + +N$91 Q7 1 B 3 + Q9 3 C 3 + R71 2 2 3 + R74 2 2 3 + +N$92 C63 1 1 3 + Q5 3 C 3 + Q7 3 C 3 + R61 2 2 3 + R71 1 1 3 + R76 2 2 3 + +N$93 Q5 1 B 3 + Q7 2 E 3 + R67 2 2 3 + +N$94 C68 1 1 3 + R74 1 1 3 + +N$95 C68 2 2 3 + C71 1 1 3 + Q9 1 B 3 + R76 1 1 3 + R77 2 2 3 + +N$96 C65 1 1 3 + Q9 2 E 3 + R72 2 2 3 + +N$97 C65 2 2 3 + R69 2 2 3 + +N$98 Q5 2 E 3 + R62 1 1 3 + R64 2 2 3 + +N$99 C58 2 2 3 + R62 2 2 3 + +N$100 C72 1 1 3 + FB7 2 2 3 + R78 2 2 3 + +N$101 C126 1 1 4 + Q16 2 E 4 + R113 2 2 4 + +N$102 C134 1 1 4 + FB18 2 2 4 + R117 2 2 4 + R119 2 2 4 + R120 2 2 4 + +N$103 C127 1 1 4 + R110 2 2 4 + R112 2 2 4 + R115 2 2 4 + +N$104 J14 2 2 4 + Q16 1 B 4 + R110 1 1 4 + R111 2 2 4 + +N$105 Q16 3 C 4 + Q17 1 B 4 + R112 1 1 4 + +N$106 C130 2 2 4 + Q17 2 E 4 + R117 1 1 4 + +N$107 C129 1 1 4 + FB18 1 1 4 + R115 1 1 4 + +N$108 C126 2 2 4 + R114 2 2 4 + +N$109 C130 1 1 4 + R119 1 1 4 + +N$110 C137 1 1 2 + C26 1 1 2 + FB20 2 2 2 + U6 3 IN 2 + +N$111 C136 1 1 4 + FB19 1 1 4 + R120 1 1 4 + +N$112 C79 2 2 3 + J10 2 2 3 + L4 1 1 3 + +N$113 C99 1 1 3 + R92 1 1 3 + +N$114 C98 1 1 3 + FB12 1 1 3 + R89 2 2 3 + +N$115 C73 1 1 3 + D6 A A 3 + FB7 1 1 3 + +N$116 C73 2 2 3 + FB10 1 1 3 + U12 3 OUT 3 + +N$117 C74 1 1 3 + D7 A A 3 + FB8 2 2 3 + +N$118 C102 2 2 3 + C105 1 1 3 + L14 1 1 3 + +N$119 C111 1 1 4 + FB13 2 2 4 + U15 2 OE 4 + U15 6 VDD 4 + +N$120 C113 1 1 4 + FB14 2 2 4 + U14 7 VDDO 4 + +N$121 R96 2 2 4 + U14 9 CLK1 4 + +N$122 R97 2 2 4 + U14 6 CLK2 4 + +N$123 R98 2 2 4 + U15 4 CLK+ 4 + +N$124 R100 2 2 4 + R99 2 2 4 + U14 10 CLK0 4 + +N$125 C75 1 1 3 + FB8 1 1 3 + R79 2 2 3 + +N$126 C66 1 1 3 + C67 2 2 3 + C69 2 2 3 + L3 1 1 3 + +N$127 LED8 A A 2 + R44 1 1 2 + +N$128 R80 1 1 3 + R83 1 1 3 + U13 3 -IN 3 + +N$130 C104 1 1 3 + C106 1 1 3 + L13 1 1 3 + L15 1 1 3 + +N$131 LED9 A A 2 + R50 1 1 2 + +N$132 LED6 A A 2 + R34 1 1 2 + +N$133 LED11 A A 2 + R57 1 1 2 + +N$134 LED10 A A 2 + R55 1 1 2 + +N$135 D6 C C 3 + D7 C C 3 + FB6 2 2 3 + J8 2 2 3 + +N$136 C80 1 1 3 + C82 1 1 3 + C85 2 2 3 + L5 1 1 3 + +N$137 C85 1 1 3 + C86 2 2 3 + C89 2 2 3 + L8 1 1 3 + +N$138 LED7 A A 2 + R40 1 1 2 + +N$139 C83 1 1 3 + C88 2 2 3 + L7 1 1 3 + +N$140 C120 1 1 4 + Q15 3 C 4 + R103 2 2 4 + R105 2 2 4 + R108 2 2 4 + +N$141 C117 2 2 4 + Q14 3 C 4 + Q15 1 B 4 + R105 1 1 4 + +N$142 C122 1 1 4 + FB16 1 1 4 + R108 1 1 4 + +N$143 C112 1 1 4 + L17 P$2 2 4 + XTAL3 1 1 4 + +N$144 C115 1 1 4 + XTAL3 2 2 4 + XTAL4 2 2 4 + +N$145 C116 + E 4 + C117 1 1 4 + L18 P$1 1 4 + XTAL4 1 1 4 + +N$146 C118 1 1 4 + Q14 1 B 4 + R103 1 1 4 + R104 2 2 4 + +N$147 C121 1 1 4 + Q14 2 E 4 + R106 2 2 4 + +N$148 C119 1 1 4 + Q15 2 E 4 + R107 2 2 4 + +N$149 C89 1 1 3 + C92 1 1 3 + D8 A A 3 + L10 1 1 3 + +N$150 R41 1 1 2 + SW5 2 2 2 + SW5 3 3 2 + SW5 5 5 2 + SW5 6 6 2 + +N$151 C123 2 2 4 + L19 P$1 1 4 + U16 1 IN_A 4 + +N$152 FB17 2 2 4 + R116 2 2 4 + +N$153 C131 2 2 4 + C133 2 2 4 + R116 1 1 4 + U16 8 VIN 4 + +N$154 C128 2 2 4 + U16 6 OSC_B 4 + +N$156 C132 2 2 4 + L20 P$1 1 4 + U16 4 OUT_A 4 + +N$157 C96 1 1 3 + D9 A A 3 + L11 2 2 3 + +N$158 C103 1 1 3 + L11 1 1 3 + R90 1 1 3 + R95 2 2 3 + +N$169 C49 1 1 2 + U9 1 VBST 2 + +N$170 C51 2 2 2 + R51 2 2 2 + R52 1 1 2 + U9 5 VFB 2 + +N$171 C47 1 1 2 + U9 8 SS 2 + +N$172 C46 1 1 2 + U9 6 VREG5 2 + +N$173 R43 2 2 2 + U9 7 EN 2 + +NRST C4 1 1 1 + R8 2 2 1 + SW1 3 3 1 + SW1 4 4 1 + U1 14 NRST 1 + +NSS P6 1 1 1 + U1 77 PA15 1 + +OSC_IN C21 1 1 1 + U1 12 PH0 1 + XTAL1 3 3 1 + +OSC_OUT R15 1 1 1 + U1 13 PH1 1 + +OTG_DM R21 2 2 1 + U1 70 PA11 1 + U3 D3 D-OUT 1 + +OTG_DP R24 2 2 1 + U1 71 PA12 1 + U3 C3 D+OUT 1 + +OTG_ID R26 2 2 1 + U1 69 PA10 1 + +OTG_OVR_CUR R25 2 2 1 + U1 86 PD5 1 + U2 3 !FAULT! 1 + +OTG_PWR_ON R16 1 1 1 + U1 15 PC0 1 + +PA_OUTPUT C96 2 2 3 + J12 2 2 3 + +PE0 J2 2 2 1 + U1 97 PE0 1 + +PE1 J2 3 3 1 + U1 98 PE1 1 + +PE2 J2 4 4 1 + U1 1 PE2 1 + +PE3 J2 5 5 1 + U1 2 PE3 1 + +RX+12V Q2 3 D 2 + R50 2 2 2 + R58 2 2 3 + R78 1 1 3 + +RX-2ND-LO C128 1 1 4 + R100 1 1 4 + R102 1 1 4 + SP8 1 1 4 + +SCK P7 1 1 1 + U1 78 PC10 1 + +SCL3 R29 2 2 1 + U1 67 PA8 1 + U14 4 SCL 4 + U15 8 SCL 4 + U4 6 SCL 1 + +SDA3 R28 2 2 1 + U1 66 PC9 1 + U14 5 SDA 4 + U15 7 SDA 4 + U4 5 SDA 1 + +SW C49 2 2 2 + L1 1 1 2 + U9 3 SW 2 + +SWCLK R5 1 1 1 + U1 76 PA14 1 + +SWDIO R6 1 1 1 + U1 72 PA13 1 + +TIAIN C57 2 2 3 + C58 1 1 3 + J6 1 1 3 + +TIAOUT J9 2 2 3 + L17 P$1 1 4 + +TIA_OUT C70 1 1 3 + C71 2 2 3 + J9 1 1 3 + +TX+12V L12 1 1 3 + Q4 3 D 2 + R57 2 2 2 + R61 1 1 3 + R79 1 1 3 + R90 2 2 3 + +TX-2ND-LO C121 2 2 4 + R101 1 1 4 + R99 1 1 4 + SP7 1 1 4 + +TX_DAC C118 2 2 4 + SP3 1 1 4 + U1 29 PA4 1 + +USART3_RX CN3 3 3 1 + R9 2 2 1 + U1 48 PB11 1 + +USART3_TX CN3 1 1 1 + R10 2 2 1 + U1 47 PB10 1 + +VBUS C22 1 1 1 + CN4 1 VBUS 1 + U1 68 PA9 1 + U2 1 OUT 1 + U3 B3 VBUS 1 + +VGG FB11 1 1 3 + R83 2 2 3 + SP5 1 1 3 + U13 4 OUT 3 + +VGG_DAC U1 54 PB15 1 + U13 1 +IN 3 + diff --git a/sm2000/CAD/SCH-SM2000.pdf b/sm2000/CAD/SCH-SM2000.pdf index 4f8f5aed..c35a25e5 100644 Binary files a/sm2000/CAD/SCH-SM2000.pdf and b/sm2000/CAD/SCH-SM2000.pdf differ diff --git a/sm2000/CAD/SM2000.brd b/sm2000/CAD/SM2000.brd index 04370d2d..caf65291 100644 --- a/sm2000/CAD/SM2000.brd +++ b/sm2000/CAD/SM2000.brd @@ -23,10 +23,10 @@ - + - + @@ -52,7 +52,7 @@ - + @@ -129,6 +129,73 @@ + + + + + + + + + + + + + + + + + + + + + + + + + +3V3 ++9V +TX+12V +RX+12V +A3V3 + + + + +TIA + + + + + +BASEBAND AMP +LOCAL OSCILLATOR + + + + +MIC PREAMP + + + + + + + + +SPEAKER AMP +OTG USB INTERFACE + + + + + + + + + +XTAL 2ND MIXER @@ -284,23 +351,6 @@ - - - - - - - - - - - ->NAME - - - - - @@ -924,23 +974,6 @@ INFINEON, www.infineon.com/cmc_upload/0/000/010/257/eh_db_5b.pdf - - - - - - - - - - - ->NAME - - - - - @@ -960,23 +993,6 @@ INFINEON, www.infineon.com/cmc_upload/0/000/010/257/eh_db_5b.pdf - - - - - - - - - - - ->NAME - - - - - @@ -994,6 +1010,30 @@ INFINEON, www.infineon.com/cmc_upload/0/000/010/257/eh_db_5b.pdf + +<b>Small Outline Transistor</b> + + + + + + + + +>NAME + + + + + + + + + + + + + <b>SMALL OUTLINE TRANSISTOR</b> @@ -1237,23 +1277,6 @@ INFINEON, www.infineon.com/cmc_upload/0/000/010/257/eh_db_5b.pdf - - - - - - - - - - - ->NAME - - - - - @@ -1545,6 +1568,25 @@ Radiall<p> >NAME + + + + + + + + + + + + + +>NAME + + + + + @@ -1557,23 +1599,6 @@ Devices are Vishay chip types, generic AEC types and Kemet T491 series molded bo Weartronics 2006<br> http://www.weartronics.com/ - - - - - - - - - - - ->NAME - - - - - @@ -1592,71 +1617,6 @@ http://www.weartronics.com/ - - - - - - - - - - - ->NAME - - - - - - - - -<b>IPC-7351 compliant SMT resistors</b><br> -<br> -Symbols copied from CadSoft rcl.lbr.<br> -Packages generated using genpkg_chp.ulp.<br> -Devices are Panasonic ERJ series types.<br> -<br> -Weartronics 2006<br> -http://www.weartronics.com/ - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - @@ -2990,11 +2950,11 @@ design rules under a new name. - - + + - - + + @@ -3017,13 +2977,13 @@ design rules under a new name. - + - + - + @@ -3032,23 +2992,23 @@ design rules under a new name. - + - + - + - + - + - - + + @@ -3056,11 +3016,11 @@ design rules under a new name. - - + + - - + + @@ -3078,135 +3038,135 @@ design rules under a new name. - + - + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - - + + + - - - + + + - - - + + + - - + + - - + + - - - + + + - - + + - - - + + + - + - - + + - - + + - - + + - - + + - - + + - - + + - - - + + + - - + + - - + + - - + + - - + + - - - + + + - - + + - - - + + + - - + + - - + + - + @@ -3223,31 +3183,28 @@ design rules under a new name. - + - + - + - + - - - + + + - - - + + + - - - - - + + @@ -3276,12 +3233,12 @@ design rules under a new name. - - + + - - - + + + @@ -3289,7 +3246,7 @@ design rules under a new name. - + @@ -3298,72 +3255,72 @@ design rules under a new name. - + - - + + - - - + + + - + - - - + + + - - + + - - + + - - + + - - - + + + - - + + - - + + - - - + + + - - + + - - - + + + - - + + - - + + - - + + - + - - + + - - + + @@ -3371,792 +3328,785 @@ design rules under a new name. - - + + - + - - + + - + - - + + - - + + - - + + - - - - + + + + - - - + + + - - - + + + - - + + - + - + - - - - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - - + + - - + + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - - + + - - + + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - - + + - - + + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - - + + - - + + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - - - - - - + + + + + + + - - - + + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - - + + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - - + + + - - - + + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - - + + + - - - + + + - - + + - - + + - - + + - - + + - - + + - - + + - - - + + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - - + + + - - + + - - + + - - - + + + - - + + - - + + - - + + - - + + - - - + + + - - + + - - + + - - + + - - + + - - - + + + - - + + - - + + - - + + - - - + + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - - + + + - - - + + + - - + + - - + + - - + + - - + + - - + + - - - + + + - - - + + + - - + + - - + + - - - + + + - - - + + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - - + + + - - - + + + - - + + - - + + - - - + + + - - + + - - - + + + - - - + + + - - - + + + - - - + + + - - + + - - + + - - + + - - - + + - - + + - - + + - - + + - - - - - + + @@ -4169,93 +4119,118 @@ design rules under a new name. - - + + - - + + - - + + - - + + - - - + + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + + + + + + + + + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + + + - - + + - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + - - + + @@ -4292,30 +4267,31 @@ design rules under a new name. - + - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + @@ -4323,17 +4299,20 @@ design rules under a new name. - - - - - - + + + + + + + + + - + @@ -4471,7 +4450,6 @@ design rules under a new name. - @@ -4496,7 +4474,7 @@ design rules under a new name. - + @@ -4504,9 +4482,6 @@ design rules under a new name. - - - @@ -4540,7 +4515,6 @@ design rules under a new name. - @@ -4572,7 +4546,7 @@ design rules under a new name. - + @@ -4584,58 +4558,269 @@ design rules under a new name. - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -4645,14 +4830,9 @@ design rules under a new name. - - - - - - - - + + + @@ -4660,175 +4840,19 @@ design rules under a new name. - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + @@ -4836,49 +4860,49 @@ design rules under a new name. - - - - + + + + - + - + - + - - + + - + - + - + @@ -4886,16 +4910,14 @@ design rules under a new name. - - - - + + + + - - @@ -4905,72 +4927,76 @@ design rules under a new name. - - - - - - - - - - - - + + + + + + + + + + + + + + + + - + - + - + - + - + - + - + - + - - + + - - + + @@ -4978,219 +5004,227 @@ design rules under a new name. - - - - + + + + - - + + - - + + - - + + - + - - + + - - + + - + - - - + + + - - + + - + - + - - + + - - + + - + - + - + - + - + - + - + - + - + - - - - - - + + + + + + - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - + + + - + - - + + - - + + - - + + - - - + + + @@ -5198,10 +5232,10 @@ design rules under a new name. - - - - + + + + @@ -5209,67 +5243,67 @@ design rules under a new name. - - - - + + + + - - - + + + - + - + - + - - + + - - - + + + - + - + - - + + @@ -5277,33 +5311,37 @@ design rules under a new name. - - - - + + + + + + + + - + - - - + + + - - - + + + @@ -5311,29 +5349,29 @@ design rules under a new name. - - - - + + + + - - + + - - + + - + @@ -5341,57 +5379,57 @@ design rules under a new name. - - - - + + + + - - - + + + - + - - + + - + - + - + - - - + + + @@ -5400,23 +5438,23 @@ design rules under a new name. - - - - - + + + + + - - + + - + @@ -5424,43 +5462,43 @@ design rules under a new name. - - - - + + + + - - + + - + - - + + - + - - - + + + @@ -5469,23 +5507,23 @@ design rules under a new name. - - - - - + + + + + - - + + - + @@ -5493,48 +5531,48 @@ design rules under a new name. - - - - + + + + - - + + - + - - + + - + - - + + - - + + @@ -5542,89 +5580,89 @@ design rules under a new name. - - - - + + + + - - - + + + - - - + + + - - + + - - + + - - + + - + - + - - + + - - + + - - + + - - - + + + @@ -5632,62 +5670,62 @@ design rules under a new name. - - - - + + + + - - - + + + - - - + + + - - + + - - + + - - + + - + - - + + @@ -5695,311 +5733,313 @@ design rules under a new name. - - - - + + + + - - - + + + - - + + - - + + - - + + - - - - + + + + - - - + + + - - + + - - + + - - + + - + - - - + + + - + - - + + - - + + - - + + - - - + + + - - - + + + - - - + + + - - - + + + - - - + + + - - - + + + - - - + + + - - - + + + - - + + - - - + + + - - + + - - - + + + - - - + + + - - - + + + - - - + + + + + - + - + - - - + + + - - + + - + - + - + - - + + - - - - - - - - + + + + + + + + @@ -6007,37 +6047,37 @@ design rules under a new name. - + - + - - - + + + - - - + + + - - - + + + @@ -6048,64 +6088,64 @@ design rules under a new name. - + - - + + - + - - + + - - + + - + - - + + - - + + - - + + - + @@ -6114,121 +6154,149 @@ design rules under a new name. - - - - - + + + + + - - - - + + + + - - - + + + - + - + - - + + - + - + - + - + - + - + - + - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - + + + - + - + - + - - + - - - + + + + @@ -6243,103 +6311,103 @@ design rules under a new name. - + - - + + - - + + - - + + - - + + - - + + - - + + - - - + + + - - + + - + - - + + - + - - + + - - + + - - + + @@ -6355,86 +6423,100 @@ design rules under a new name. - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + - + - + - + - + - + - - - + + + - - - - - - - - - - - - - - - - + - + - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/sm2000/CAD/SM2000.sch b/sm2000/CAD/SM2000.sch index cb48fc36..8b93405e 100644 --- a/sm2000/CAD/SM2000.sch +++ b/sm2000/CAD/SM2000.sch @@ -72,56 +72,56 @@ - - - - - - + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - + + + + + + + + + + + - - + + @@ -257,23 +257,6 @@ - - - - - - - - - - - ->NAME - - - - - @@ -1053,23 +1036,6 @@ Source: http://cache.national.com/ds/LM/LM386.pdf - - - - - - - - - - - ->NAME - - - - - @@ -1089,23 +1055,6 @@ Source: http://cache.national.com/ds/LM/LM386.pdf - - - - - - - - - - - ->NAME - - - - - @@ -1123,6 +1072,30 @@ Source: http://cache.national.com/ds/LM/LM386.pdf + +<b>Small Outline Transistor</b> + + + + + + + + +>NAME + + + + + + + + + + + + + <b>SMALL OUTLINE TRANSISTOR</b> @@ -1366,23 +1339,6 @@ INFINEON, www.infineon.com/cmc_upload/0/000/010/257/eh_db_5b.pdf - - - - - - - - - - - ->NAME - - - - - @@ -1674,6 +1630,25 @@ Radiall<p> >NAME + + + + + + + + + + + + + +>NAME + + + + + @@ -2465,6 +2440,18 @@ Radiall<p> >NAME >VALUE + + + + + + + + +GND +>NAME +>VALUE + @@ -2606,8 +2593,8 @@ Radiall<p> ->NAME ->VALUE +>NAME +>VALUE @@ -2711,22 +2698,6 @@ Radiall<p> - - - - - - - - - - - - - - - - @@ -3301,23 +3272,6 @@ Source: http://cache.national.com/ds/LM/LM386.pdf - -CAP SMD 603 - - - - - - - - - - - - - - - @@ -3334,22 +3288,6 @@ Source: http://cache.national.com/ds/LM/LM386.pdf - - - - - - - - - - - - - - - - @@ -3499,16 +3437,16 @@ Source: http://cache.national.com/ds/LM/LM386.pdf - + - + - + - - + + @@ -3533,22 +3471,6 @@ Source: http://cache.national.com/ds/LM/LM386.pdf - - - - - - - - - - - - - - - - @@ -3884,6 +3806,22 @@ Radiall<p> + + + + + + + + + + + + + + + + @@ -4173,284 +4111,6 @@ In this library the device names are the same as the pin names of the symbols, t - -<b>IPC-7351 compliant SMT resistors</b><br> -<br> -Symbols copied from CadSoft rcl.lbr.<br> -Packages generated using genpkg_chp.ulp.<br> -Devices are Panasonic ERJ series types.<br> -<br> -Weartronics 2006<br> -http://www.weartronics.com/ - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - ->NAME ->VALUE - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - <b>IPC-7351 compliant SMT capacitors</b><br> <br> @@ -7883,17 +7543,17 @@ Source: www.bourns.com .. 6000_series.pdf - - - + + + - - - - - + + + + + @@ -7902,63 +7562,63 @@ Source: www.bourns.com .. 6000_series.pdf - - + + - - - - + + + + - - - + + + - - - + + + - + - - - + + + - - + + - + - + - + - + @@ -7966,19 +7626,19 @@ Source: www.bourns.com .. 6000_series.pdf - + - + - + - - + + - + @@ -7989,20 +7649,19 @@ Source: www.bourns.com .. 6000_series.pdf - + - + - + - + - @@ -8018,42 +7677,42 @@ Source: www.bourns.com .. 6000_series.pdf - - + + - + - + - - - - + + + + - + - + - - + + - + - + @@ -8079,8 +7738,8 @@ Source: www.bourns.com .. 6000_series.pdf - - + + @@ -8186,7 +7845,7 @@ Source: www.bourns.com .. 6000_series.pdf - + @@ -8208,7 +7867,6 @@ Source: www.bourns.com .. 6000_series.pdf - @@ -8273,7 +7931,7 @@ Source: www.bourns.com .. 6000_series.pdf - + @@ -8291,7 +7949,7 @@ Source: www.bourns.com .. 6000_series.pdf - + @@ -8327,11 +7985,11 @@ Source: www.bourns.com .. 6000_series.pdf - - - - - + + + + + @@ -8345,13 +8003,13 @@ Source: www.bourns.com .. 6000_series.pdf - - + + - - + + @@ -8390,38 +8048,36 @@ Source: www.bourns.com .. 6000_series.pdf - - - - + + + + - + - - + + - + - + - - + + - - - + @@ -8442,21 +8098,21 @@ Source: www.bourns.com .. 6000_series.pdf - + - + - + - + - + - + @@ -8468,7 +8124,7 @@ Source: www.bourns.com .. 6000_series.pdf - + @@ -8491,12 +8147,12 @@ Source: www.bourns.com .. 6000_series.pdf - + - + @@ -8515,6 +8171,14 @@ Source: www.bourns.com .. 6000_series.pdf + + + + + + + + @@ -9221,7 +8885,7 @@ SCHEMATICS - + @@ -10242,7 +9906,7 @@ SCHEMATICS SPEAKER OUT EXT MIC INPUT -EXTERNAL POWER +EXTERNAL POWER INTERNAL / EXTERNAL MIC PREAMP SPEAKER AMP @@ -10252,7 +9916,7 @@ SCHEMATICS OPT MIC - 1 ONLY, OPT MIC - 2-3 INTERNAL SPEAKER -+5V -> A3V3 ++5V -> A3V3 PTVS20VP1UP PESD5Z3.3 @@ -10271,21 +9935,21 @@ SCHEMATICS -+12V RX PWR -+12V TX PWR -Vin -> 5.0VDC -+5V -> 3V3 ++12V RX PWR ++12V TX PWR +Vin -> 5.0VDC ++5V -> 3V3 Vin -> 9V Need to adjust components in +5V reg for higher current. -+9V -3V3 -A3V3 -RX+12V -TX+12V -+5V -+VIN ++9V +3V3 +A3V3 +RX+12V +TX+12V ++5V ++VIN @@ -10296,8 +9960,8 @@ SCHEMATICS - - + + @@ -10327,9 +9991,9 @@ SCHEMATICS - - - + + + @@ -10404,9 +10068,9 @@ SCHEMATICS - - - + + + @@ -10445,25 +10109,24 @@ SCHEMATICS - - + + - - - - + + + - - - + + + - - - + + + @@ -10529,31 +10192,31 @@ SCHEMATICS - - - + + + - - + + - - - - + + + + - - - + + + - - - - + + + + @@ -10566,12 +10229,12 @@ SCHEMATICS - - - + + + - - + + @@ -10584,289 +10247,284 @@ SCHEMATICS - - - + + + - - - - + + + + - - - + + + - - - + + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - - - + + + + - - - + + + - - + + - - - + + + - - - + + + - - - + + + - - - + + + - - + + - - - + + + - - - + + + - - - - - + + + + + - - - + + + - + - + - - - + + + - - - + + + - - + + - - + + - - + + - - + + - + - - - - + - - - + + - - - + + + - - + + + - - + + - - + + - - - + + - - + + + - - - + + - - + + + - - - + + - - + + + - - - + + - - - - + + + - - - + + + + - - - - - - + + + - - - + + + + + - - - + + + - - - + + + - - - + + + - - + + - - - + + + - - - + + + - - + + - - - - + + + + - - - + + + - - + + - - - + + + - - - + + + - - - + + + - - + + - - - + + + - - - + + + - - + + - - - + + + - - - + + + - - + + - - + + - - - + + + - - - + + + - - + + - - - + + + - - + + - + @@ -10879,15 +10537,37 @@ SCHEMATICS + + + + + + + + + + + + + + + + + + + + + + - + - + @@ -11004,13 +10684,13 @@ SCHEMATICS - + - + @@ -11019,157 +10699,152 @@ SCHEMATICS - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - - - - - - + - + - + - + - + - + - + - + - + @@ -11184,6 +10859,21 @@ SCHEMATICS + + + + + + + + + + + + + + + @@ -11208,7 +10898,7 @@ SCHEMATICS - + @@ -11226,62 +10916,68 @@ SCHEMATICS - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + - - - - + + + + - - + + - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -11320,15 +11016,13 @@ SCHEMATICS - + - - - + + + - - + + - - - + + + @@ -11385,7 +11079,7 @@ SCHEMATICS - + @@ -11502,22 +11196,21 @@ SCHEMATICS - - + + - + - - + + - + - - - + + - - + + @@ -11569,16 +11262,16 @@ SCHEMATICS - - + + - + - - + + - - + + @@ -11598,129 +11291,113 @@ SCHEMATICS - - - - - - - - - - - - - - - - - - - - - - - - + - + - - - - - - + + + + + + - - + + - - - + + + - - + + + + + + + + - + - - + + - - + + - + - - - + - - + + - - + + - + - - - + + - - - - + + + + @@ -11728,30 +11405,30 @@ SCHEMATICS - - + + - - - + + + - - + + - - + + - - + + @@ -11759,28 +11436,28 @@ SCHEMATICS - + - - - + + - - - - - + + + + + + - - @@ -11788,103 +11465,132 @@ SCHEMATICS - + - + - + - + - + - - - + - + + + + + + + + - + - - - + + + - + + + + + - + + - + - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + - + - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -11905,7 +11611,6 @@ SCHEMATICS L21 9.2uH +/- 10% Q>20 L20 5.7uH +/- 10% Q>20 Note: Have to hook up I2C lines on Si570 & Si5351A -1st LO Tune L8 and L9 to 146MHz +3v3 60mA @@ -11930,14 +11635,13 @@ to 146MHz TERMINATION INSENSITIVE AMPLIFIER -Load/Adjust R98/C95 if PA +Load/Adjust R92/C99 if PA stability is a problem from BPF/switch -33p, 47p, 33p cap nets +33p, 47p, 33p cap nets must be zero length -Mount Ls at right angles +Mount Ls at right angles DRIVER_PA -DNL @@ -12111,9 +11815,9 @@ must be zero length - - - + + + @@ -12126,8 +11830,8 @@ must be zero length - - + + @@ -12526,8 +12230,8 @@ must be zero length - - + + @@ -12696,7 +12400,7 @@ must be zero length - + @@ -12726,20 +12430,6 @@ must be zero length - - - - - - - - - - - - - - @@ -13027,6 +12717,22 @@ must be zero length + + + + + + + + + + + + + + + + @@ -13278,13 +12984,12 @@ must be zero length - - - + + @@ -13965,6 +13670,14 @@ must be zero length + + + + + + + @@ -14074,9 +13787,6 @@ minimise net length - - - @@ -14442,6 +14152,10 @@ minimise net length + + + + @@ -14502,11 +14216,6 @@ minimise net length - - - - - @@ -14658,6 +14367,10 @@ minimise net length + + + + @@ -14737,16 +14450,16 @@ minimise net length - - + + diff --git a/sm2000/CAD/_RoweTel.lbr b/sm2000/CAD/_RoweTel.lbr index 623dc0ec..3fd7663a 100644 --- a/sm2000/CAD/_RoweTel.lbr +++ b/sm2000/CAD/_RoweTel.lbr @@ -1355,8 +1355,7 @@ NS Package M08A ->NAME ->VALUE +>NAME @@ -11799,8 +11798,8 @@ type Z, winding counter clockwise ->NAME ->VALUE +>NAME +>VALUE @@ -13745,6 +13744,30 @@ type Z, winding counter clockwise + + + + + + + + +GND +>NAME +>VALUE + + + + + + + + + +GND +>NAME +>VALUE + @@ -19783,7 +19806,7 @@ Radiall<p> - + @@ -19883,6 +19906,23 @@ Radiall<p> + + + + + + + + + + + + + + + + + diff --git a/sm2000/CAD/eagle.epf b/sm2000/CAD/eagle.epf index 3158f5ff..fc8f08f0 100644 --- a/sm2000/CAD/eagle.epf +++ b/sm2000/CAD/eagle.epf @@ -13,11 +13,106 @@ UsedLibrary="C:/Users/rbarnich/My Projects/EagleFiles/lbr/AA-ipc-7351-capacitor. UsedLibrary="_RoweTel.lbr" [Win_1] +Type="Board Editor" +Loc="2084 285 2683 684" +State=1 +Number=2 +File="SM2000.brd" +View="-176.098 -3.19416 166.59 145.442" +WireWidths=" 0 0.1 0.15 0.25 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 1.27 1.8 2.54 0.2" +PadDiameters=" 0 0.5 0.6 0.7 0.9 1 1.27 1.42 1.67 1.8 1.9 2.2 2.54 3.81 6.45 0.8" +PadDrills=" 0.5 0.6 0.7 0.8 0.9 1 1.1 1.2 1.4 1.5 1.6 2 2.2 2.8 3.2 0.4" +ViaDiameters=" 0 0.5 0.6 0.7 0.9 1 1.27 1.42 1.67 1.8 1.9 2.2 2.54 3.81 6.45 0.8" +ViaDrills=" 0.5 0.6 0.7 0.8 0.9 1 1.1 1.2 1.4 1.5 1.6 2 2.2 2.8 3.2 0.4" +HoleDrills=" 0.5 0.6 0.7 0.8 0.9 1 1.1 1.2 1.4 1.5 1.6 2 2.2 2.8 3.2 0.4" +TextSizes=" 0.3048 0.4064 0.6096 0.8128 1.016 1.27 1.4224 1.6764 1.9304 2.1844 2.54 3.81 5.08 6.4516 1.778 1" +PolygonSpacings=" 0.254 0.3048 0.4064 0.6096 0.8128 1.016 1.4224 1.6764 1.778 1.9304 2.1844 2.54 3.81 5.08 6.4516 1.27" +PolygonIsolates=" 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 1.2" +MiterRadiuss=" 0.254 0.3175 0.635 1.27 2.54 1 2 2.5 5 7.5 10 0" +DimensionWidths=" 0 0.127 0.254 0.1 0.26 0.13" +DimensionExtWidths=" 0.127 0.254 0.1 0.13 0.26 0" +DimensionExtLengths=" 1.27 2.54 1 2 3 0" +DimensionExtOffsets=" 1.27 2.54 1 2 3 0" +SmdSizes=" 0.3048 0.1524 0.4064 0.2032 0.6096 0.3048 0.8128 0.4064 1.016 0.508 1.27 0.6604 1.4224 0.7112 1.6764 0.8128 1.778 0.9144 1.9304 0.9652 2.1844 1.0668 2.54 1.27 3.81 1.9304 5.08 2.54 6.4516 3.2512 1.27 0.635" +WireBend=0 +WireBendSet=0 +WireCap=1 +MiterStyle=0 +PadShape=1 +ViaShape=1 +PolygonPour=0 +PolygonRank=1 +PolygonThermals=1 +PolygonOrphans=0 +TextRatio=10 +DimensionUnit=1 +DimensionPrecision=2 +DimensionShowUnit=0 +PinDirection=3 +PinFunction=0 +PinLength=2 +PinVisible=3 +SwapLevel=0 +ArcDirection=0 +AddLevel=2 +PadsSameType=0 +Layer=16 + +[Win_2] +Type="Schematic Editor" +Loc="1997 222 2596 621" +State=1 +Number=1 +File="SM2000.sch" +View="-9.15959 -6.11632 440.723 285.516" +WireWidths=" 0 0.2032 0.254 0.1524" +PadDiameters=" 0.254 0.3048 0.4064 0.6096 0.8128 1.016 1.27 1.4224 1.6764 1.778 1.9304 2.1844 2.54 3.81 6.4516 0" +PadDrills=" 0.5 0.6 0.7 0.9 1 1.1 1.2 1.3 1.4 1.5 1.6 2 2.2 2.8 3.2 0.8" +ViaDiameters=" 0.254 0.3048 0.4064 0.6096 0.8128 1.016 1.27 1.4224 1.6764 1.778 1.9304 2.1844 2.54 3.81 6.4516 0" +ViaDrills=" 0.5 0.7 0.8 0.9 1 1.1 1.2 1.3 1.4 1.5 1.6 2 2.2 2.8 3.2 0.6" +HoleDrills=" 0.5 0.7 0.8 0.9 1 1.1 1.2 1.3 1.4 1.5 1.6 2 2.2 2.8 3.2 0.6" +TextSizes=" 0.254 0.3048 0.4064 0.6096 0.8128 1.016 1.27 1.6764 1.9304 2.1844 2.54 3.81 5.08 6.4516 1.778 1.4224" +PolygonSpacings=" 0.254 0.3048 0.4064 0.6096 0.8128 1.016 1.4224 1.6764 1.778 1.9304 2.1844 2.54 3.81 5.08 6.4516 1.27" +PolygonIsolates=" 0.254 0.3048 0.4064 0.6096 0.8128 1.016 1.27 1.4224 1.6764 1.778 1.9304 2.1844 2.54 3.81 6.4516 0" +MiterRadiuss=" 0.254 0.3175 0.635 1.27 2.54 1 2 2.5 5 7.5 10 0" +DimensionWidths=" 0 0.127 0.254 0.1 0.26 0.13" +DimensionExtWidths=" 0.127 0.254 0.1 0.13 0.26 0" +DimensionExtLengths=" 1.27 2.54 1 2 3 0" +DimensionExtOffsets=" 1.27 2.54 1 2 3 0" +SmdSizes=" 0.3048 0.1524 0.4064 0.2032 0.6096 0.3048 0.8128 0.4064 1.016 0.508 1.27 0.6604 1.4224 0.7112 1.6764 0.8128 1.778 0.9144 1.9304 0.9652 2.1844 1.0668 2.54 1.27 3.81 1.9304 5.08 2.54 6.4516 3.2512 1.27 0.635" +WireBend=0 +WireBendSet=31 +WireCap=1 +MiterStyle=0 +PadShape=0 +ViaShape=0 +PolygonPour=0 +PolygonRank=0 +PolygonThermals=1 +PolygonOrphans=0 +TextRatio=10 +DimensionUnit=1 +DimensionPrecision=2 +DimensionShowUnit=0 +PinDirection=3 +PinFunction=0 +PinLength=2 +PinVisible=3 +SwapLevel=0 +ArcDirection=0 +AddLevel=2 +PadsSameType=0 +Layer=91 +Sheet=1 + +[Win_3] Type="Control Panel" -Loc="880 309 1479 708" +Loc="562 122 1161 521" State=2 Number=0 [Desktop] Screen="3520 1200" Window="Win_1" +Window="Win_2" +Window="Win_3"