From: Dan White Date: Sun, 3 Jun 2012 01:07:37 +0000 (-0500) Subject: update PS max/min values for pcb-v2 X-Git-Tag: calibrations~62 X-Git-Url: http://git.whiteaudio.com/gitweb/?a=commitdiff_plain;h=1625a93fb1c06bde608e5e3bc366f43f69d4d91b;p=430.git update PS max/min values for pcb-v2 --- diff --git a/python-lib/mpsse-test.py b/python-lib/mpsse-test.py index 562b182..7a980cb 100755 --- a/python-lib/mpsse-test.py +++ b/python-lib/mpsse-test.py @@ -61,11 +61,11 @@ ibias = usbio.AD524x(i2c, 0) ############################################################################## # Power supplies # -vatoi = usbio.DigiReg(i2c, 2, (0.5011, 1.2972), (1.9544, 2.7503)) +vatoi = usbio.DigiReg(i2c, 2, (0.5015, 1.3760), (1.9552, 2.8304)) vatoi.alias('va', 'vdigi') vatoi.alias('vb', 'avdd') -v430 = usbio.DigiReg(i2c, 3, (1.9539, 2.7325), (0.5006, 1.2776)) +v430 = usbio.DigiReg(i2c, 3, (1.9535, 2.7137), (0.5013, 1.2620)) v430.alias('va', 'dvdd') v430.alias('vb', 'vdd') @@ -109,7 +109,6 @@ for p in orderiter: psdefaults() - ############################################################################## # DAC # default mode is dac.INPUT_UPDATE_SINGLE