From 6c9769ffcf4849aef1f273c4dec1853d9f5ce9ef Mon Sep 17 00:00:00 2001 From: rickb44 Date: Mon, 20 Oct 2014 22:58:24 +0000 Subject: [PATCH] Correct Schematic Part Values RGB git-svn-id: https://svn.code.sf.net/p/freetel/code@1901 01035d8c-6547-0410-b346-abe4f91aad63 --- smartmic/SM1000-C/BOM-SM1000-C.pdf | Bin 54691 -> 52602 bytes smartmic/SM1000-C/BOM-SM1000-C.xls | Bin 48128 -> 45056 bytes smartmic/SM1000-C/SM1000-C.brd | 292 +++++++------- smartmic/SM1000-C/SM1000-C.sch | 598 +++++++++++++++-------------- 4 files changed, 463 insertions(+), 427 deletions(-) diff --git a/smartmic/SM1000-C/BOM-SM1000-C.pdf b/smartmic/SM1000-C/BOM-SM1000-C.pdf index 0d422a60d13f7ede90df832864ac7037004b044e..3042c99026fbd674b3b9fd2d64f08d7a47dde6cc 100644 GIT binary patch delta 47008 zcmZ6xQ*j#TjAd1 z$`E%8#T_HbSq2ftU1v|8dgEpmlwY^@X5_gVuzg(BwpN~36;|>CoT-?fUhlP=y&o>`*Mc95 ztDCl)fWj}Dz_!@mW#dOq*3 zc7eYdsYfpc%|9+4H_|U;ADL#GE}C^~`L_sDt<`_6vQJydH(LGZQL~+An~t+HJBCcM zToxJVmhM9b^3S(UDhVL8YhAJ1eu1r2;jteYWL;z`ZudstJ%50}B>3!EeePg|B-?R| z2~!X%S*ZHnr*>8l>)&?cuXkhM!peC$<_FaATreX_O?*ywsB4h{V^HVxsEW1}ore7~Vv8K9ul3GlRIOS_z zP8VIo1&=U(k#>AI0M;D9i1ZF3s^__oj4G{kgD=d3$Z$+msC%57T#go^H=1mVwciY zT@wO>dS2prWP1Luy08e-DiM5{#riWa&HHB|=+fX8e3{l?BLwy*XUw5q*@+3r#V$aa zqw(l+`&?pjM7JJkl=82$$14}f1J7Ey!zpOaMI1KEj<$tmTm9jPk=s`4sZ75h`qCi4 z=Rkt*2N$?DjXpTOv#QQLF7Jzc7Rj)P#w=wU1wzc02k2prKdyN$s(QvVl$t(Bmt%cZ zB}0eN$`9^LBwcUnjq=KQ%~*;|R4{dYNVsDaUQU2k{_Ss7$1@Q0NjDs3X7d!+fORf! zGPuTBhaTFSHj19VKE0cP2;m)naR2~mGcrXW!l^7J8*mVVrT|LdUCy;oZScuAF9~|cKu%8V3jU7m~0S2^MZ%Qvro*E>)eVoM$&Otb`Wa_Z+a-&_o)T9hPI&Wa4f z;Ck9Vl)v3S*9+iU`;A76B#Q!am8v{u<$X|ZMhmX#*S+pAb?jqMUe55*P;8=Jj0oiH zmRU2pL2h%*g!ghwykDqyIlM8WQ)VS_*%`SwmfWI}F#_myEF)7gU$9e653@2Je-8aN zs5s${sUDU{B91S;rR-yHI^8XV{7dJwqmHR;MK}D*YF7{!Z=z4DvKE2nCDDH^2<^>E zUU~JC`EOAE<^}E5W58j1kv^=aLm4MESgBe)HX<>5$*r%rgVJk764=|?2S&cFVb`J9 zW#U47XNqX(^Vtg=K)U?HvbS9hrgFXoo$!!wRx^1a9bQE{2s?d3W;npupA_D&?~$fF zHc<22A|++ z_@_GnynYv2QsimtB67@N~ER*REqb8+}qCKKveD$qN=nYx4?M6cS@%--1{vbW+TU{j~rZD}_Ew9CnuwF`IbH#oB@QUZpl7(XOK)HLRV7v(Tz1zk)$g+c3z zrmebtmisWsb6E;Z%Fz?KAZlz;RzHTA{Cr=NjjH$Qo)LW?;ibkwL_WrTwji^YplEy= z68wra+E`zcI*SC1&@@g~EBY5yjIPnN0*z0EzOx(5A;j2_{G9A_rcC;oj0+9Bpo}8T zR%VNqIoEpF2cgMj*>^aON1w{}m>hpHF9oj2#9fztkZGpF0B{Wk2p!!jx9wYjn3T>8d*4FPdSUUp8BlC}}2a1Y9dehn|r= z6Oy+MXk!22=*EL_f`OpMhi#}LT96X80Y=t?PlXm@O3cMkd}!)o^(ws=y3YNBiq!gM zK*>z;7@(}(yd52>J1Iw=n<|+U7Wov3g<>;NJlNpwmcG%z4c=#S-f$%*UQWpiX6sHB z8VJ&s!M%xhSd!|V4sbK+w7#TP?5OX_7aHindZYUGd|)bQ6+WAEmjy2M&FNMy)A%%zEL%=y3D{1_FYXe}4o1YdfETTD6p6#Il=)D+ zFG9NOQX7$kR58AF@=xsLT;)QdWcF`z$?Nq`y0W6|+f|6n(-RtxV4-GR^Cc$NNZqsz zBZwMtpgFOKi@QCAcW&^88|HZ1+I}x=HD`Do`P&6X-dT9{VWN-|=m+IopybIl_Y(m;CsWGXd$pjM^w}i zX}^?T7yEcdN1wdiIsP3Z1b+KaQ}?X^cM=wc$vVpF2I0lFU;>8ew;r1zM)HStdW7`D zuXRLG8~2Nl*4|wt8q^jdJ)*m_k`7&!Nx(^9U6B>vJb>rpRP``SsD@kBs>+25H~CzhhkU^C!+}X^+E|FER~tZDquG?2;249}Z{EW)0W8_W zS^PP~N_gj9##6|~$+eh5GA;WGQ=blOo{zonC3s4dBE>^4eswe#oy-;9ZK%g!I>R!s z1xiWTV)u!~;5^TQ%*3y%4Lp(%#5g@~+@{ov-LGrzBV)7g(tTp^m-`o4#4a`7(*<9Q zkiFR!*5fh;@z;+12UQO>bbUi0%`dunJtAvMpNA589A?kBf*njMuw#zEa0@=i2S%$P zqyEBdSSX}2c zy?BP-zXrGAyid5C>E4;7vPk%w&KLBzGGNn{yaHjYt6)|C|Iy_epoQ^B$`k3qM)K30 zllvasqRo!3845J0L&|A~D&KI{b2CG;bHkprhNx+XYLHkYrnT3QL(`V&rqNXumA2vv zRK?SwmsuE3V#RQJZ^LFCug0rF#{>EuSJq6h?YmS8&1KQR(G;liXEDG$65Cc)3&qp!xulVbHEi&jJ$kIH1ygk`elexE z5cB%#;^2nJ$i{wPqcJ9o0JCW4m!ZA_ZK(FTqjUX0CAC(5_h#z!i$hTh837vt77PkS zBh)wzGf2=1uTeE!!I^xv58vXW}%;JLgi>25XD>-i2DSib~gr=x{= zo)DiYOv;6naXp2gW>^|9p~UY6E>R7IlOEg2XBK=k3(BK$ki<;dVAS6{*)I zj52LG1?jB@SkL!~!fRewq%vz-FG-nBTczsnw-vs4d+LGuefZ4TLHJ2ue8E+7VZx`; zQgzNWT+(jWaO72q>+l&#CQ7l&ZY78v+|H8^lCQ-x#4=VYMag6Y=~SPu+Q}rEdml+V z*lIu~O_{rgsH!RQ1_{7qdvVA{shaY>R!JCK6;bm5-W>^c)r?f}m05}R+DPz}EB=uz z^LuJicauJqn%7xJw-tAg`$?ZOSct`ZZlMsk4_8IM7RN;cseBMFl}LB7v5LXHiMftx z&RtwC>%rXrNq$rD00CgV%WuL2%CC6bmQd!qq{@rxyM1DtL+?8ua|8)_$97L1NDwn7 zs>lG~Tz;SUWb+|%JL1)WADQ3_63ZUnxlD%Zg0vdbesyJulzPLXJCNw*l!XNMM$_>{ zj>h51)&iCIhi@Fs0OkCC=q{9)kMi7^g@O6oe?I8hNkQ^$srdf>LMg6cRz;g_DClX1=KCIPjv@Ednk_5UZp&b@=uFI# zaCi_<3RyD_F|=1?fRXz?P7nf<%VsJAd(3b5*)KbcZ7U5ymq>Mwl4M%spq|v|CCvo837!c$%ww8sFATGqlZ8$9Fc9m(-iD(PxCMiTK@ET_FFiWZ z=ulYpr^$-Ts!OU)o6y3m`?yUtKzq29jWkU!YU}7(zK;i>>a*+5bKUFxXL|w|NcTQQ z-NLEWzG2@S77C~NU-B|UE#rPcS&OO9nao2y$;(IP>G%ta3+%Sulw${#fC(!X;QJ=^ z@CyPHnGuf$h9d5#t({*8T%bku`6;j!4zZF#&fbG&$CKAB zDQ~|%yuKjP^cvukqb)<~xPk4C)g%t5Z!OH)n@M-*zp4lLtaJgONf_Zz%$^*9p(H_c zlNc14(a#X<$ebY4g7b>=Rm)lB*HUs$WZNNlm!%T0-(1R}dQeI9@q;+`cI;&LWH6)_ zTM|C9S|yzq+5v7NN$Xy^< z{)X`lp`@@~yvTz;C1*@OmrQdcj^AvL(@Zg~{1Y!xI3$3lMJwLAn0Of`C;~%ocN8>9 zPyD7ka9AhgY$qrr)dJDXJu{3L*cj(*7+hv(v5JetIvz~d7sM0PJzF)M!=}qdbrZJ{ zQI0B!3f{RpCINPTt;ncQOf)iDgSbAuN4ZIcE%mn=M4Be!cbskjotq#fepEL7!(Zlj zUEzHV+ATn{t;QNZyTwDQ1WcY75sM*5)dQLrIO$6dBdq)@E)flXe`apgxovzc3$Nn_uEvlUCE4^E zVuklE-fS?`7hL-xY&@0Q6(tjlUw#g(&P&0aHCDiji=T3IP-xW;N6M82bz(1O6~s&g z{jPTX-?RFK%~gC|p|M#@;f>+NnX@A>N#$TUkRn{9#rsG68Aam7%J@ed)a!7AE?sFQ z1ReEV`F+J5<$uFq%DG&VJ77`*SMwr_ve| zg&_iVMj`MeHDs)Mv;>DPALT?z1!D^LZBV3JcSg!ESE(S+tXGvx-+%cDNYKq^b10&r+ zyaJ1@4iMeA)Ym=Ld)onj{2V8j{lSu0^uEJ#&%C`j$$eMJdvV92K34CBK{{^90v*^| z8n5o4$xp}a`r$k?Le}=gjhjtD$_1_j(XXeu6N6toO-sa;Oa6M9yWU=E4_h_Mas?>t zw>7(%_zB?mO&8ULhU`meV9H%@Exde{BgtP!KFFJ@I?LQQ$&+nCGJO$cN#(!I#7&Ii ziQ-DxK<5SO4`cbln|p|(OdNxq<$U}`!)bs0J%e1r%;E=`eRvhavY(N7w)2B--Y0a? zKQyzPQ!Bp3(Sv~X7S3@LDKC$crU=+Dd&!)4t-kus-}CSO{4>1g*E)$Oh#_Fw{EJmp z^#g<}77!53ig$QXz66BALST$(1xJk44hDR2I2Sc|t+8FQINN>>U?D~5?owxv>(%>5Y3;&G2QYB$h5Y=1dpW#7er2q7^PlZ#w zGM_kqvD=gm0UT;$OqWK^`AAmYMSP=PgtrIg4^6CqI+fcFAoK=EUex@Nl$&TSYKyhu z%|-kq$QF_wN!2u1Rh^`jxXQOG_0g3-`mYn!Lb-&2 zR*=L}sPo>~Ju&}y(Zbi3wx62p2@TDQN;cI!Rgf<_fwJvuKye%I>p#g9qj@*R#jGJL zifE$T``3%Rz~V9stvr5)OtnjdgZ(TSDhh(MD5-e^Qdef|<*V#k?}yD7-`8PFKn4a} z8f^K!6vaw0?Sls*g72(vyhL7)lt`B--@{OH%cW2Hl1@6k@N=0?KWO;aJ^mJg<5?&qhMGpM2Ro+l_@%b;UP*`5-ahLBLsmsYqLdlGc zh}#F&UgifBq9{NM%O$b~k^6V6WMT>Yd+M@-;b&J`s*mp%DQ^_a7b{hAut z{to1eFJ#Ksac9iBvGj}qF<7uRx7=ePEPLO{A?UU|+3I+QwSRk~<1dsm^JO1Qwcq#aU5;XZ1D@w) z`b);W<3Zt)xm1y!X%oB}u?SV#e=9eC_B18PDMe^)^c#5b9r}4*JM&0uEy0o9HUnuy z1w}q*V;jzrI~2mrieGzd8MxS&`NYtxF~BT~uqO}qKR-+|TAtvPB~`UKT8tqp{6E`- zH+U$t(V%LBzqW{z$@JkHnFl;wQe(bTq=|f&qpl#`ban>V#=*t9)4;SuB zm=U92p5w7@)Idnxl!K^X20%7F3d{2hevr#;ZA%e86*y%jB15m(cJlPggR=cJ;9;j~U*?qkGnt*(at8QjC%r6GtSP>q z^$2xO#EuqIeG>#5m+DRPQdpCI_V1C}{lwfvD8d`5B8jhV94%D()kT%cE9;$mRIreO|bgrG9;QQz{!jT z#AK~@BO6i!E_iMY5FB2-2qriw?DgCYi?^J&|4fcZYeCMF%2R@2oZD0QZw4OG2r39A zmG5jb$_Q1%uwy%wwlJ2TGawO}-l9ImsfodoeS|CT6&^#0hxw$I&WN$^2IIpHHfMOs zkUSD7)8?0uu|HQ8%uU!N2?p=->oaGyk!@2*H z#QVB~Bw6V#8cn*c<|B0XA*cByH>RxYq!jz;IR+i=M~!LntCUp% zo#{WW?_d-CSEj5b7j1srvO^jlPWB@Yk&`92r(2no5QelHdT4c&hV;eeKOkP3IM z1p~k@K~A>B@!&mt?I=lB^2YM$ZI#9HB+8?^vTZw4oR?E^D~-PSz@Ps$m>4dvx*<}A zTnJg5vTT8LvDs39-ma%J$3t zOCI^=JQc?O2KSy&b%M>qM+dYZMMSf9Uwwifj~|C-kt5ml>MzZFl|q&ED3um#XLdy2 z>A&6o$-eg7Hyn^2J;Q=fVP-Fs8<@B!L3(!j$VHOM?0^c;yQ2OEr@lx2t+?<|i0Hx@ zI>0YQKf14AF17%UGGjCy_GOJE=L#sj`sqm~QheSqZjG+re1%qEMIHWM-m+Jl_mmd# zY6-K6sY-!E8Yw*far)h6{((Oq8vW5N6s6sWY@S+aG;Qv?Y$CWq@iae&C%PMRmKRT* zT7bfT;g?Vw{7)2XqPMYEd^8EPZCKX}-{hg_jc7lf|-NOTL%!A|k(`Ioe zeo5BFJ*P3yKMa8{gY1DIxM@*{6EozHiI#)$*&fKF09e!={C>Gd6P|CZbdxvybvS|;^uF3Cj#;=24RwMldL~}`%Cab^NXf% zOQ%W%Iq$OoJzfQa&rm!n+qfk7V%Y)X z;jk@B`lFS~ycF|B)hfN?ZJ_p)%<%amS3z>SsLlP8S*Zzv7h#*Dz8zC_BS_(i1EJYm z#Qg;08Kq=>0X)JgdLS{E{g^f=1@l(jsHp22ZC?+-w-4PT%Z#k0vFLKB;7=g~@72x< z^I1OCbfWJe^a!@+=^B(i4onc{)NN`U$Dd6=D83EG{g-=BvUlKYP%q1K{)_5(@VD;4 zvawpx3vHMXV+_~gw{BCyZ|2`D9?^<-{N(7)FRuI}b;2eRhlS+x#;NI~pyU!5;utg^ zDlY&Xq3tt|T^AAYg(*`v_`)&&9Qkre)-4crw&9Vx9)>?HyD_~dVT-qH8phX%!R-3f zAy)=esPICNa}ZGT}q^97KKye}rUlZfm0~HfI=Cg4^S2CnMpm%Z7$< zWRE7Fay`pUgnCv3c=LDjo^MLvIXKw}Ra2QL`AKF|kSu`pV3m|9(6Hp{~5; zNyq`HjdzSNpa3fe)BnApaFin*chrLHfBlM%^Xw8wDOJ^OG0mKG7@x%q{}P{;6hH*M zDRl6KD26NB9Ph<%EN#`7HZP?}lPKOGppHK5_je&U-hl@rM<4DzQi>F_8wdi^NSkr`)hK0LHK#6^AJLvJMF?We8D(8x!XB_QTvrU zBY(T8I0^LlVFO)Q8UTDs~EKa{pah)G?p`KOJ-cD_RQw&m)D2nZR;w3h??#C zqku}JBezOtLyfVkJG^bs^Wog|Q;GI6}!X!%9CpzYZ#oAGbklPr>Sb z_M;G1tX;=v+uyaHOI@v|<|ZbKVU-?whh79w0q`g43iKTfRlgQ@?}|$9DZkwo95GP= zRBlc4I+_|$*cSZCuCb2wZcIQRbHN;;=7#2l&A=1#;-2=`8;>NZC~sloZH)M59m@E! zscH>Qqxw2eHIbu{A5or^tpP{(E?MF@Wg?w;kW@kkuZ?e300?^hr-jJ1g#4+Z0{2iF zCRCY&`CNI)J--%T+pbZOk+~Thh;1guSXLDMuTIuFbZp3}%e$TLsVsn&)QhC2TlJaodJT_dVRcO1Cx)kfdz@0ys z?#4pqw4q*VAeg=wHI0w-iZ2J0Vl)o?Ir>R2I=&IfYH02($^n}2;_kvlY7ESzfiYrX zyix}2pp*J~A;`^~$eEE4*I(~C3+`(o*xEy)?=9T}CwV*N!cRa;zc=5SAp(*$IuS*t z6XZy-fNmuY=<5UAt3T9;3_Y4I7l^(qujRr+gwm#;i|x1W1v2oyVxvK^`YA#fC9DaR&Y(tk!WF;S| zk>V_~l$L&GeEc7EVCE`EC~TN$;}~EYJ&0+>WS039s^fLuj+M53wMrIrt|8U*l&`~8 zjSYVq(aeuBF~ts{*^i?qqil3RSCkJZ94{(aCVktzq6C&g=V)yilw{U^4H7puiVc;Q z;^WKDY4VSYpCe-)L!)6G$(|h4_wQ*@(=8>Hlq<#J)pB$F9#V6VnUQug z`%}pB2Rz}CBr}3i`SITbU)sz2#uLN7%rac_MA8+mG@c1-Ls=)GGdp;%nb)A937P$rs) zl75gaD427J|EpR4znaOPm0290p{dE+%_~`#U7?ql{xEj~RbB89PlDYq-$%WoM=`Qe z3Kw7Jz8(c%h-+gK%m<-iW$+K~Fo{ARX}lc>2SRz-P?Vd%+7;FU>s+b=t3Y6zakn}Q z0sNkDJe`Kj_kf@2xxuL{yRha%4v+r*L>fNTGEo>wqT)dr0g)#`A*)o_2rO!z`**SdE1l`bJL%p~kk=Fm!xd!(}$OWo?ntr)!qVjSYWz}8; z@QetD+KCAiG7wdj0!hf5Z9QqsKa(|Rp0XiH%kCAVDR%KlXjd`6Crrp>U7!@LrgLs7 znGnGwEvI*Fu+kNA&nj7&${GGq?L56eWiNZ_ib|hOUNP-K)P1aB+^fH#PsSoQ6McP^ z&$yVzuN?nh1q?Gg7M5}|N)_FARf7QM&7ID{LovM|dK1?!(;h;`7Mr4@8x_%mJVFQ3 zG}9P(1rRMCPOqZu;u_>|bKR02()t(GfHv57A!}jcQ!V)yYqy(h=bdlnRntxG5(`4H zK}euCm*VzzCpn7hheN>x6OQ6UkDYePRIkl9*(o zHldemOc=G0JjS}QD5(a4L_UYbP>pno7gjRCiPBKW5h!FiyXhubdMT2&(Sbcr?aCQX zZ-hjDMtaP(>LJ1`YruYl8BD8~F`m6}l+lV1D7{{{A&Mq#b4`ZNLO2bVzn&CtFcW5D zAnLB;5LLQhI978WanZFClmZ|GUweUGEbW?MUC}~ByS?yRx!R(%y#x-HT5aI~Y!kXE z{+QaVo%p&IBr1peS9STj(M0w6d8VT*STV!@kc;N3&CbVys#H*C5izN091_oi+h^${ z-{`&Y#RmPw_DSllU$GAbjmYkBVOUrk<)r)PbdPWd!%|g(F^u&Hz^$OTn|K+$t~*L6 zxFD|Ij{Ew{jVmTMUG~D&V9mL^9)V$Z^2XIrnKGwzV-1dvu0_xnge&Ruzq#kvwGik_6chfF4xs>Lb;~mbr`Q;E z9KR^>QZ^-u%7O$yNr7{wLGHrXC@re~kfm!6innihz`2$A&Vksax4vxla8a@wT~QCQ ztS&TV+%f985oJd4`eXx&cX-(2t!`m(De9Q1`0Q3x{`k^ zmh6(`K7R`8@VB`Hafvj>kbWfRs0}L@RAG}C#t6TcSD#J*%xMd&V@LvMAd;CN5EO#wkRqo557}&CegC zTUa0@#Z^dRQu4&Xe$D;*!IGZ{VXfMw$wt1|Q(I=A!*woTk;(lUGfN#fW^fG$nXD4& zl?Z#^Xo)>MV%Z~V!XXWr=K5^rwIC=)$03K}Rrd%07A)1*Sk)ND*xlKKcXs6$2Cq@iFNUHhzq*Uw+d+= zIaa#r{G;jh}&X0;3E?16;g-^CG?<@5|h8c7mEQIu5WOG<5Hg1?X9;HkVU%(m5dW4A;k0t17K za-XsNC8eDGUTomxe1-%5t10j(>zyDQKCxsWsFdQDN&Nu>sT4tu=CbYVf78as+Cj(* zQAE%~5B$Goz$5;C7CA0N_GXv*qf)CK9D=ca7m7${`FS*aE&!=$=AQ74E=Yn-Mm(c~ zESEqq&t9`nMpc6Y2RBIP-c<2Y9iVya93gZ_XkL6#QL=5WA<34 zwZUqFf@d_&EPc~OADlW(#EpiAJrpdcqA&6*vIH5f@y+kU!R(FWbp2`1iAG5hAsV)v zl*TcRhpA7598UG1eZH|CbYp6tgxpb~HLnkM-GI5)*cVc1FNQ6Od8dyn0x&g^WA}0q zHW2MiVOP+MfSm+~8LE(UMBeiV5p<&qu4-e}vsj2~7yUMg5utoB&^x4f;`&ku&oU%1 zJn_?dyjZZ2gBq8#5J0CEF=Y)8hp&MPk|Rk+ElmC?8hnH*4_O{Q?+mJ{u!@^QG|AZ? zRx3uZX}R>$G+GZS?o0;C2<+w37kD&Fd+==;(@~|xLtxn<_@t@au zxm^U^qc4-5d&z4{lj7DO?Ao zx>%ot9sxZl)Wr3ESaq{WP&TvrmFn$3L|mWCg>dI1X&vqn)I71I%|}X%Ou$vM`mRr= z{z>!|g@eSyc}r0dveu?98HxO2+#zJl@^;%5>HmlqUshkr_WNgc1pc2XOFwx!Hj4=@WFkHySsYkuLKNzgPQVdD&_2Af_KB4&YG8V^*`4IZG%$a31n}Ope+vFx%iW@5 z_?Yu_CnW4~J-Gb!L8PG)@yq@s_;z_GQ@XkV3Gmu6cjrY&Q?_YcpRM!A13px{E<0{7 zd%`a}ud}bisyw*e?9$&~u_+YeEZ;8d0>po)7doerudkZDt!!nF8DCm&e7$uF_-@=? zaB~+h5ffM9^Sb9{uDqJH@zie|?^g^xA?3YzX8$Sxs`9s!=0muA~G3wG=Vs!A1Vd}D5;HsD2VpS1g;h7ab5sGQPOGehC z#KM`7<5jqm;GtWl#IA^OsWdU}OEq$##7;cubJ56<;H9{b?bgZkHb6C8qWDcFWBbW! zs0`E^=b(SEX!O^bnL+ku*2DNc#(wL~tV9#T@zWG8HgZH0+epSH0pt@w6G8!D;bK!W z_=Hpv_BiaQBH{&>lu)U`2J7%9>+Ay;9ao;NyqS01=IBS3)CvMOVs*Nrqh4jx>`l5N zW@`F-x)>DP_agMou;&6;ldsV~u$sbcq+6r-%rUCbzi$xhiJ+KZOznRD|GWPG-t3L7 zU^t1Gi2gm35X8v9Sy@?<*u@9|5Y|B^*1kTtcY^e~5OOjyp47iJ}@= z)uNTQrR~aPD?N&&VP(~3j^?ORH|d(?4J&Ki<^`R!x6HAdocC;OBIc*ZM&I4*I7F`5 z>mBE3=UM*et#`if1;I$%>+&APyDVKM_d}ws`e4~%->5I}@J_D)SH%+mj`)aV4;>!N zr0A3ea)_2FmxGJN^YcE`o)7YtAiS54Z)4S4pC?#K{5zi??}GQ|nqQKv^jIQ9_-5;4 zEF9m%k3(}LMk2B1D*N~1?#A+hp!ibJV5tL+I9qJXDo=Q?C9h9QPdl<= z*a<8<=1%jEpp_w(v$A3VL@=x)iqWr;@F$Udsb@xYLkA9;IXO!X~&E za#@2;Rdz^X)r)$^2+f(g9&bhF$F}us|8EbUiZ8CmUXJ^PXtyVB{R-fCNX`ynncD@7 zyqvp2jl{9^txY7ZlS)vqHj$Rjfmd}oyN{NN=GWo(`N3n^W&Od?v5Q{(Xrk3RQ#V`uEW%hhI~a3RnqPc*Cibj!DQ{vrxATNRs&OKlLRqRg z$HUA{_9@Hw5rrmToEWuylt~XAaYZhs2GJl({6j_L51&wbX6(#pM9ii;m$DHPl~j5s zee%%^qBE~Zq?ls*9kjD^iRFHKD*`o}i>FR{2N=s$B^YZIda$Jg6_G9Faph1dw*7K6 zCIq*t%m=IICpMCa4|Vvw*Pg6KQr045AtpC|#UBxUVdWkGoXpD5IbmQCjPS(ed`;{W zGq8}vUH*A(n3iPM@uxYj#r8w%Y!%bHRoC#g>sdnB6nBg)!=|QAmTk2(weF_Frt0wY zzx~tYj(YZ#GcUzd3#Q4Wp7Q}WK-V@vBe(TV@6B7$G?W>o>8;94aQsJV5?1?4vc9NL zRm)6HvG*`Q8y>(Vg)ehsX?&PVAwAUh*eB934~qazw;^%sgr%}9%S07PW9s{t&XP$% zQ_j&vd7AkYRcLoBU+2|RqC+(!`?u2(8Ldfqt<5!+!NUBH1e(>cVPlUQ-Xok4vBJ4A z%bB}|#F3+;lHkOs{4(BQletBjOLL(j7Nw9tnnN`}yj%ogaod@TXm!QJz1-k*b_9O= z#)KcsB(FIv?`qk{d`O`^)m4HP+LC>$n;zx1m%{6S_++>^rB6P9St_6`TdPpU==TJivPsdRyVo2f80#?KdV*?g#+7P4VEeZzem6csLEb1`(@K}k-QXca;eDbYGXt)xD(r{4ED%CNIePd!>1*a}oPHq) zB&SxXO%UH;Nz>|>D%1Si|Fl6N>S=#Qu*})I+q&8E2IYm#Xu7NzC>zg9x=31E&|K#^ z;2pvbq^mrTFZuBTnsIn^6hM-fKE8Nly)LTRF_f2AI|*Gt@HyM!w9(<9!agfP&>{5) z(xz2vUydzr0E1XA`j8K@I;|<2j2!b7$fVjyP0GwPi8>+Wbc#e1yh%bAUq^hcbiS0a z!kAY}p)VS8?K`h8UpUlh7Xw37zN_F==iz45us|;?Rt2(83=$2B9aGKxcqSL88rWiR zWSG61ZT{H$@mBZwpyXq7p%Q0Q5KYzait!PsU>u)=>EPQJ5~i0^SJUO^Vax>rH(RWr z87hz~?R~9mta8(!7Z(-DyD1%D~zoTciuPeC*LgIf)Gb$ujeWSoimlk*`Nc zFr^?Xp{PLJrqI=CU=`1scYJ-*JXpNLCl4TT$f07M?w-`A=MkD16Ubf$MqPN+J)s=U zg0{bBsI4ZR#-fsD8i|nY;sb9c%S2NeJ^|Tlz zM68Q-vNnu6kXD` z>BsdLj59m2eE`)|4D=y_fNb8dc4H5tF^sv&JO;@^WZO|qApx>`8WmOG2gx`h7*}}# zJsh~(*l%EQ`{yU6vh{LytmfpD%mxXoDAYP-02$h3^{O{mvJFKgVE=Dj_Vj7S=F}{9 z!J3uo)c+D_wKZCe=fa=&MWPg=*Y489;S&!`qCJZHSv=iiM1TE5XL~ojD1rL7hXPs| zjV`Y?zwgc8yNN5rY+kpM<7~uNS6t`RS9(1Dvq_q(ADNY$XC=1?QS)X?<igv~=g?%IPRn>CmXFkDMr zA%Yu@c6KQ5B4G!G16kRS=B|YCdn{+%^_|z<6G4;BBqoxnM{ZXmMRE0TPp46tZ~oK~2Uad4JfANIv@F={D|82C zO`S@JQ6+Y{o;jWcqI(j$@b8DyoO%W=JuN+D90;wzE5xYply|d+_Zr5(3TYAg>Y9e1 zjAI#B&@ZAhVRZVR7-@AA(CrzTBUv+}bdh*?}O*;ZE1 zGFVRiu+xsseGKF&4AS)q%*iU0`D?H4)-gL1Os!pgkY_aH(o-(wpmif;9Y2;V&CS5c zMb2Yh0ML5-B2xi4s($)b%9-}Q`x({+mIl_2=K8q|-6ieoi_MMe0szIH%es8X_#=B` zoS%TXQHqVFExRYJ-i}OMkMU$KM$4vpz(O(ULMMEUhGy+vdPYZU)U?8&`?|xk!g_{-Mg)vx$6&Jd*-Yic<1yV?y54ek>t-288Ckjf%+TQj zAZD64Xyt%T=FRL2cId}X?4x8uBH+YzK3w$^TP;=LH(&C|B3?iHq62*Z-b7L~llyi* zTB8VN?)$cp;rYX@Q3oxN^RJmXiwY6A%1d}iva5Rul3J@Lu1D{7 z^SeK4W`FZgm}fYW16Fg=TE%U zlxm$&gKDo@I{%(?a^Or41Wy%o?e8$=n(18c<8R@iKNo{3+i<^S@FK@Iauwj@0&95? zso7eNUkxK@(>SG9pv|Szrcl3RmDn}Y-RM-<`_oJ%f0}s;FLrdV2=O%$H~w>6PT!MF z9(4x|8jQP8{HWMl#iTL&p zoai1j4XO_+e=2nqp*X8Iziw??foznUfJbj=+&*z^;HHZ|tksL&bMl=L?1Q#!)qz}( za~?@QTnar-6&e{?kWQInb}Fdf8pVxwC8#$#Mz!?J8z^bPYZ^Sh_E6%%&xKyN9a739fnOs6`Ze8D}ib-TVFR-O|H^=G$>QYoA13 zYI0WPvj?84(m%L(y+GR>kYE?b>Yb77Htj2P{cjUr_$M)TZ?;-Mwtkd-(I4H79RjV5 zd5pFeUZv%BVx?i3eW(Kd;baud>u%KT- zoxG!>YLOiA3Q;qoKNsI>$;8}C-kaY(RFg?`A3GSLLz3} zlKOrS`A_KuP78axja}AOru1Ca9zaY0?rub8hKjZ)c4$iBzw6CJzE}v)xbX_!Ampa1 z^D#g%i(%U3FixWfgoX5IeSVD)hTDDdY<2T&i&-GkweTW=!?bJ~@ zX?5r6e2AX**+*e2L{w9U^XpdRYLxJU-8drBFy_i9H~MoPbPJ=3GN0?OZ+OgIYI)g! zZ?6*hc#nDZJUmQ^&5(9JRS_|6sYA0i$m-+hvPs*Vi_ttZ=RzA!9Ohx*`Iu=82XwN!omTsEc4FW3in7xJpv={q zk6RQuik*67H(T{OIf`ul_SZLSJ}|gil9Wwx!*hRb*c1sAZ5XtKc)J~eyBlz#D2-5J zEiB@lNmTIs(64{JEB$Fde|)j>yu+%W({B7Q!F$&N{OF{ZR<7r1bx=1dOxhOFM{5@r z>kFG9lO2mu#@(k%WbIuS>e?~|#bzFhg25+oRFFe4WX<|NgneUhCBU|BG|7%_+qP{R zlVoDs-ocJ-JDJ#aCbpA_ZQGN%bN;+K_x^cRUv;nU>RMG@jp|;6r1MN}QSEBfNRYD5 z;9~4Os4*eqPGti!`nbCtPOdbZ3Y@-mH|>5tHE393Tr)~g02kfy1?1B;R!Z~3df$OeHK8Gbt#ZEDdE0$U?w zxKN@i-SXLq4e^}%Fr%@o;OXgSo$ACq@(hgd=%WZEVYV$Wb)Jl(df(yho%4`xcangh7G-ao9=8KiuWZNQw)p0H!PZ_|+;-wv)+M=hEDnsUCNrvQN_4Bvv z-=Ovn0&X0rKFs3)+f@0@marcKYPPxt3YJbj0-F4MQrqnA=PT|U?e8uKc)9BO#xAB9 zw%v}-r?6mJ&JhxCTDdyq^zUjWHz}F?y~US*Nn{(c)%UtdDnaZdAFwk!SFg?=bCzpb zkC9h*xbKSxr4>ht1n+wxHGX~P5Bs2EAfY|2l=oU%~Ih-vm z($=iQ-D8}OWW^|*n&n80h(!h$wAt~`x0l#h%NV74FdC%pz%>6gz8R|G@@+<$kt@T? zP0WyzDO}oTx9FnlpHJB@9;G3wYpdN&WI(FIWJ!O?j>+z@*O>KSiF)#9jZi5jv~kX; z-k;0#%)+bOf0m9A??E2 zIVvPX_oOZnWaE|aY5H8B>vJ~sG5=swVVq}D<_PbI45TVhYUb2Ce3$ZWvZAz(+nUi(jo$Ht zkRtq%RqoG-8tCuc|ETD_a!G;?go&5asP^myp z$9DlHoJCVhvnZu{w=co90o;WWZp@3~9TkF-ZXJv_mFkBj49t~w_x-VOG+IrHqfWN_ zq|~Al$|T~uN{YUXBjuC_&!}a-;`ha!Qv|t09qYOKJg6=`h%hmVw6m0^6J3T)PPtqK z1of$rQOSUrI@CS@oFlIs5MlS0*2ugXFy3Oa|1x+EL?p2BUwSK9B|a-Nv2XmHsA_~M z+s;X5uhuHaF04usH&xl};+(Tml&oL8U<}YZr2q-T30YFc#$V6asA6GyS5(JL$W=h- ziwOLIDQBJfb0yOYA0T^%zPS@&a!vRshPg{e*T0ECIG>ubepPA-+TS<_ZLkldWsXR6TwYkhPa&3kJ8I5Nub_Mfi~Wk@ERLm#r%p=Ulc7< z?cuCfHr05%|30bts5eRpfnDaBm2!e?a~kNkt=5NISj@!Ky}P?mssbi;7-U1K#o}{+ zzv7>c<0Ib@$0F1Ypc3s`R!(Ah1iLveVLK@ckrfVU?=;F`b9JgWbw#e^nM;?f zhu>E=>RYRSQN{!f4 zA@}cjn~fbNN#kWC8uNF}>ch5?%Sq;~p1h%8y$9T;NfWi`fiGca{e^+1E#2gumi_y) z`Az|a%IAY>{qC@w4U48F zfODBy+&!TW=#tpi<1tIJgrC`AudwEFaoPT~DDxGuc(lx#<7e(BO>OJ>JG+V*b6I5K z`ewp{;Y!EYz+Uu?o}EO!Pol1!+{%*xuu&vb-=IHd(jA-Hmc&%9t|f#X&-syyJg~GB zcB7rP*O2c}f6H%=BA&p47M)*Ny`#{TTCc{Zu48!o#ja>3#%Nq< zQX|gU54u^KC=`oj>Bk?_O08S0&6^N2OJh{CGIeL_fjPSIM5jMgs-bT_YED12oKZ2c z^IFTJ2XpS7d<=c^_}*ZzaY&sSF*riYjRTgR?kJOR$;e2o(P;`)OzDt|w>YYPvQuWfsktil1POX(BFG(yx0$ z)M?oqv(5i_^+3l#l}xq0uVj|rlbNJ&Jg}0Ka~v#T-WWb9?@5Q=LyIYy*ca8l8S9%F zcA3jhyKgL&Bm=!h_!PWIq3rSz5l7*=1DP(x4Fr~*3DP9e6FIQ#H@}R zIGJS-KLE8^j(c*xuENPZ-s1uaMt&Qq1o6pay}`ow3GWw$z;%dKGS>BrOpNu1jn@g`%1wU5QOChA{-D#hV#9=lCHO=; z5=Ge0K&R&YW8Qdsl$Vir_b1gWU!x+<)vo6@$z(@c&(Y^#n+#Sq1TP4 zxST@PeC))KsNK=WdT_>f#o?cvr!oxw#&lgQ;uLo-&CBHbE1Kf$7TgF`VprT)1TUYC z4t{T-LeGRvoI&ECobIFd{y@6bk7fx4K`h{8yAEsKS-@d(IN2=x4kHurm3YSx9q2vV zbr(jM?r;D4-m8C|Hg{<-{TjJn$-mQK`G+uBUbv_Au=}RovATb!9d0B=GFbJG@*}9@ z0s=2{yS8mG18th_RCOPnBV2hNwp@Y$J-HG2|;aw5=YSjfSdY zI2nRV0`;Gl2D8m3mA4tOFJ;J&fAL{RSdqO2=vXU+rVNN#DwAa88aWKazn54%%YC14 zI4V(|bBzeN?jc86xnNAX-MqN`fRAL+<1}D`U33qJsHkFiI40o}d1e~{Dgd?VA{Yuj zkXQKY(K;@Cs5=3aX;Y zs_x>-kam(zLY~m3VD*6ekwK2fY!#qg`#g`I+I&(my^oha8O1n1$4fd!;0dNqq@6q; zmNL9&U3KWt@bMSb^AOFH&jZ_Hr3LUhl#7F=`$$suAqTabB1%%KXNQ_BCfuJJknV z6D@KLI44uPz}``K=|(9TPwLF0b=*8%!X&+IEDQx7cXO!A%=9o6NJDdP z>>DJ#%6!&ehGyY*(1m^9UxqFq*%UWEfB)Kw-kAc#yTk zF{HR1QPbV*O>A~uBNDZnN296K6opfDG&JGtXN++e!oXD7}L?dlrw z+g7j#KRonz?q8skZ3nNRWBR0(gyXM4eo(rwgQx1{s&NvZclc?bnhC@BG_#^=)J!Br zEmlPmd{1vMRAiDN`8*%}!{mh^-+0p_D_$Ju7hkhnZeA zwdH2K7SnzNQElJ7bo#a5^SybnIdt)<;YwSHi+YXxIF__SRMMC6`)O&5Jd@w2m?80Z zl%}Gzhb;Z;k(!onlV2`H%$pEZoe@hT+rNkw-raETsXI=L%!W5>3S;ODGB&W~?imA& zPx){F8EL>F9Qgr`1DXDY35@IpZ)EQGkvpiaXv^!pAZG2!qN`tnAFs1KQzNHWJs2@1 z<6}(cf)1WjiZ+q*w3Cx&zeSS69ydjf&ok%|9Tq1(Z7!k=PF@Lu5HZK!*3RBhV+HP= zblt@Qo}VY>*X5IL7c>gh)ugZQKZnM;B_z4cy(NI%MW;DuX{Fyc=%3CX7o>J_HpX9I z_zs4X#<;6HS|nbzZV2aV_Bqrf4P$*aWo7Z$y}py~&kKxiDZph2kF`3pcX6x2zHWjS55yiNGHGKa*fLPFCcV+cS;|$^s0TaFOOIVAptv>NEHH&mC(Td)q z%iuyDqj+drz~kzT^3Ze(3g$ckmD-zhQEJIK!;cUg$(-$1 z>txwF?mOw2_c34Z?WykW>T+fBP8_Yi{BE44>HxPseN}D-#;7QoiQ=(s3}Og3x-~ww zQd+S7^Gr5v>78r=*$){ouGKaOScY2F^^_bmhJ9-;6_x-)(Pvg>kgn*nDdJeKn*p$l z*D$WBd&84KCokG~uU+tMyiz8K?KmT78hlhic1MHq1b)S8Tk-&-iP(R|AiDju>H#_e z4MwI#E8%>YH9Kp*bp-*D`YfHMnynb?U6EWx&Om#I^Npi%dOf0=y zuZ@LiPmOmc-)g1N_vp07rNRm2moo6IA1c2FBY9xJVPMvgYBz4Ub|y62VvM|$Ou2hN zUvr{2; z-5E3anp}+n1*-*LhnQ0SF=qs>@PZPtiC+UNyZy6Z{nWfKBMUO(;dI6brzEgX+^T51 zlBMl$0voNY?kkjMq?38cNIWXwvJB5q7mK~h+*r?>g(Q^xJnP?#eD>SBnNng^=v)9o6*tM*G>Vi?kij-`rBW5=3yWYSmNfh%7@RMO8tq?ZtoHPANk1m*6n(f?aVnOC?#MZzqwMPB1`~CX~OhtoOpuc;p0!XHasGHYaf727!V_HjUcd(alkqb6-CK ziO76AG)WrXaEO=wmU(`Sb4k&O886I=L2IDvwi z1ncgT3Nq6~OA2|Lb>rUqsX7o-hkJ^YVEGy8k3n=wpgL#=mj zKo+{T5^R0}3_^Xxbs#4r<-|YyHi!wDV;1lhC0-JX!M?6P81v$oLML9sMU3ueFvLfU zCjHE{(t+5sEHb8DQ!?EPYJ1(m~i0mL5a{^bsS<%XV;} zA&#PkJRV!=NHsf`{^Q&_!YMTfx1TzEPZKGMbrBN9l>Ubb4?13JFE~#nk%7(+hLek*A~I>O_Enqf(~z<9tq+6J45T~ zl{=HIcjjV<^$b(|jrm-Ac$=-ZlsWy*JXgXJ7f|j9<&pIlnSIr4Vnu~ zNN;J!Z6`+|qT(mvLL^-=O|yiv$$=8GMf~VMw80NP!75Cfx2j1VC~r_qgOvWYE^*eV zYmYI~h09~tS{5iwl7fTHGbmO^4JKNL7!`^w0YJ-nzvr0N@3fL{2iXZxJ7w@#wo0Ic2=#ffLvivS_xlUq4=YKx<~B8 zfBxA&xP+>3m~B=1Qzh%qJ5(;ezu;@p`c3#Pl5toofHPJUkw0+KW|LtGJ9~W5Huf%) ztN5^W=J#*PQzkj*aF%yiQJ`M(fPgZ7U&#+aW6_pu?`Pw=|Cjp4Q*t5+?<^HI-(35oE@RLo2oNHqIC`-4MDz5;UAS#L`Unm zzSI4$P#A64?@9O%~N_kq#V^)9rU%5UcZdzga-GDAuP5!PoV{^AiACGf~ z1^y;Bi-)&?gy)+p!p-)RAVME5R$dke%C;wQ^n;CpE+OB868{I0o5Q8oevrRkf#<3a z2dw?0qS+d?l#eKae~&4Og{0KaFzWD;f(d(da>#=OR}&+(a-#tuE+M!9)5ehX@Nns} zs}K^w4-|xAN8o}`^R&=72v#tJ?0N6svByGiU7W)zG_kXC+5MEI5omVr$4;)a91vRQ zvJdZZgtGwqn5Jm8H(D3khBw6}4mKA5wT;RTK*|cjYx@`6!;0E0pCA<6-ctO$MfoKz z-sro+oPS8q!CXU-^Um(!p-$$Gu%O@-e&lor^3YBGCXkh@)4bc$&MCal(dFT^bv)8a z3u}85K6hi{-aS@H6x(yPj0(EImW7^SZ5tj97l#-(D~I5;&2gR7t+UqjO-+Unn~bFH zi#BEIaaUz$x2Q2teB(W=?7fgCrT}PVVuyogxK{D@~0UT zVrh)T1nQOBd}nyj^`$VgtOPg7${-*GtbJ64+MA@nx7w&$U!z;=EXouY7Ib~@fav6wlOe3l$Y%$LKE zpifzLnT3228ABeAABz`d&!c8eI5;dj9A-|v5AjBd{uA?=^9kX01@(sM9r>B{8P+WqARVA= zNDTz5@qJ-GV-}CoCYiOJ*LM`D6;5m;JwZ4e^2<1B6FdJdhDcp-d2y(SCoNP&0OXZC zCK$0>rN#>>{xa17ce2*BvrH?Da`3 zT8{0E?TkwgJP6(+*HaTGAd`M6?{>p9%(~1T`XTiFl@ubSHij0aY>GB%vMiZO0!*7}}^UxK$RF=?H{=}>NHgiTB^@g!!sg`0Xn+%)d1*|NCHDXp= zQb4c3ytH6bEaW;bsEA%*c%b!=abDm!kNSxlnw0j|$TeoHm%<%#JZJ6YQxX48nj;Ty zMauZIw0}Izi)d()gi*TRW&tkmk%gBwAleuFTp6s&g+!&#S^VYQ-L{L5e|jl z$lTji-E^?=y>mV5yfmnC0!WE@WL`=+EEyW`!$YfcBlTFJB@^9EFIJ8;eOQ*#1a($5 zN%N%orU7P4P&9F4Z7yMYf5y=0k;&u{$~Yf>B|nea>ISuOO?ehKFeiI<47Wcg=q*RX zwvGgIP4}6vOwg-)#Tt3BrHYTVyKQC79C-$?hegWZ0*{I*L4ZVK46I{EURe)m9yP?S z-Vtgqt1ZJi=PyY3&0`CFxTbp;s1Gjs4HSGf(*ViQlLQCO)Khy2CcUpwy+(3Vd2xl@ zT6|gaQ{ZhDO#bD?jP}#>9-X?a*-*h9ok~19I`>(S81=N<^>}NMw?{Q@ zsPs=nJqiAPcLs?d7S$a0DQV2?o{|5X5040!C`G%kCiTc3#Xn>Iz?}`uLm!<9_67`b z82L3q`Ap{R1GoB$%8SS^dtwe4Psc_}%b>?lVeC)QPQkG*Um#ZyJj^P8{iyEuXcyZX z1ZW#ll+)O_mTQqX;`^89ev+^Z94&X~KQj$R!A*GVV1qNqM&&i?G-!-i3gxg#dA(K@ z1%0(?Pm_jiWo20WW9Kfl=YBbdvpoR?{SJZ|%5XB+7t(V&kjeYC8;fgwyjB+t&mjR0 zt)6;>zeKPj>VT7LymP}A;}wV&-AL2CAgoX|mR5F`QMRT;c?0KXrI`uOhBb(=9wMTd zb2yo~0XJAxuHt3PXC)iT2D>>q4jA}cEo2EVZAD1=eckyi=&It$AmP77@+(&PL$yYm zMV-z488_&y4;==Bo7LjD&z(W*l7s>^YR=6e^XoI)%D}jBvUQe}2-C$i6#0FFdY7P4 zQBH{H>7q3vikfGolfb4)SUwD^D>GBmMy%tuj_I{-8~ZYr4OK>KVaBjCN*s7J7>ZFc zeZ*6vp5J)cTBN-S5wp_xGcpb_lp8NYyxAMi^%J@CaFK*sCv8$H&uK_W)8ItH^wxK)E|t9_$uE4s#*@132l>F(6Fba@<$$Is`N z4E2J`FgcGCnlKQL6Rj<|@gdB%eT_ZrL#nku%Q`Pa@Jif;9H=QsoOnmf6|fqb09DqU z68oUfl~G90C6<~bgm}bDC@rd&Gb<6LzcG^cIuIuwG7sPl9yA(Ylr$AWV#3nq{v5z1 zi0y%ReRgIN9gSm5w-*mx(Ub(mYk34dA)6xF;ab2~?xe*YfO()z`eWc#O$A{UUX*8w z>gvonI2R)HoI)$Aa;%B&YOkZ?S!vYyLLnVe!(MQ1eWqXvzV*kYO|ai2&J&ogu9N2v zX5avz8sp1 zZK5r~F0!#+0O50JNHJjro`6Kb+1T5^gE^;}_T*cgrD@!_?k%K)wPIaJMN0vrSvz9L z@}*-4PGBip?L^Ro8f8T|nm}((t{uGTt?lb|9dLp)T9kjuK)pebVS;vXP_SBJ3!Kf2 z+2wQe(Avz@Pwn4sqE>Q$hM=kASr&juCP=t5zD`z=Yh;}IqfIjmf9p&`3BQ{UASU&T zuqHOT^alY+y2EchL4C$ExFFBOH*Jt7%C?jj{2ns^DD{dykX?v@+fU5;3qDme01pVi#T#%$H5_ID))`%L1DMG_@%AV|KS*;#UMUBdQ3B#_*+JN-0a3X; zakmfy4*=m`7Qh%@Am~;cbb=BP=!CHM0hlH8L*By$l{L(iq4Y*i6$6yX1cGjP#Blal zLF9mNR3uUY2>>Ypfbs|&3Y7?v@(5BO_?Bfr9Poikgg|)&ArN>=$N=;d9`Fb3NVQ|{ z5rMiIUfWQv#oE#LkU$%#4uh4BlI`E3cVcc8LD?t{67ArV2J!XCdwd{A68&f=#DQg< zCqsq+%z@vi&m?|`dvXj;-v*8V>7;&`d-QL#*AXxV`>O0u$mB!V{ts z$ibAwEr^Fc#~w+|$jwN-P}xw~P!>=H01i;vV;V>aVY>*K8DeE&3g%!)X6vYS09wE} zKo+0{l7NB_#{C5{l3+1{hg}UO%De;cK`{nHi^dj+sgTj290J&?*^xw}6kvmKL_z)J zP5_J`fC%seg$&RH$S2DYuKLrHzRQ08JsI3W<0T?-2uTNIwbp90BZr z8?sN#Jwi|$>bBS`eCx|e!aVFPHHceWN`>WJ8YB#gp#*az=_X=Z0s9m(tN!*%IA9Ct zL&UuRGyGS88;ZUn4ovDi)u9>=Oaz3c=8C)m|82MW#(dL`eA4cSTXoO@fSE)f{wN?= z^DoYx^y(WinLzl}9}=@_Xr8cdz43^G(LG;8TF(drivVR(KkPl4fn@$vT^l0 zYxO%|z!)UHIwuN3834EAinyg2AlG>s1R#@MN_(N~1toxAGW}5+tH;<40DXvsVFdym z!x8Agt`TvyAU4t2s-fHY%>ZD2@J*Ycy4OPtofsWn0s||4!v7Ft-@R*@`j; zUO+NeED{g)Pq2kD7f=8bL7J1)Ud!e@EZCZYtBH#6Jm>$xOof0jeU+g z$N{1g^%T0`BIp_10Ok>~z}7sZ)i$sedi|f})0`Ua3$15TH7VCM#x6<2d^AwprM4i6Myz1S5$?7l^8mmy5B`8dplFkc^?40h|E~2~mnI6!l2Ajw0+LHxPd?PYDa! zjQN1bkNgF{0|Ve6>E`G~fPF#M-ngf~MU=t)u}^8{l0}rkwvg1F@l`UerDSgq&N3!$ zMD}vxSz_x6jp6=tj*jXvNCQ$n0YCy?b`$;zO9)PgNdOs1 z%1G3cWH@I~L7DDq_3IWW2PuK)LcK##z4iqPLBCVaNsACe_=7dQ3(W}!enC8176^m) zz&=VB2!r*2H~A8rek(W$3V?VtEg%N}gL2ASKnz9*`7We_bIO`=M=lZ`gal3q)tNdi z#2wAH9qL3npdtf=sYM}_SPeE=i(v_zi=PXxhb_b|gfS)`fCf2$o&XmpD`ak@Ze%$j z_0WZog@nK2cg0mL|IfvMJ)?*hj4m2OBDRal0~JM|1a%Fa0~rO@^DWpP_EcMhIxqnC z5xyWF@(;qPWI=v#0WnNxjOSYK#D^X5N1@P0DPk8t(^_BG!G4$lA@=TI0r=zXg5p=)8NC!J3$SlGe@lc>$dP+tF9uT5` zjPgqyd^^y83qN>#a-KMfSzNixb4GF@Bv6*Gv+RH$jg5R-zstGn=K&fHT8*xL&Bvt{ z7Z+p4Z}YxCmcQ=L+e&pvlMa28GOv8MMU)a zny(=VbQMJr?`0$m`Dk;qdw%i+B3$0woIco}pFTag**&^@+O6(vHEg%Fnr?ly5E}H( z#JQ6E#rxqaJ^J+=$F)-#xBQ{)Uj0~esssLs^}}`a{?9a_VsBX7$R9+)8br6uy58bA z=Eh%0VrsjN4CbB_zO-bL%J({K+-aXa_rBwwIt#wCo5Na_vfVs)SrUejKx8Chtn4Ga z$=oz)Mw3{S-Bi=Nu7eKNEeFE%V))a5pS}jvWSw1ZImdX@xmnVjpK{Yu(>t85c=N@0 z@8$2-Pti63r9QB*3^TTnHa{GCq|DX7rM+qTh5pbi)vMUAQ=Jc-NY^G-DfK?D zN}*51PyNi5!&B*GKmW^=4@`Ci=cV{G;G#Y_HcPo(Qlc%?0~3myM&(>R7bQl zK=ot>bC+Ndtecb1dIEHWpCAQvb^NjdBpI-0P`^b3qFCB&)dBG7#8vvf?@s*h53aAj zFY(?7VSgbv$EnzoTLT4&dH#3&u8+UO2t=Q$_pKYSU}_$4>`kaau#n7L-0UPA+`J?l zZ0scL?3^TQZ2xFp9ujU=P7+QwP7-!rRuXPr-hVVV2?r-ezzTYqnE^{jpZdP0@Gb~nKWy!JxaGv;RG*KMhIHr&1dj4@%iI%*l z1~M2@0o9c!J$TwJQ@cM_-fD#_$_y^vE3zH-j=uQfeq0mil>S?7&u;7w&G^2HCUw~< z|HRjH;KiT#gub?U+E{GdESs-fLy0X)Nnk4VwnUe7R};oiAclD>I_FY0Im` z#LyUyX~l0#sESe?s83lLkm~#NE|gxlz=ninOfC3*ysE7BU&ykz_`!_M(VEbfv@RW4 zg_hG3xIRqB5|4IrWIi+8LlD1`Y=d|kB)0Mf|nO^>2S-(?@BqzL1ce-mI9cD)| zojN$c7puZz`Y#9eWP%_-a$OkRzbtkf|7RmC_rU6Fj;;v{xz238_#bWiGGSkTWBTZY z#fHK_#YFjri3yG=DT38j-mqqyL^ZuaHNCyWK!vJ8o;BUv`cLy-q1Z{ud6Ug$t+z;F zpRDmMU^a&r(n{g)AAg~~*^@tg+Ib&-mNQd1nU;AcnLx|qoNSU9l!XHS2kcOMr-2{>Whfsm(*Ch+;a)mLc9o{@j+T4A<}Q+ov_DvQvbrT zy5b%)07_J@7@(N(ivJyb&~_T2DS`*vbM?JbNLJ7+=+PH&md)3S_%DJUunwVb&?ZhB$DFYT%0S%sVkx7?UklWZvdBu`LKJi^3AGIQ*R0Q=vT0-HE z?WnvetU^9ZhMJ{v>b-#{WJs`Hv}&X=CnGUzO2DZDT4cgW_06el+fnEBM3@~IxHWniq_1Ca?0rIWqFr?t zCxpE{pAcRc8}=H)kMwx43ynJ9aAmxxAvOKHL&srui5FT1E{%kJ~SM7gtb>( zfnP|5s*RNbL4RANhQ~3Yockto)zGR9ESj^-^s2@$RcIM44Ahn@BQGwUOJaGta$7l7 zk&_pMo*ERWUqT*N2;;;l=kF?zk+PixZ{v~iCBJ?T`XmC~bg1u-XLrOH8;Re!@f&q^gCtm@S)6ii%vr4dpLK;8 zs047iG*Bu7to-4>*-C-FeZrGfA3Dzvu)i9VB+1M7wjAG8u$REyE`}E>?o!LDH{B~I zQ3V1C)Wu_AL?5ctob>~EmNM+{fTI(t!)h7_| z6fB)}^@Anv3MUHEv~>lkN*4|r^aLz8|6cHOvi0m(dt|yrVS8sHOs41!1K++cSs=51 zrHp&tlJJFe%dr1+A0b^l)0XfD6KA`XfPt%ClwvDq;hFxCeo^C4wN~+~(YB=)>YvSD zMGs{{cHVJ-W`*iqw_4f2iofBn5|s=nmvBUN%eRd~(WT&{(RsLxDjqg%dZ#qF!z^>Q zB<5Tq<|#7t1kRbGVUFmm0bn#%M|HapG&?T5cw#-+57sfnQLm8nrN^W!!-k*#YPsZd z!jpwKGR%3%azCi+P=tj;Tnc{{l-Py=20S^F1ct~&DK@!!o{j~IQ4WfxA$=2%!+2AB z1@(=TcTdrT-(0*TymT<~eBYHeRTIyuJIZOu$?-zhM*54&idFw*@xY=!OeSb59iy;F z93Ne3$JmXH(5Q%q_@2$xf0kq2ruh@)DR3%kYxbcn6;ZIE!^Bp;LA7)j(Z}6T{fDNx zrKVrAwdEg7x?-)0IlQIs^j)^(d7EL~`_G|kc%@q7u5hU>?H$am`(dfpVrx-J9scqQ zw;~se7x0(8fxnC%)_~~yAPLgmYTm8_N-ShJv$oFIiB}z4Q8oJjk`#<2{2rv@MZ0<` zkp7u`_{sE{1I>cVL^oyjuS83w+yfwOTOmgM=0n5&kQ;&0V4_A2$}d>s9Gvh$Ljp8K zp7~JpT%OB*V}7I!r&W+~6#5~LXjri!!+KNbx@*?pw|>#7O(3Zv+1{wpHG%Wl+0VNQ zcvj*RmW~2Yq!nl!D$HTw6aYcUA~>0;3N>ENIXO~hNWB98sh|LvZr(T*6TxkeW2DPr z#bzBGn`NP)WU-=_;>yLpVfRbY49@j5MXG6)_=!gdU7VX*eee;&4r# zDN~lRuaVSxf-|PY5nubqek@V;+R9SHr}Tvy{Qq@=7cw5Eq|b#?{WmmfPAil|be1F2Q*l!qHbL$e-6hQVT{gSds1c+&SsyPy&Ra z|1DfR*T3sckcuZ{BV5RI+bo=mF8nR#CxgA9>ld45!K-SB!o-u;%GJ~a5KvC!9d*N6 z!rCt>Zzd_U;+Wr4iLea-A>R)zh7Z0eNajwU5T_u99R+{*f*W)Bpv z;pw9E2P$mqtXTyK7%HT^=Aq3p^d*vdq>>zPjWYbx>Ds@XnS2p4(MKDO>%|J;gM0{E zxlbbyN>uKe%e9x@(6TX?t<&7qo)T##fiQMd6T^K38(&Lt8_O#N8-&djY67cyYCApV z#`p6{NA?xSewOoLSx{fk&%VC$)Qg&YrTR$ld6$tTUZqWb%QHvO+(&p+U#T2*A*-Yk zC!Y>D&UEWs=aDi8vHy*PyUJy)Uvwm#_c5lL3VqBm%3*gG#sokl`pp9!?w^hkV8w-D zJWH)LkSyy6&ij^9^r2Wy(;%}9=Aci9OsTr8_N~mE%fpqbM><{}jO7xD_@Ez&<0PvY z`a{O4hUD3ncX}E@5to%RBIbAN3`P{m*-JlpA~+N##u-xA=%z0)lY(pFOWk!a5_Qr316&CXESPQLs=TxK zJ)J3D7>h5f`d_qXeKd(pk_;o$Lep4>MP#xxMf+tBKh(a3FV03^ROkOwh9KqO$AJcu zEXJDQz6bB1H(Q%;Tv?mT%R^@-QSdi#Rt>0gx6`0i0`)a14u4Xvg&|aqM^;i^-{&|A zX)UYb-Ub#!>t?y}(0h7&TkUf%PCU3#keob)PQD*&+3D!pu}jEfn=5{K2FLe#7`Lfc zWa#5C=t8LFBKIDfM-*4nL!4z)0!~&24qWuscE!Gw(kxZH}uX)#s zLa8u|hOiLKU3b<}R@njkwQqXN?rDwDFL4`KNgO;#I-{3Q#YbUrFsSIHOQ zrX0g=O-S|B>Gkxn*L3#Q7(e^p#TUhyg5)2yKrkVbTPgVq_H6EH=U4j9V{EQjS(l2A zKb`?x>%E_Mv&7v8u8G2H0kf^g5HCsDc^7ZTv(K2+QwD-gj19WCYVx9<<_wgfu6ex>8KZ9dcIk-~IO5*3efMH=;~6oyt{ z-E%z!+~%io8A80o(tmi?!UqFb@gjJwd3H&%_EGE?MKOlJ)&D3ck$s9wCZR+rIcbwI zZhcwFf|YV6A*vfEl3@ITDicmVP$}Wg1V$Mh+95+dOKEu-QLqGXzfUy#W<};Pt$$(Y zOup73_@@@>T&GsWo<|CVY?U8!N^QLzZAqrJcT;w_uG==bp&U;bMW54IZ|OI_AGf-V zc3-?3?ztGDCNT$=ey`zpBjoB^tQgJGY`fCIj$OeX9i<+wVhHG(H)dNUt)2ef0<7x1 zjQWm**j>@;75TaUE88B#DG2^F;s-mFNJ(jgyl0H8-QBSg@jmzHhP%$m-j?MPb&{Mye z6-uFuPUXM%bpObM(fbjlu=xaf(7Q$arzgCTl7OS={EJYM5X5HMdx(&e!*n%7j+6s( zaxs;$edf%vgh+5vaj_2JC$upVAhe#sTYAi3`I~3xARC8`cB!{^m^t2d1myD#7RKS4 zcxv~*Pf)U!gL@l9iiO~>SFCLq`G7!eQsCxQJHEv^LOHGA4KNWyyidRvM5>LX_w@K_4Bt^dOWpUP4v-mH1V7+~@*om%B$T$uAdKaWz|K;nvd--AdV3Sm3+P4hL=@lk zoL=RavB{KSOxBpBSVm*E1-9^YJFQBz@|gHRriL&;Bb!hVGJ*YlLTxl|kuQVy7_VC` z%1jdEimvzqFo<3ZVSH=^*u^@ED3n{gDX`{Uvh(Hz=7!M8Jqd$}J+j3N!i4 zrI`H}Ix&|k+~?lVl~$F$sfuep-lbmXWyGqP@FKSeAyz(Nil0= zGz`X4g1MY{FeKtyGRdu)16eNU6S`)yV%EvLlpaDBF~|bzI&DyDBS#%+KCgL3yxBHO z>bQs(mzS5~%U(Xm1K3Eapv+D7x(_f)%Zv<=M%7${aIl=K+^2H&s@bw^`mon?a3Qkb zZ_~JuB4z(oIJxykS( zlW*wuIQn@#jBV3(TIp(5C=Rx`4MNOSKje&#NAXeY`jf*2n~T;_7*XJxBLW_ zw(7U!cs2@{)JWB@w2AEOtNv!yMi@C@LqFh!0Q?!nhb>aDSS>?K*3ub+xJrJ88%kJx z%Q@3<^YQ*#pvu#m{ca-T7tVvC6ALa&%Kk5>5KDB`{o6;GrBChzfo03WpaEM}sygww z$JjTGIHI_>{rwmww$c9wAd`#F9vM}UG_zDaN~Gk9)N6z)UiE*#2g4Mn?PXgbg!eDjOcM(jJr>voD-&_fS|HoQsH3BKRj@RAd7*W z`|Sif!;&98!!N{63q+NCmGEoMJ+!LMu;DGz{%E<2=!UL`%n^9syvCqDNOZ@q7cOZ^ zYuHlDeo@;O;HkTDVCiV!q^aE^P5#~fNmq04@wVs>^W&9}w_@sSxaSD9h*7ax<~~ER zmhvk0%|eUd+a2Lc4!-pZC`s_H-h)$2-%pGPBk zQ6V2!{tsw@(Nbzyu@u6HiQO|q)(AEV+fs|w=Jr17iK(zVOU0l;RJ*T6)p~SddQ_5Gegjc^yXptHCMtQ#_Zz^8iXIPRlYf8i-(vf`^|6I+~B>Ojd}ZT>1R;mV&h z+T|g6eco!f`r3;WpLkajn|-4A)XN@}q%DXvlb}?fz3-GZ(U4Y=!GC}sX~0O~M2XfQ zG-)0(B=EPei;CLX<}i4xtPng$!b?NRGhczEjQJV4sV%pu^>^dmx%Zoys;SwvessTk^{%ekz1NS`yPpNZalRAuc=e5O1GhZ4eOz1j zzfMQMA2)w8h~LL;>TX||jyK(#8~{&>E09UoMHF;WmYz!;3&cOhh93nr34CkMiWt;4 zx|$_bc%E7NgU2my;|rCxuo}#L^JuiZiYg}BovXWP_9D9d9V+|JkLwat`` zDgD_)o)hr(Hqx>{wU0wGJW~cdyey=~M4>CgCP&8H^FYl-eIk1wjHSmuDPbBK{WX)| zNev_M;Z4dKuBnJfo!NBgW8U2-W!GyQcu|S!p}?=Vr>qm9mOmOabJ?3H?JpJ- zTVgl;JbTYw(5BkYV8Tn(N@HSQ;d9%}#9*dnoVttzD1!P%mw&FF5-?Iq^1J6^;(Lw7 z^%-}`t?eCT@%_0dCpW3u_&bg7^(i;b-z8rObI3V!l*p+C4p03zxOf^L>3+Oq2)uu67_IKT8Y{|Lh&RaH(x`*#Jbj@V(Y$+R5m?~7RA3hL>=dU)i>`Gj}+XDW3_O_vNhLzsU2!1pen%v=e4w(n+gTAB}5Ps&Z4d zf8#ZO7{LVXiBb@H&i* zwUey>MD3{VKC>HK>@@JbM%9)0-+C0*fxUy*jh^(_8Y@|5U2YBIfCAvxTw&YsY|11< zz10=}h*e(~Xygz9FqofBtRvXoSS$x_K{G=az zX-teq9@F(7*m6d@%(`qmxeWg0zjHkvl0wd-X@aEXoeE@EbIa`-Ty7867VQTgRWf$M z5vGDta{ivis7eeJ8%YFC_l%?W1#w+Q(h5C!@#UGdg}V4WdM%6-hiF<2 z7AZ-YzJnoto#UhZ&k}{pe6Hz@y(AN9{KdS0N7YDf610?UJC{bTln+#x+~7WXxOjG_ zJn3=i{!3=K_?;SAa&jh=V)N~K_)NTBgc!P*TsbBYUkHRZha?#j%kAfm|09d@V}FR{ z;Y`p()DPZ0%`rLWkyla9N%D;6V1XfG!I3YlT$96!!nxi1)fiIM^n4_}OM_+h5wFeU z@YcJS;XgFB#D&4b!F$F*Y2fm|7J#=X6hhD#T6|oJCSm=7;z(q}x9#efm471fNmel8 zTPIXH(VsUDxUTSxx^juQMwEf4Az3pFY$}#XKSr*(f31_&598=%$T{Auu78)HdHae! zL3^Xov5nlJJi*}3EmRKVxjJd_-R*-Ku(OjS`S$&j`zNYXWj1v+B?xwfrtI>buFY4A z)obZ}3sE&i;WyS--x)b!865-tTP!_1&hM>a<4kjR&K`j`ZS%NNe)fEbp$*K7D(z_mPU>XN7B?TYd#Nd!2fj5H*-yyuao?}u{ZJn|;K3V2 zh$M2YUcotV8cgGTXa)b0YwUbj*p2*kG_J$Pcyo2rb$_#}+w}U%<7gL0htvMW^wZ1+ zf{@QgADpjzLq9{vl60uv|AkYY;fS(YG9$dOvO>>ap1mzLlgxTZZr5YRDvEdGXS{!D z?hW-bu)NU{6c$RZ(&_MNh~^mJJ9DiOW^sJMnlG-OCJoocg-GVns<3piwN zn_y20$)=&N_>STxwP$heGmNr)jY*djxYz~ISTfrsjN$2{d9H2}!zUcVY$cou?a~g& z?DSwiIUO~|Phkdw!n?$;kJ*28+cNr8dYj0Zk}nuTovM5R>@mx%mz+&~c4>|`I%;2N zmCv0JPoH6vmTf8ixQm9Tu90<}^&Wd&_1~?OPTlWa&C}vfNd8HsprFWUBuyUUm{%XD zUN99**A~}1Ufs=-zigIHXTPnb`PljFtH`cAz#L(4zqbr#xLsfn$86ioQ!#3wp4=|c zQs2ciIZGNCGaVtK9#$}Bu(6t2_fxdo-=%9{SZ1MWnYZzb-%|7_eGsW%tL8R*jhLqG ztg!HWEFazdt-dT_`@7GfOV;?7PtU=&+cd52vIvE`r$n>t=37t?XOqT>0`^WT^21Ga zr-YGkC+0t}_4nlehmVrGGh zhoHOXsQ#c|8;eduTrbvzt~)Uc7@kFUEyVa->wYFX-BCPZPWOV-Kh-gC6aR@w@X>~T zGx=V_u9T)cVmm*nM;a}d!g$&8)vSfaL;$1EpNu0%sz1CM4Ra*VgwBLE`L=Q(zB+PQ zwQ(EltbkjWmL>AFrAV)G*NpjF3oj2($0u_VU(>8lZVg`H=Wc~M>euD>T%=OJ(gR+0;};`wIX|e32b8Abzi({m>nz4k2WV;<3@o(i=A){6 zGdFy%JB;}`v%h_&2{g4Ylo%V$=f5J~Gp<`L_x$|GSi^vZZuqoaiIwnq!@Rnc@uw&& zo!9Bno@Ucm>^zDjbwOKng_oGsPrk^w;Cyw-_@8`$mYG-%v5 z4pF02RK)_e{HC29-%z}Ls>Ar+?jkI-qR;WiU1|E4)m$3%8fWkw*-LOE+=HTc!9j6G zH>pXEwLwulNftl2#8T(#E0k^LT!Nx}c3qprvSCluG1lG61?zd|@V80h_w=qghv_?X zy)RWM9}^F?9T*~m&IUB?J{P#7HDVhI<|D=Vlkh&(QaY$sH1BqJbJG*f9i`z5bhbZg zTYfC{v!<>uO2&~yl4pr{=Cb<{1LlZQjgJZ(4qxqt`wM)-3Q<2i(vtZW;2mHn?1(#@ z#^dd~WP@51zb;Pi%A;&dR*I8GP>++%g?7F+cOpD9(Jv+ z_A9Uf2e*7>quYG3QSc`ojIvF>r!qmSc}+y_I!$9bjkbP^(90@yP1Jzm0iSu&Ba}Ae z@QPjetOUb8k+9#>0z<~wU{7{##9-J<#7afxeuUtJ6rUho#x1A7b}_8mKT;$LSc*YW zvQE-$%+h^@rAt&x1BhFBDrx;G_9NKNkoF|o#9AbL^v)QnRV`(PWJ+vx2OYa(4t?wR zG!wibKUD>L6Z^}GQr;vzS!!aQgn2fw`0=e;C6P-O%KX>G00}fOcvV465Y-p;(vE@u z-xrD1@;kSI#rhF!LTl_^$;7%_O1W)?vV`n%L-@VL;oXE^|9mXtMdG#>E~i}AC=AXa zLNf3w32*UZY8pL+_>Rh#U4NQW_!_9UXe^V~bV&jdRCR;MQl`pxKPs(6O za9l6fPz2TDbgfybf`2#2q-uPa613sE``lna*Qp`$$AnIyP>hpi?_+Q(C-Iq}N_Ld0 zg@I%|$aTS2X2w@`Yc4aur+}7>Ir1PfkI-s_3sp$|y0}?>YyC$dlT?W_3y%H!7Mb-U zD26#k0zaefa5*W3kF_8}P~eSxsnKe-@_J>wc3nLs{jpuw7ObgP!^&%am{}9xuKq># zUw@2YZ0P4#ElmN-m&Mfx5~89>m#Xi3i+P%+tEV~5_3x`(-CGQXU` zO&E^Lj@wSWSb($1Se|1unHZh?$g8>zjl}phtSAqv>tb;&{Py0?YT)i{CXdVT%$chu z-K@-Zm&kQG%UKKQSBWE-tR2E7;)$!QdZ z;fi$n^hX8H?x|7KhkdDwU;1f;Z9!3=kuWa_a=S1W{T@*H`Elzu>Yto+OkV=7Zu2&O z$!~%%H!(2{(J^r~0k2O>SS}{@1*c;yvojW5*E45z_b9By*c#FEOo7mEn6sLUv}Mu^ z+|xRxlh*d!we@XXmXg53L*%d?U;T^>Pv{x8|*JY0k4W|L&mmyit!IT1O@0!CxnwO*+`wWz58_i~GeS-@)v&#wZQ1{T1x~ z2_FzvZrSo7Qj&j!4Sd5vuH^ZHk_mO7-%u69@-%#LG{I)aX1wki%ThEPRRHI*FzJ+I zb|y8T=|RMs)VOJs==?HN@7usGS4yi%yBgTsd8lpe(xYL6*BkEcxM3S*+)Taqm%?$^ zX&g&Cq{;d^M@^E^2-t!X@UBn6X701tcbm<*YWDS3H{Z&7w%JNS7VnVR zA64v+`h$6uRaHy|s%bf%Kt@B~Rsp8E+^FG3r(^8Os5aU9`TNv?&}X6q;x=@`Dp0V~ zVcau4!HdRyA0bOtz|q?iAI2iCVV!rt+2E*XwTU$4Ju=VEdAoMOcUACnm5?dSw-K`{phzAYuV1Df1$hQk|KrzbL4WEb0%uTl%()}WbcZI zDvs&|f~huW3e0Mt2c@?w?dfgpy$<@Q)qUE1nul-X0{f*g)0LAko z{qDEoW2uiNADpOpD^*yRKO61x%UprU3)Z6IjsZC=7&A*?j2Zes8q67SEo)v#+$Bx0 z969*k2Pp9eZL)8}K0pdzwlA^NANXEAwD<&iQ0{Jo#33Gg3x6GcQVsTV64_M$@Gt~n zCZ`hR|8Ry#k%(Ra`2Ixo#rKcu2Z^nXpNN<;qri8e3C2HKX;k1tVQ)h{Z1Bt-Wg_gE zIT}SG0)HI1jx2Z0AoyyMLhXX&OGwwZ5`sbXTFehC%%tRRSwLmtQDaPw+>hi}0f9*7 zyWA}>G<>GYU+6Sx!*UjV_VFINeDjXFD%cJ(Q zjX7$@*1ZX6V3-#slSk)bz^P$<@fqNxoF&-)NGQdx_e=;>K0u zNzD6WEl{*Qk|6~Rsn)$et#e=S8h&gpK9jDh_6USVe3|al@E)+0X6&!X4($aPJ!HAy^=+M`GS~1lb1h$C^XYU3j7c|uq|Y{ zs72GrO}nlw>J7Dk9c?K{g!%dxyott1b=!b_Y>#wVwRoCBO^3J1y0FzjnQsF^rDvomMLq$JE-8+3idOQP zv(T;s>{^h=7aIZc8B-Mt0v(d?el9#KQwCNQ#GO3h71z=gXc75EcU07eVA^4!Qk;?T z`N$o8bUw3hVH91mciKZ zcg!>&+Err9PF{Q6hKJ92fWvPNOL)ZjUu4q>Fm+cyHCC%8_Ei@g)a^~UMX&j{(aw8V z8vwuBlV@)H9B&}ECLu*V+X0q>rXTcGPQ+P}`Nda@EKBw0F!TQCG85s?9-q|`T(kH#EIrSSGTT$1T;6D&r!rxlbbb1a#M zsCgPK;Qltu%A1%x9QU|1Ak9q2&NHZ+LjJqugvJ2-Se4$DolQJC7h_AY!4-0eu-N;kBvG#A2YRF z@UZTZv5*do4vr1+p=h)L$@$hROc(h|tUA1n{#4;>GNe+q!StWuA;lf&%iBkd;u4T} zBF{fccL+;7#k4=&oPfSDw58TWfNFU9fhCr5_y@l~o_XmFgD1yFagW;sfRDhZ1#~3W zC*b1~a3!qu$!9hsGMg;7#}*yANkvWJ|R7~8)C4n^Bo3So1KMimc`W_zE=6HyzLGp z#j=6iz5;E&JRoFfMo(@flTuw1wgVd!MPClzCM@_y|4h6OpdZvLs_FX!Dg=LbNFav` zY^v|;7Fc+LW~J!$0$N1Y``bw4BcKDwUKHj{=y8PuD6yOH%}h;=yg zHbbhs$+nFZie^f97-}p&aLWYu0nGux z1mgxSw*C`2S-q`UCdFkL_!`~wuxzkb$0SAZ%bhiC zraR@W-EF=CKA{4+^wBwWzSUib4x*16B2X|Y0)LL0=DAX9_uutJl<)G#3V5xJ<&;Sl z>Br_FEUT0?yvnE;vs+M6PsFUQqqYQVQ~RWFQmNz6wm@XeSpxFNh2^G>rs699Tlw6n zv-)CIOx88wW?VmX^c!?^2$AE--@{Ml+#WaAhU__SX3YI*!-uCjn`on{8^TLnL3UvB zzmis0w^1H{WT7c^| z+*g0>b>O2DVk`D~NGGb#C8^svb;~B!zjLv>d_+;p;RXSU16a(TWmCBybMp_a-989W z4zOfUMXWVT>( zMh-%a-QX>*2;D$2Q4eo_SN5*ZWgkpu`G%&Et{JU{Q4XoR($D)ulK+7PcROhJva$YI zJw6>G#?cg79ZMdXUnq%4h=_|wR^@*Da;$#&G!*AU+5riO*y!~U?Ggoto+DkiJ`0%z zrV@`R24h+m39<4$A z+f#XT1LfAEqzyAO$ER}KC?x!pXt9x-l*H)i_?n~mC91@{nR>NceyZqp6RcR-L+)6VuQo99=$ zMryYN;e6td>A8d_MEe}=7X&MqE$Cv&#V0f`# zJtSIgQjn5GIEn&CTp%unU<$W;kbdRrUTwP#`~ACQEx9oo-2WS~{inWv^U7Pl^;nPs-b#xWFzDOBWG+|%6c0jjLWBYZ){3>#jD>c|< zDQ2av2%Ghl!0q{3D1#o-)*7kNdTAwCI=n2KG8QQ8!9@4S>dCzb*m5bUR2(|m^z}w8 zCIef{DoEd%Z4j~iw$~nZu@rw#W}Txp0*?+h-9{L0q_cVR(&v+r*o)p-WdD-%^9!ZJ z;zN!re`}MrmngT)iIp~t-6c@g#yNnv)Xew~>SX;sfwh=-ouSdG5*E|c(K*y#WJ?(4 zh~P*gnXD;HDQoc#nkwnk7OV3lGVP^hlMNE{7E!Ei;1T|?%R0G=A=>5{a95c4yd%RE zCcAcBnA#XmHA9ZmZxaT^Rf42oP`-;X^fsLF;OV&ZaN2gah1jf!f0r&<-V_#Ya9n?# z*0KYG!8a>00|hZk_6M&@s`!U4qWAi5ZesOlDKW%Zt+rkC1d-DSdMjdr5{8 zFq17ttfsdf%&zR-%pK0h6)fyXTCZkGE3gMg_XT$M) zaI&f23qMF9GSA1qLsD*_>MGaNGje^rHlQ<@sW1FYjRnDDl#l%@9!{_Iop>%^Ldt6+ z?>|(`4br8TQBf{J)*ku`cT|6bdH^l`XH>+^oXI02+K0qyluuq|IlgIpn^jRy0q-9V zoW4A%KaOeUT&Wxt^NryAHl7!?lAA!;S>uwNV{V7(o(Jg-bT1Mt4Rt4hPR)(b0d0iM z-y!;-Q!^t(z$)R=U^gp390X#oPJ#pg9|;c4jZgqWAUg{qY`{9m&ddk^I3YYV$w`2q z0TXAMgeWe+m9WGt=R1S|um?(HV-16-0A&bhEpoykUx3I2!4Y!|A)fZpyOgkEWok8Bs> zKn1o70I+kaTNadQwj}{HFxv74W}9wl0~J`Ez?l$dU@Y4O2p~zw+uLmn93&txHwuP` zg9InKRY8Iy-D1FOqb+A3ndz1?5RLUh2uNnU)dmtA?|yd~?goI?rn>z>Yh&G>1kGWP zHo|*uz^2I-Gw`q378=mOa*G?-InrGLTI=oRA!ts6WDqp}guHFhO%N zEZ(ir|99Jh1!_G9Y5)H;@c;Yxr6BG9viczH{|7rDqk+lWX9H`)nC!E4kuIro-ZZd* z*{c0H-Koueho1S3e64JF%r%u7qiVBEJCTh92K`(i_QiI@=6%;IU<`Rw6>d?Erq~C1 z$c^fPq&5pvZAdNIDEE&6b-?OZZ60%Cx>eET$C_q(BYnCOF6I$D1J0^2mT3z{* zhUq!_Q4N*G@3k7EI<&e9%j~1+bhwF8MvdF9!)A&K+KjYi|TDCNnu z52hOk-TTTK9Qzdju!hjS{)h6&+LlE18C%?XgXmfpovP?szQm-Nc97YI#;NifH`+M*t&51OIn%%hq6UM8gTSF z@#v6?$((3(?m9h^o!UH-?GDT6ip>+iN@y-Pv1^5_8u~S~m^K3^Y6~g7Rdm^VbaLAE zEw7Y?_9?S=iuUOs)t0Ur9Nm&0_>xs5TzHB-DqOVq`c3ttvPW`l*NiPV_f$On!E#hM z=hT1n^=s5K_-D~Ze(76L>092Z{^%ss7MycRn*P9T-c@|+nfQ{7_kb$@l3v?1m^lXN z;M>;&o@yp$6{U3*oT82fh%KMgzb6(uWH=0SPY)7bqHBN6d=kk$9pe0FJ{s_K8J$kx zz4Wiu$ocG?Y+_cysf5oN!f1AJ+LZDwqxolA0fl8=Fq3z5QFiVrt+^zvfZ#qYA~*NG z7Lr|JE#@ei@-3&iqVg@3IU=2}$vEsQk%)q>cXX}fQ3D{+|3|GP?Gv*(y6P>4Il9U% zjQwfA%BX+hx@?tySgkK@TSl$pEb?YvX&Y@@Lao4I!>Tnylk%;+&zZ)kL+&YY;=0_j zeEn_=n6u$mZI!AAz)Wd1;ew58u zxVj_pEO*{>*5`de+m3G_*?q3)45~d#w9mIot)&UZ%* zeP~zZmT5*?tvg~8?Q?{570W!87o=r9lp15fwH~7jDvhDF^KMZ4ddo6eU1`LQ@7(J$ zj&om@T~^l3ufI*yClw}gDKAKA_oXrc?M6~#){HMxV@mGw*jDGekB986yYXf|xhFCa z)QRZ@+FIKPoBy-2m`Jr}sXk^~z3KivXr~F6Az-nJSne{e0x17pOJKg2m=vRJLT)WN2p&avR6nSX;Um$LDnr`6Uk2Fn~vHV3Bx-mkIVz{v)>bm#xHAZQs z7A6^liG{Pr*4JpIi4xYP@2h`yFrGF_39pFJd0eg_@qPU_5-P5OW(MI`WCGbmDh~1) zW7%2E$wt>qi@1#{*=B8wCfR0*jL=5Gr-{q7bt)FQxa0NTBP@vMIFyQt{n@Sq>E)h1v+zUeaa=>C6_;Gi9P)pG_ z_(#OuH_}7Z=3Ar!5#S^7J7UL@;;l*)46*(A<#9^%BTNVS_2<6O?vJR%fOA;z3l9_w z+kxV38TbbKA3Pz77vHTez#|jXF)*NFlf+B*7p@ofebu!PWcJZ%D@D{1<~2l^$cyxl zD@XIsBSYMs>q~O?6wDjWg(A$GRu|nxZKuEm<;!3<`VBE$an4`#oQ`uq2Ru#&Khh=( zTnEf`yMyf(-@53Q_PWtlumhOZiNuQJkYHB?;D%F43b75Na0FT5Siv90d01{)pH+6N zuB<~oH~7LKHuwS*VT91G3El}#h=HBO@+g9E&uyaU;#pyVA|>l2UN9Fgf#-l${0q;V z3g4WHw%iIw@r|ayzi_SChiEyg5QiY2&EQ*yc}C>5JZ+NuPz&)b3-H7p9FH{_s@~XV zVC5eafaXqbxBA3WdY6Zj;lHE_-}F1Owb}CZpa$P|-{V`onuD$E&9ew?ob>gHw)86A z<}z=~f4BRjh)q1dwkpL>T5L@VCsxtWc*a8Q`^5X6MM5uojPgRb8w?^&U&Qy|_9Q*E`%A00xb~i-@Rm2${dXK>KT(FP$yp`XoY&G&UFgl1 zXsFR#-}10EvtQI3x<43%IuV6}&&Bfn`LVZkKLqh49Uo`X5nL(6+vROwEbqz%A{$8C z4(8qX%3n>3=JUP1nSGY{U-o6dX%fYG_+3Ft6M+ycdWxOfPD|8!^OS$#6CRJFTgU#k zN#*VwQT{)!gO8bD*U@q|OBWwhmsFDPLHKV&II)j~T%TB~@&p+aNo+ePaVptXYNpAm zUFTs1zU_1D{{T<4zevp5(o9V46u=$8-)@ujkt0QIi~HJ$A6rUvHhp6+Q$v_#q?kayO+QtVT!);Nian*&|r^ zH(e+{ZMEKv%(W0xl3Y&G!xK%#{qtO_FA{SAm9oTpU=*CJ9Njg|AKB6(D`~eIQIXnt zyPu(4Smn^aILCgKQ`O*L_A!tERuv}BaSlSSn_q?)hL}H{JAcvO<3vmUDu#@npq6MB z-_=7Ql>qKD@p+W|neqlT>0SW!T85jWy*%l>f#0^?j?kXZ-=aTV#fuH#c%mNfRsvg* z4{b%C0>PE=D=77dRy;J7*-5QB1#{XSfF@0WH}R}8G)@3 zZn*bz)97;ub4YWr^=KueR!mT$oOw}u(Xv1dxC$5>1RGc#w0ckpp%tAHwi2Zh0E(3} zAQ}~T48en&hh>8KjgXGciCzLMAu2((VpgJ70wXAaN=QmTR%l9eN=Qm~sGVA~V0Ro=`6Zdf#5eIP> z;R>b&q9>|Aju=Ne3^YTig-L_RiGCvo#F0rM79h;PltR=*WylfYNTf8_5HhKxUXMM1I6;mK>HqVZ=w!;V;oQm`4FbE z%`t@OK)WV_mWfuuypSAPii#jSf{~yA9Z}|k`Jd>qY=95m zAw1MM$2rGTbP9$PfgjF|!0Nx^69#nlov|i_w~lg6`d?@{Zs`9t9049_pkx)I@4It=LyMe@KnM60^lSGV;=oG8R=JKNR19TLEulB9&ZaZ|Hr72Hz5pW;{Qzv$FAWadELHgwF{4ug=&^8-SIS zBOzi|4xWpHmo;H|mWqIzi^*=6h2UO($Y;dr@U+*_55jZ$Fc=!^8=BU7IZ11?I z|L(@d#=*t$KQ&Gs-v7;yi;e4l*Z8=&|L55E3G@7K8Y>U`y9WO6^JD$LnKLi@e>nbk xKaO`W$N$jYx$yr}<6`4}=UDxBKQ1;NF4q60B^b{0BeS!zb0SkyODKN(KLBQDavlHx delta 49141 zcmY&#?H2}ZQHif*tV_4cKW67?|<^0?X?H{%*;M}Yi3{4 zK=aE%YY<@pZZ;+^A{YSy7$;{(Q$t%A_l)yQ+4yxX$DqqsS}CP+@`)%ekZ#M@ea+YH zwSKBz$Yy!moh!p7B< zCn((tcKR-!n)eB;-Pdaidbz!isq?FO;^}_#f1kH{*Fjyh?rno+b$o1%aKA-4LgO_XmvU+SiRDYz?PZoI9pdgTlLi-Ywz7;1$$#G-?3;n-hhY6CIsS0Fi?aDrRdaz30XWPvP@_ywyH?j# zo_nW1>`tQHyxp#oKd^}UgSnPf{Em zxkWDtL-qYz8mFg`N(@b^nrxwYR3Xk?^eOIqymy1!im&3GHn|E_B@KK3ej<&Eh@Ga# zyJLIz4;Nkbcs-aUXYCy;!F)o0olbyd>3(mv6f%D)7bH!~VDBPL-6OCB7hg5B>Qq(5 zB%kZ0YLiXGCg-XJDLrtnR^2An_{j8fpSwBpm&2Tvh*E5_HtC(B?Lu6|YiXtT$j#-$ z1*soFbWCw(uy(LmJpF5?GU0{xAi6SVO%I+=5lWHb@vo*a^;-P$E^JblGeSV#b3j|l z+xx8IjH&#T*OwG7>-+O5!}W=8Gk$Km7RUU&%x0B*0m~2n<85-g@>~azoqVg&;Y5kR zt%X=&5xvowlnH#`kP-ma)tGg!NOzl*aM~(0H86ML_3iIg&X>02d1j7*B zjhmD{=K|Yt;a$Cuk0MM+QPx6gT3u+eXqeq0re%oG0LLQz2L$Xf^hN~PBPez}aTwi2 zago)KtfR4U*iI+{!!l&QyN>!^hJ6H;*ZP@Kw>E#3VDQO&B$j^dcr?IS3TmT85RH<= zCX!L2WhtgIKmd}*Gr|&A<%FkvZYIMvcaIr|)~^Xts1c-Im#}8@(B&3trYo?eFRoak zCmCV%xUxfKY5KtLvek-LiMe)47G;LQ7!7Jf-C&SlDFXQmbEW6bV6sZt6*+Cv(s|8D zQgB{%uR3xY{Pic6>^<-`HpL^IO&cFSdM2T5EUQ(%Q_>LBK?bv?wFm{My3FPzAKxm;J%d0pC3pf zt2H}>k%~96sJd2#P+PbEZ3>kly+@Tn8-UsThRe;N=hC1?|gC;8K-UIMZs9F}p_FV8D| z(9t9ig3P;7dzXc#_wD#xVuAEcId2TQgm1_W%|_TYKjIl6<27cIxviP9Ua1_nc%pMP zM`1)HG~%?HrD{Jw1FPlDzBL=_ELhnn$L36b;!$ZL&0 zFZSYNgrJDh@)AkE5L;WLFqUa*D`*QeY^`z-$+}<7 zbXHayQmzH4nvG0UI$D>r&}826m&GSG%mO`2WtN;R!jywM(75-bif#ZEZ=i*{_1;z< z7ge*7xz_eU8FdOxHjn+z-DH4vFfY8X&=t=LPXLisAAF-Z=XI((p#rXq@%HN2j5Qcs zX8l4ncyJuz!PjMUc$`A_mjS<7^`XXo(N$cA^~(X^S#7vc?z|Ymo*lb9Oew~Lg#S#~ z!XmgS6XhJEPUUk*vpvrMkKAgkhlyDSnr%D!=-A-5n_Ph2bt9w0ggxF`h4QHTt-STg z#RsF3B{W&JS%l`y;NVA%{N);IL=wp70P23`)NK8_AvRgp}$cApfxqXY2aRamYc@%uKHY&1_#9@sr^`31ubd zP`Pp2nJ(nc^XORuTW&K%jMi_-R-{iV35?#gAfMsRdtJ%Mb^#5rnfhu=aeY%jH2n>B zP#BgrBijGLVDipSM2I(B+(UY<NRB4xwmJ(;&|c8uRg|vEQ!pdwt~xlf88`fNJ!!Gt4k@ORPZx`7kjb6BIU-1 zvzNo~+2`m+?b)Zp>!g2mRaOUNKWG?rGzgLUOmr7ICmn8%Au1cp6^-hn`(@5x)G7ip z_|q_A8cwIvzn?;%7RsbC7-WE2p6V9Ja-(f1L{x=xxLiLzjau~HL#CYAHxug$(iuXo zC2<@fl^z(t+*3;`qcM0@T9};gCok8*(=H{iW;?O3)_=?0M`4tQ=%oP~Oy*%kI`}6S zGBZ|GEK$FdBS%wq*4~O@`f6XGftIl_^X899Wu|1{Ju)$J7w4GpZ$^!sZ7G&8p}Bp@ zM;-g|fJg6^)oBogL8BR$xIA)^kx#$JRxa}+ryeU+vLhpolH=RhfpOqSAZIJDd32<) zH48;6{CS1Hw_(pG0;2(>ucd!Z-QDBmWb|lBNj*ZDS#+a=u_bZXanNCwLk!v6{C(eD zmxl**1}$XRABIx+vQa9r+(G#16n6&i(lV0ZR={sTIhl)GFC!ZO&@tyJLqJh>5)e`jLDvIeWf3m&LI+v zj^@Wh6Sja?o~KEPf@AO{s*&hHmNE`(&ze$%q>q6yRtc^P+g+HInB?Rj8T5PWi~9Y& zi#hApbMf*~A+VlK$OG?n(lAzTn@2!1k}|f_H1#dY^Et!t4I4UW`o1v~{d0)pc#Vwo zEe3>0`Fi@Y#?F{|Mi_&71%r2CroF6c3F3ig73bcV&*_pAg< zH^w-mT4*1ON1sBFOS5?b*w99Eez&}i` zrzVNIh4TJu;%ql)c<9;Hev)A8&qzQ3(aOmP!M#ZDKW=3nWKS&1*M33IHd*1Op#;1v z>}|}{8BDwjP#o3Fm4uF-SA#yjUksaH_CUS|s}(1<-g=vHyY56{{)`W8o<_6Ji_QP| zzFD5FLB63A;t4o~!h{2%u*fPEWM+z^Zf!`4T$Lz>K{D)jnlp%wpELzQjH$(PdPHNX zL@>^6uEdaOi<*sd9?MPkUq1ogtEzfSz2%gC86YD>#3W`Yrj`Z%pvtkfk+e^2=%61V z_tMMgyeoe)Na0^q>7|z?c!GQ=4P=ZZgYnRnjzil2Smp8}k5)^S-jX-B?H(uB)AL6K z@c_M$2^hJ)oQ&XqqpsJD4p@@&=XV(#fnlgX4B3%So!(O{BsI_F{V)Xd96@9j^K%TT zknJ8KZRoySXL03+d7O`zaCoDTSwp|DmW5X~%j#w`H^MYhOY)qN^D39Wbr@aTmG`N{H_HEbybJ?kvUFK$CS!&{M z?IZWeA=;h!34-x&?OuQ^H|4yPDSth(>P}M;P66qs!a!asMoqDPDKrz^g7`Cf(wiJP zZ^qw=6|4i7lVMmfpVjJIE2Qs313bvF7VbZRWHB;baveeUU<#z~QPEDI9(O#}hna)T zdy%AztwehW#$AYR9t1*=^# z576pI9H!f(&h7u%Tv;;7ZNXiRC|9i@OcJfqT05DG)}iNz6G5ufMSj~p5WC2Bw20`S z^B5Ta-tn`KdnM5E*#BFS2qEn_Wp^#fsTZHbawW9(Y!FGWZTb2D8kxjf#VuAM-n$=2l_Uyegoy6 zE2tPAq@kz}zRlC{w^_;;>L-XVUGF)h7W}Q0$yc=R^Q=%VQA*=Mq_{B_T)580{%qcQ zVt8naqP|PuQm-gR!d2^{U->Yearn0eshFboB)|h{B>@^WEHN4=iOO%x)Mj&8(p9Y$ z=I6S<8)CHw(3q}a^-q72(Acp3(irZq_Huf7VhkJ99?z)x zx*h?>loA~4Wu|yvxfa_N^u^y*Zqw-JJfqO5*FPUhvlIa7+<9a$>=9Q)b)*3tS@awD`}gKtV^gC{*dikv<;IzuC7OZyq5Z} zil@f|JLV=Ur$J9w@QK7XD(!=ZLBky|{% z-i2~P#ABz=?09er&0{^L)69`;j>ULL!Up8OQfxZ=gx2>@z9l-TIz+AjrLs}xhRCSm zbQm+I0U|0{GY8Diq)8;z3P{Q_ftTS?A}=-*so9%thi{IHJuDReyoaGfQ2(-+Thy;y z%0mN`5oH@c)VW2?-y+{k7n>00GV;+wF5zcA%;7G)3cx&F2#i?lUx?Zu3ys_uVpI{l zax_(BZl?DWweKd|uZ94gn($3z?p!#%5ph8*HhOZY*o0;r-iUAZtaS_&;aD2ifyA0O zsmmgK^e{+DUf}za$vx3cC%{!=V*VK6fI&DqEX5_iI3>VE9<(I!FwU`qi&PcY&gdu% zlMb`=E{PUJ7KO$Tgd)Bhaudm|m4)rUO}phOz>dPJXTq&&?=8e$Q*i3dsOFzaH=I(<$>R= zAts-T6v8`-nU&t##KG9QyK44Mr6xkMFd6PlUs?kU)yQfhJqGA9;t-lLbP-IgvSxiE zyc#)`Fs#@Nk-G_q@X2NdKUJjvijw>;E`-+u*#D3xXP({|w;QIjm{SRNNL_X3+o3=$ zOy9l?OeXN>%(xjCZv2xmXS>_|(Uou_GuXM7?ik^(2_paOc}v(lofN?!cDoc2a2D4R zb8JS(7f%_A`N=moj>4$5vjed&Pkxwq;0Dnk2l!H8Z0Q-d%d-Ie3yi(LDAYEz0=&L_0UNJ1 za@T|dO#xSVolpcc$da#bAMqY2@BnBq=RH?z7dAX({yKmijlxmYy{#Dd%VIDrh z0L60(8EUK2Pi$$yXuop+$TE_Y>pn`en7H|q(ct+Rl61&X6!eT?3PP$2q>OKHdr6#X zp_c#b=TvrtdP4jM>k@MdD$ze1P?L}}#qunSEqPU*FY+=S7!lNtaFh^!!RPu1A3kPl z3VPekTC#L047)j<{KkArZSC?bT=`<>3h74#iOMKuG}v?~@)OdbdER2s{#VdALJR_xu3esX;kTLPch+qVp zU1Jb$`lQBTj!&;k+v1ctXwZZ4&&v!KB_$n}`Sal1h9H>ZqjJLT#w5y7oTCRrS`%O? zy{SOh9X7U#0D8-l;r)Hyy9(C0)A2;d5#I!>R*y5cWAp%&K0O^*bz?@oFdm_<4X}Fr zVPrTcqHihjc;O&RHhuWaP`%~4D1Hhl`AGY*-x&3OY2VebT)R!BRgRPC>4l?$DJl<| z(VZwWEZ)madY5wW7+ccp&>Jo`@-O@SN03TLHbp?0fY8_47FN1$OkvVX164nE>V1rj zZiXJqRdg(HZ2EyfZK!C_DF2BpmwC&i(`SS5@Jpkr0a)UY#x&dmM-6}VcA^E6jWfBD z(kGu{E!U#EK25JP(ooX*FiTTNc8lL!bNf^FEu6-Pmi0gQR=|lpmzxjdm=ox0r60Z! z9Z~_ktZ0ENJ)gG z=@F84Qfr_L5*q)E6GZ(cSMlX3Q2Q*F1>{nVBd7)Op4=i#`i)f-(~NV2d96K(n2ypq zn)k+?@~xKrmTh6s)8ZA;O1{p}rRs)DjyYebF)oBs!xwzf9$m#j=lCR>|c&jE3W!dTr3?Y->C{AP1I=(zoFWZqIh>cpFz z_kF?H2$r1I4?`azIB#8c+`0COn6;}xRy6Rf+R?YJX$(;9&sOlK*9+Sk{D#}LO74Mi zV(#$JJrc;F?48MVdGAnV`uX^jQ0r+wb^3;l%-g|6Pqui4^rxVatO4Qw6gYELUZ3I>X4>A;2!#SgQbOW`$VBh8g(N}5oZOrxtCYkU`ZxuQM20`?S#RU zONzUYY~5`NE@!Je1GpzjA9mA#<#jo$hr`LuD58Vmv;o-_{X3aB4V8nWl4zT(M9IA6 z)sOLKa^(nf{MqTV7T*NrQV}wXQuhL39Ij#He}GN`Z-zQR(d7#?6syNH{HJ&&8oAAR zOTH~0%*=f){XuzOw^-lWPx-y_3VV6}(w|*=_)H>6;s6*TqDg|$9V^>BBMYG9peJ{B zilO@6N$FQGzQRB(!LuI7e{!3XO@5OzYb zxCBRHea&_E2!U;VX+cJvH^+qyi%ggt_lMvt zHrs`uhh*{iQDf*WEX$EEP|k4syRUInkK%w@${*=$trm-Et$3Yie>0;~SV9ojF#WDA z7Y4%SpY2@+5y3S@#s9giOl0F88|s%cj6E1rpJVt2tZF&%ChP__nYm9zoT}JhaD`?~ zpsYpyXuhIo_4n~8q-6%D&tkGP^@aqK9hTWeq1ejs1_p~R2G08WXYOe8I3)IrFk$uV zp^AKK&3RyVU?{}0J4dAoCUTb!a#FtEhhMe|k2M#-;wFuFL|am;ss zk~m>jU55-Q=@rtmu1fbJF57o*2VU6XbeSai$n%Y6b^KL7I#Xq#?@|P)9ldnVbr!%; zL)dI6{P*5?Xj?WWHyeYy=|FmZHyfW6fk$(fL(=HyR2OCs%?hFVIb-$+xizNfx`K_9 zbUNJ-PK*G&sB>r^w*iarYP3hl#jJh6jeHD(md*S$%b0knbA!z&As8nyLqqKP=J_FD zOs?pA>$HSAzGSU|LTZ-RqLIaC&WKdV3}rP~axPjEkL!Q7KYoRS@86IaDkHRO&Eus9 z`JX%}7$myil|mU9oe>uHg{y|g>L#b3034*}+wZG- zhIB<#y+yYC?9#~hyNe8Qi|%^GF9Y+D75&qXFc^H2F5ST=fagP+c1`kfu^(~kg#5x$ z2^}i5TdwWJ^nYIHn0I{9A%RA2rnrO}Q+#*^HH-vfpF(%6;ULZ3r_0OLQL&5P`35Cy zxzeDzjrbmXe;=I&8jwS}ATDQLOAY(sR23|har(-|`5FJHzxB&1gsB_eDhz6-FEr#e zPnY9*B|v@}_)lgjSy9(I!BUv64EQqCIc}urOkx_lXI7W>y1jo&xW&&UoHR5t@|c?? zDo2$^#bYRX_SN}Yq*NX1z$=dXAg>Ct`@Dv-r%i zas$TfmzJy?2Iq0$g$vX6N9 z>Kr@n2}W|fXLg_1J{I-|6gy4mceKk~?qv&c8b4vw+1H9Ih}QnG6Ng?xtKa2UZlA%- zhuKVtZI1>pwc&Fo`kw%1T2`^$I%H}&@@d3JVGNR{i_bt$t+@O$M4X-AI`_>U6t#cq z;Gm#k4a?IJgcmaPC=R9HEg-=NDPUc6##y6WokWLQ>+>i)3*T=ReYSE)vfW-gu31)=FM7#D1?v|r33F}M87q3Q5 z5wpI3?_38&K8#`mYpVRJgeAGfo=5w*fk$ufYu!oSMxecJgFGJVAkUhhch z8Yul!8ke*AJ$Kn+T>?ZAbrl-n{>)dUIrfBw&&I;$>dJOY}u%5*Q> zhvZxeFctJ%-)j)ks3_Wqd0R8v& zcEN<_0d?W4PdtTsh)0GAtxhi^n&i{_^hm-A@~Xu_cwmT^3bJw|K@=4CshQ5r)W3`$ z&*h+R1v<~XGxc-k7uA8*M^k*ToC_1@0L>i|ng8~w*57SU%89MZ}xB=T-5Nr%MYi4O{#?ZYYVtD3+~M<#Om zrCA~mhy70nYn(9SZts@#*`>`nd=!J41U!y_R}Zy~$}$%pxc`RwyRkPyf@SjbfD}<3 zQ$p_BIfS}cLKdjlQC-&`?!}i1181JelquaoCk@qC0?x=X;N~d6M!11M0S7Tsg)PI) z_h0;t6$ZRoMQHz!kv`KJckB-!34P`(wDw9#uJPIB-QWVv^Y&WaCr2x!XzVWU2cQ4< z!O9|C@Q=gsDkHm2-XBkf&41=md>|p&IcU|rN4XJB+Gfti2rjDu>W>e6_;Ki;b~R+C zzPyd03w18^G>4eB`4292Jf7g(z0W;H`XxaQKs44Yu0WM{td{<@2clMt96M~c6DXHe z44Fi?oP=5X_jpLCyb|o{yyM!8daO!b)CIqObH7`N@}qxRCTPZ0k+b&Mpz51z#q?bp zvlI74s`JA)NJ8DC4Qa&1+~`V3*hz8!3h*WW`16$7YE6altzgL0E0DF533$Yu5P$@O z1NrggH=0I%TpuXfB{44VT5cE|;$hfv{a5ABO^PW_9D*FP4lDPVDQvmBu-0&FXHVMm zvc{p?|IxOMyMlUr6lBS9|Ng-hl*h=kOz~;#mcy9myO@}h(COm=XC#TYmr6gUgo(A? zE`5t%m_mM>ipVv=MjCG7HUog<4-YeIQc>=}V_j{>aD7vjIUFK&O~bPJxpy|$8f@eA zsI2_#1b0^6sU8vP$$iGyC(^1Bl#)z@8h`KZ1M=R-AR~O-xf9-vjCB>Er-I zS}lp--Tw8wFE|=<=u!0A9TW*X*OQz&q|TR2sp~emdyy{V^oLqTkqod(?!qaI*r@lD z;KGX(s?*D9Glz45!aEpsU1LqzE2HGe&8r#fAt|+)>cIj*1kNiandpJYcJ08I1;79= zIgytY?5KI(IYiTboj+(Z?c{4|cz+T_92|LL-Pq3Z6zBYq2)yEI zEsOgRKY3%$0(^X#^#UaA5TNNc$ZuAUe(H4fK3)y}&P|2u;6WtY2iSm*P+yI>vhMK* z$F)_|a9=c1kGzxjy{+3S6 zP^I{$SpMpCDGAxdqUKgl_Lyc(VaFj^H5~p7>$+X*+u_Yc@3n5kU*5fZ^z%hIzrx}= zX@BOa4{pd-jR7L(9B?R&sz-ks%)vW`3v|`Oc|r0ZIxNypJF)Gb(!ukZ0=M*5>OC`Z z{=EsbkDx#q?6(p8SqROM9mKeg4FyqhQ()`L1g=gKw#`-0B1gci1R=5}H_hUCs~{7` zrFCjFj#FN7SY4}_3IknDe~^p6k18`gZ!%)PH`CW^JWCl z`Yy2pc9w$$<359sc=!t%OBatJxw4=wnhd!t-9FYN5*zo7PCj~na*Mh4cI9+SjV}mT zeyrU!HCAE2O|MSBff4Fj6i>e`Yw#{FF3IhG6$Ci`+I9W4z0KZwJ7~q$=Hw`Pw%8V& zH4{gye;Q5K7WP$P_QVGV$}#?hW^FbSo&s>pnaCWDg(3z zjSGNZK6GGge|)5~5d1PRIeSaAgtLfXGc_k}9_Je!NHdKFw8M+@;>xd1)6?IS!*9{q z>NsCb!(h=@b$k%CZ68v|HMuz4sRzZF_AO#0bz7_m!QRFi2-6~cT{@qD(cIIwwx-+r&L6HxasJYbY*orc96D@R3E-|u zl=W)uiZJ91_D>@iRG^lm>bh zGft?`oMIpd>AW!t7S0sVK%oa?Y>icqG7;9=#cMuMi}Lfc9v(5(`&K8Bd*%LDKZ+nc zi%upNTT9vx?yX9Q-&KvioOm4@D!&C8q>uq^turwH3V%N0*|k*vz68>ma44NJCiY$U z*Z$je;XWZvKOs(hJTS+(&Mfbe$DV!Ey+iixwFcT22kGjgJ{x?L<$*8(Yq*BwQaWF+&~)5=;fs zY-{n;hv_3bH^ZL-#L}@o>7Hj52#XjHKw)k+)7I%1iyHQ!uL@BFk%7XsMD9b=@}H9i zFZ9rFWYW+@?cn?XbY9{-(LP*h1h>LTzZU*o6OA=GcKbK++9+RLS$O~Oi-v|Iu2|R- z;ps^@VRR{b$ox(Bosb=b&cbht2$?NTU-qM-5W4!l-t0hso~cka`K!_9tge*@^=EG5 zyETsn$2eyf{crFc9l@lXjBZ`Y-ziK)FN7xj9ZlR%mf+q%E{r?;>JMJ7rdax`M=5Y;5wgqweTh{w7X^RkCHZ-jp6ZP2vQ(Fev(Q=9Y$$v$^B z3Vr(Mr$5j@?#$~s!{EDdPsb$$@`PeIZ&Q05pzzgBxB^{5WVjRNt4SB_OXVMTvRK8y zj4Rm}S9Js;6IUPghJ{)QO4^$oF&<_eBB85sLGwn!5>4>Ur&&Badqg^9ue45P@3N*Es+nupH)V##I zHB$f+s5abWG)OXx5{cHFT|d@Smv6Qwp$}3`+eOM}f`{p0xh+AKal-1@uf!O$*tW{u zJ_r1vp(xh8NP3!HXW-|Zk$&&Ukg}nyu*z4;Z}7yS6DO>$toReDl-W^G(s%>v^-5dv_JzU~u#nizmLE@@_I)8s* zaYs8gIY4nPcDD-1IGbHH*=ZXug>1DZ$BYSAjSM`GTNwG4_pg_KF*HmfprA71#sdih z5g7y!qPTZS15Nk!HnJAi<6|Ryw9BbNSoc}Gp_J3~v?kVNA$zBC>{-{V>oLVvgNirT zA?rwXd0xBWp@%#{y~jz_#XI|g{6+@l!*t9clW^S#jo1-W9#7&&gUOoF8SFA?*Z|s) z$w8cPF}JZvDwZXi&F*_~4F?=uJP=oINx-CJ^(7s5w#c1L|0PcTH{&NUS<#)YI^?~k z*DP37ps=8Q=TK}muYVfej>;0}e0tuPv@EA z=*Ut)S(Qc)J=FS^J%*zi$NbY`JO)w4(5;;n!dDkX^Dmwu@Fb2(5uqDeZ%pUS!WavPRrmAlb zU;R$CM!;JR8=4lpDH=#M@z5w8zp(#!KF+jWSBj`@ffBX3E^96kWqnW|`et0tUW#<$ zf6UUD1y0%8K5n{9B8#jnTmsZ{nQAQVXqun8S+L6}_Th_D(*$F^h@M>yc#9Yy_+tqu zz}OLDk%>)*LZKjzl7D43Bkj{1c6MLfmC0LvnI;q^(QGA~v8+Oq?B}h?;<4B+fy|=? z$HWU8tUdKJ6uduIsX`1xmHxtx(3$|L4xe)u#}EJ~iW1t!smLZ65d+vra`3$G%D{`j zb#hT!_Q#~1H|;1hdWY43&EZK!;+s%Zu~rAihhpDwvFW0KZLrs`ccok-wMnhCZ|o_=b3mWX%#_KgRZ-HUi!Y& z7^m(^ev7t<`#S4s z5)SCx^s~t?l0-Y@@gtYMU#aiCsD(kwRpB;;s%&Jps={257##P)8xaw-yN@@G_aWIa zkp1N@e%U{5y;4679-(@lLNT-#Die>mX?+Chp)#l+;i%pu$_}i%qpg`7_}Mqa zM8kcxpY!n8yoPBzZRfBA=c=v`c|RFtz*2R4IU_9jJE7xo;lsvvw2mDLBL-8nM9Se{ z*1r~m*V|%`Z35g)=5ifUe)*9H)1*qv6kr3(6)X>vdnq;%H4(XZyGzd%blra$@T9F$ z@|#>#X_E1HCx}6(tS;(u!Xzjb!e^?Ibgpc!EXTOg7@ywK!7b?j(c<0;7oiob?zCr? z&2ZPDt?trmDDH|_=f-s11rOp@QrAP_!(!NTBYxBXpxg33c)%tyXA5T5n#X zFR_{RD}uBeuZEkvG>Dhm?9O4xq@(y@5L~RO&KOJKtu5Gwz95v1+gnZ~&0?IqVCUF> z5X)?mwFo~S=YlCOw2gdP?sgc8Z6&&q$?|u48PVHKC#Td7tKc)xLJz)_EF7=PIo^^udWtL|0 z5nF_sjCSHieEI=&MV&%cWF3*$H5-O&f6QeU9{g0nY)uCC+)%p)`}FRmBk7dw_cas| z&A+9xIy|6jB+Z4NGb;PI7|%8f{#p9|_$f&cNe4xeFU4KL<`O=K!*p2GFo7_E$!{)B z;W7}aBh=?@ycTF7knI~6z{hOjXRRnEH(5RUMtEA^YxJMP806OY0{H;~f_n_{_}>jK z-odZ&FZ@9O`~Pn`bQ7fQ^O?{BAD_|nTrtp}z)R7H3kzcFQi3U11%LjG7q$Z7Hi-rF z_ndT8`+ARW5A-HmeNFBS-LPIXO}gKf2{z~^-={s?a4)-DcJ~?HiPV%rtL%>gt{3#u zB`fM;0Pl4(H-5MyMH`NlOQeno;Db1;X~W%dOXOkBZSt{Clnn7)=-chfe3iHKQy(-s~>Zv}AM*BP{qI6Z> zEb_E~R!TIh5acJ(r$-$EG?cQ4q!qxBz+;MjFEBNQk4++B#|$iE6VXbVMY3#x!67-v zN$0WE%Y3*=KL4vlZ`>JS`bWGrh9-H&v2>ni(l&V}MJ354$q9Ap`Dn!Eax?flcD27d zR^J3t72KnTsmvbQEtfY4?g=yqjH#W;|NVgTd1Y^81;a@c?+sO4^n;MpoJ`NLlOtu_ozryKpjt2&t{kK7aKEynlQ6K4!INdtJ01 zZ30JST$ztI@|RA&Q=(3@F+33(;T z;$Y)(G0fYkIwBGPx!#J3xPRndg(a?wDEvJ2*8bz|=SV48!7Pl!=|k5{Pw*D}JKAnc z)#^sK@#w1R{IsORZGC{mXZC83Z(HpF?+MI5eE(+havj=py>;={9vkD*P2T^57a0{L zZC@X~Jhxd%NlI&&X7(CQafUY}G+_o4VQF#DL^?Z&O1$C@9OXMzXJ0XuojaTlz zRhR6N26<=NDUqsEx7WFcdqxQTeOAuql9_(i!X$q+?fqm{#>7M}Pr6(V7dvI56dCZK zf~bD%h;eXqr0N(5F6UL2hUyM3I*{n?tH&}lB+8YD7q0xVAg^}o;$*olr|scYmC}Y^ zgytgymmOE(xUbDVLI03<=Uz)C)*EhIP?cxpbtI$wM}FT--6(F14pK%6EW=B#Lf}11 z!{?As@jSJ-g;TnnW{!+k6-JIOycHnjUx`UdZ>i8MR195!E_xENjF6+BvN=v~C*L%T z8mJyXLnM6hAe6)^ID8IA zwW}*UN;o=^SOk8w#aA&sooFfi=W3+E7TgSj7HT%)r~In$xau{1{Y9B3Cluh=l;CN8 z+fn~{+EfY2xVMK(l!O~~ydz#z2AL_?eIkD5!59xOjnV;5s|FmRZ?aB)j0Av&!#$y?sW#hE z*O8#$2z}?A?2hND75IK8Ww7=?khHww_zS2+&>5GCOx_orD zQ|yI)9WR*1SH^12HuK9WC%`rWE*w0tG6q!)~>`LN4})IiM4D{w$1wGZW; zwvhBCXokt6u45FXQT*16zH_G{c*IcP(`X@IMjUsuN+?IYI085ow2kmGzrO|FmI=v5 z9Zlg+2{J?|eQ?9l;}kNn_%re62)gPz&w}ZGh29T7AlmIB4vUp8;E^t)?m@5aP=~0; zDDGBIO-W8lN-w}#Ivx0pBW;~(>9kN>Zb~xaCF$C!f@%nj?gaqyrP`CpT0^$uzt5wFD6px8b@EJ zZpMk&Us2==m3-a)bg4`xucoc0k6J4!D{&W6v8yJaWe6T9(+W$*k87>QIj_z&z|CXG z@Y!lU1j(AO|`q` zG&Qg`U;0iV)iqN{QHoT;Fa}bx)m6qXL!8Xb%#Xt!D|MNj^d{>vgUu)BT9b}c?yJX_ zXq;g}`D%UlG@h>_J2eUK8ML)cG!<2s&m|SD3zaH8MLinx9SRH=Oq{e7%~Z{FjI8o_ zHZ1`uN$EPuQ&yhpaf^sebv=BM|6`gImZ_Iv)d3o&&Acqo@IHrzA9Bh+Da|R_CW9 z`&*X?#t~JZHF9d!+yPp13za#LwbTL@*-~_UR86ruq+NR-v&T2~82k&~>@-G@0PQ|- z-L+%G@;APu(MoQp+|-QRHa3;m|IApQB+PX3TmV{%19!1G3zp-d#hmkjN&KWy6Rko1 zp?j^V##-X6=}I63H7|*7lNP>;q-P}YMx1r_P*0xfQd5tAIwe1r+SpwVEuTi4Qvmu(BvmA}aHIJ|lJ zbJEVKHMN!6RIw2*0OKZ8Be%yz3z>;?CFL!JQXN}7-07${Ft$>I-T=-q>zciOWanrF zwD5jP@}@>2(J1LKr6w^=rl%!>0l(IT40# z;sn{TMiXo!=ZWOxp=BoJn#33m-cD(hdXr5f4Ph0n@rsXUyAl?3_N~f&(x#4u#HeCh zTz@eh2_m{;JJ7EOlAW?hEj=tfq<#=u`IL%K-zZGs4s6$r{LQ0%=&5NKSTu@aTtq*O zNQ2Spd8h_!BB9;X$Re7wV6g&H3(3UF(6P6|c|?c^IdR`A+Qrqi{@mOBaj-V`+KxU? ztc~c)pv}GqS{lJ?!$~}Yj;N!_@RG4GyjUHWzFa0E=+0#|cM%Vjpbb!&!ZcUcQ#DSb zA89PkF4m35HeZiMjW2Z3`9y>q6^>%1);DldvQL${s8!i%>z)*h&=vz~t!xza#Jsd4 zb}?FUdkfbm*CTe;MpjAox{3B{qcWxy!uiYvDJV*N1rYVZ`1aA#BMlU*b>15#`6$@q zO0?Wai!+}5x94&3xBKIZO=@jXzmBrS8w}S<;AfMBoaS~x<-_IAwKr8Xvvp@vI%n%p z_)o!IL?D~*$apye6Q6*sGMmz=$pC82irs7@5$CQFi60tQLe??E38CBwlw9OIUb&=R z-;t>R9A#f!E5$VP?>j5jxt4&ngSu`OLuX;@%0g%P3JyQ&kG8X--h!93)**rP`WmUG zrlz!YbOg6TY5iIkd)T$}vfsY?^sc|9xSMK}?4qg?)<8v045I*DX0FKi;EuzqDQX=RxUlMI?D^2k#_$tq*c>)Qe?qgO+>SzS4w z9`V;`oy;ws#gzIwCgx((+v&rkjJA{ZHhx?!+Pwm!sN^!Vu#6Y@0lVzAZC!pQwi=pX zU(>NlZP*Cv(rchKD`DI{kbc8#w8Gg;^`Olu$wjX5{_OO+WMag@DxP0}nqM!~LYj#S zCT=}N0NSzp7t$sp2Qnfz%EPW|9UP5xF*Addv1QbDnq>=yKEi>dSWNqeLY-zV?Bw4I zC?=PeWulGq_|HELEE-gZAyi! zEX);^D*~sK_3aQg=Kb&Q?zU-aMDe+P5Rl3B5K_AaBL zuE83Sk*|AEOmD~eusSFVo4@OX&fxuN#EQi5Y*s2pn~NUh+nhy-UeB1M8~*d$9hP9k zOHB^w!)E)TguYr{K#ItYH$*RoIPDZ{{6D(BF*wtp+cvgs+qP|+6Wit+ys>TDn%JD! zoOt4i?c~h)&i!%Et-4j+y}P@fpS$+cz1Ld1@#ouPLfp3x!gxbeTtnR52B`J%J*$*v zwVI$)o?Qkd{0HIYms&IW{nhQ(@)`_{IOcV8NHT87 zI`ztLIgnP{FD|C<^1W2$Khvce>sT$hQx>mYpU*xy@@OI%NDj1<=@MJaZXK3Cr}+8&r}#Gm z)*fG~ySUz}b*layLw)eS*=Q-eBrMK-vvbhQbHvnSeh(d|q0Mtg<(DE-U@Js`Juq?0 zqo@M35?4bb$sEsRy)?#u-4BUD$7^v9S_Ytnk9)-Ekq0p0pogb7b&nvXyBZCbZ{pQt9`sw!hyjWA1LM!&0qOvTib3 z81W2k`v(j^QAtO$OXera&gbj_n24agOgI3h=ootvhZbYOyM8phOT~yxzh48`j6K!$ zK8L90uq4RoWA+Cz7Rj=(xSZY7Aw;D+_Fu? z`SbJxS1tRTVR07UtLeh~R+)2k#rq*Io0A|+c`7MIud>CP;MB<$@_~jwo_xw}E}8*^ zPHNGQ3o#GxW~S(f+A~U&U ztmw5)oI1N!18>KPws+@|rFJI;0lB)HdfBP?hkb#Ol`Ft)Y=+FeJCgQ$mk4DQ3gg6b z;%2=vNmN*DNSkuy^bJR>b!&A~G&$bZ{@ZB*Q-s;`xNb_~@$Fojm2;I(=?+Kv`k#A(YYBk2)Fzkk z2M+x%)#`XnZTrq*+6lv-M{kG$&$Aj<^?2QXXL}sh>J(cvYbO}(>0{el^eg4XxT9fS z=CjOkJ8A97?Im50x$z@&y0@swp?p+}6e(t-WWek#Ta=IN<*b+MnJ`OL@k$kAuDxqA z#}&(_jp2<62|tTH82FI8gw6pBIK4vYe`AMw!y*rz`T|SrUYp_UmNDDRODU{$4(pFK zFL!4A=H!v{RxOqfbzSdclHvW}UC)~l+&z!8=q2An-`80aysLM7PSMvJBmq{vNb<7IBU`M0*XszTk>sR zADQ23Yf5G{R_v9P?QPPWna7?0kxxAgK_m?j#wUN$F%=*Bo&1X~qyJ*p@TzjId`vxY zGd=u}h4v+5r)t`aliC8X<^6-;T}mcWr}43PX-B8G>FJ~@khxe=5V=_W1FQx%sRM$S zj@0h=aR3Rb{PxS(4*{*iy_1E@Cw_q~uH`d^T#xGyBi!x29&Nc8?d{_Za|}EFE7{Xn z_=@wmV!JjD?x{Sc`r1751aEiY)#A6bz7u=li<-PH{!a0~dZqzwwpQJng<55}DFg-x z*OePOs)D^-%rk3-)-^P<>{(iVQ||^(GjTOcf2Y%@n#G8@f9h?O(YDsiWR1GnwYc## z?Kmo~9%E+a8d=JEg?RWS0f;=49QN6(z>tdYVM%GXs0ySCwola}8RKk@$CGXwmA6Cu z#15_1D0|dUE!6;@KF!QK;$-c`@cPht8GmR(0ZELI`r*1e?o-)_-TL-J7DTm)I?(pC z0i$pxgA`0XTp{Adqx0JB&Z<&0bqus zUeZt3&BT{nvjm^zTHpqtiZVTEskx~wldPOA-me{2XMKKpTt@e-GBzH^7U91RHy$sJ zqsZORq)jYS2$7C!5L1-6lW?Ccy)56-#sRQ8`&4%r^c1tn+u1dj|8N!nReziugT}_cUucki`uv6!$;*J!d z)45fxp)+(%8=(dX%4g(S`o1NKsJoRg#+A(`qdCU<0!%nd z2UZqv%8eXv`S$w=Hj6pYkNZwENUBB*Z~-K%owm_%cDZ~mr{GbkbZBmbxIVIS3-D+Y z$Ud6IYiBoOQ?K14wt1?b6He|>WiquK)&L*vTunw$aZ< z4$GU_=;+JfoMCUd2BhOeubias32)@(3J$-dFz%g7w5vhZR6&oeUMlNLmu(pZ*GL1` zD<-++1TKG{;+-3HV7r{`OQ!0-P?caPX$(;g!uDjCBGCm0q&M4Pe*SY#Mt--q zJIvb`goqXW%6<1HdTgG!J)S#0f+aTV2%Ey@5|m^@^zf;iQp}55E-Pc=^r$}NeWP$c zx^IuXM{}h52%Xhj#=w@Mjs`Go<=|H3{eIy_XiAwpB%pqGB`H1S;9Hq>fh*PII=jwdC15_?ZUcI#}I793ht!XN+6 z)IpT~;vc@|9&OU7ErHWFUs$IZe+v`edpe7E5e|p63QEakL5ITW69v@9oK`8}c>Cv& zu{nDYF@fzr>bt`R5o0)lRj=NBH-CiCF|*o@E@K65(^g)vCTZZJ>(MV%V0FRKr*z=F z9dxQgfxoLnR5V$(YPf4BZ<;It{;1ek^k|qZ-d`fu;d&@svFVmw$)l_=E5 z#@TIs?IyW2D=6RFIMN*^6`D&+T|?RG#Ox#CG1t#?ho9rq7T&}AJw(IC%oj)Wa&Iq| zgw=~D4A%9MmjDJR4GOLWY_gI(6GtktE``277ydEBNm-uvlsZuimyzfEJdM1aDJ z2X@Twv-zl+uK8101+~+P6`lD{Rax=1^Y%&s@?$UY)qvSziLbi_CuJ?*TZwU-!R4cz zVpXAyeHMT8-NeZw$+emJL(14OMiJR{4pPRHXkcF_$A7{K1>YY| zy<1#^A-0A`#t%$L^B<%C5Z2^PK1|-Q+>|6(+_+F6Qk1~OoKoJn65g0O`b>AXFzQ)8 z?Jv$|8z81%oi8*5pL-*S?fJ-6LdGx z93FjUcOaE`V6c{F&{;WM^Y*>sYRtRcdX$iw2J8?1F+YsuqygJ!qvtnRG~D(-qz(1DLsJ?MvNOkSi!XLH+#bmL{!T)2A4GRlmT; zh+@&>G^B-He2fUFa!9Y_g+Wg2O}ryoOM@f3Kl=)Ol=84?DxGcSBS26ERr_21Zf^Tz zhytWeqYc?s4JLTU(RNpM4cEo(Qg&2QR#HmP1}0;ABWXlBPP-0fp_NHGK?u)_0NBXe z$AR6!0bJcs{qP6?t{i8YA)aUG7Vhx4e7Usb6Za!Z=C|VOR~Z@s{^CL&tl6`D?s{oM zyiVzo;F*4s#0$`2E$2*%jM|AGYZFa))a`8K+bPw{&53HH3lL{lzf7L8_5@IjR0Q|I zgLMsUs`Q~jxdSO-;VxJ9Pm+Sa0U~)xMF*(Jv$Yq#S4+eae^Y$a`<6OM2vt>b_U02d z@3+s==a-@^sFWzwD7+Uh^1Xdr-h`|~rLw=!OZc~O<$a8@PG#jEbq?_rY%IfmtET;W z#JFT~>hL_;dOHpHyVhf*=~_g8=-S@iUcLq>iQlAHqZ>7)gvmmqtPN^60YH{YDRn_d zL1+z-wkVy9>sd{Hv~J|3_UnB8HfCiuwc#QMq3cXbMHEy}u!m42aKZH@wZDt=1K z%Vfg~Ak-fz_Dg1Cm}GBJeViW-rl9?IiTwdbd*SaC#W>`5M7gUWOzp4!xwYt2&HZAY z{d9`GC>YbP^b+e-{cRC72e?&iT?cz#V@wLL|32q#2k!9tJ^yihvpoGV_t#H}xZ@xe za8T_h#MgBcoOKk}ePsyv3bk)|!-`#mIN$SYyi$uw=^@hfu~8*9|57w=-pi-a^lXX7 zsrr4YF@9O)YKpm0HGAdWh)p{o1gW~HV^rl%S+;RG;hL7Tu0FH44k+bZnDaGLETe3M zxRIate{x}N_2zyW$x%K$y6(Gsaj_NZYl05#GVS%~kty$x z_l<{eGdJA^<({oB8W4TF>>DOyI{7n(X%!bkpn>IYL4hBn*1*cWQxp;CS=WRQ&1OYp zS~n8M`1s{B?a?gfZ_b50|6xPRiDA5h|OQj6y1%=89IRwIhGQL;=^Q|8(x>fsLt z#>d%_^oWj2e*qtVftuZO_v`-n^vQQEOOGXZKBq~|e>*-d2(?q)Qz`z8Hi=b~wr!>T zt8G^)A<1pkDbZ7W+LM)jw8=;J`1fH^YOZH#7Wt*ibToN>4c*l)>ZbS4X7T2TO=)xw z-ctuUI;Z7L8`wx0@7T5&T&D1Nn+tn4y&CMx6)C0oUp_!?w%*@50jXYzX`uy-Lkj5k zvy`;9U$DLy*rhpOLQWb9>s1O7%mUk}zqasQ_`j{PdEa-{C3fuAvGdM1;;HmL;xU0_ z>Ju6ky%}B(A7e7Qudm$oQ+nqwEL`!twhxi3#ToeN&yIvlJ^#cStB-HOePw3XtG^-2 zDw$}P$dmz73i?9#1AFVC=oT(69Q55Z3pQ#rv9vC3ZW9}~Q7(5^(7XMQ^_`*kidqDuMTY;4{Q2YFKJOaIHiL4xQAsvl?HbeEX@EO_}58nA@@ z>kpnqbh3Jgq=6|o4m?qJo+a^SC|Vd_WA3McT4(qU4+xBd{`(< zpb`w#i$Pf?29_tfP*+wEKbB7b$yS><5iMrtKZ0>Jnv-g@-p7|8nunZ=r>IS?nsSU- zg{fxwq?%KcCgtma9U6+Pr%{$_5q#d?b}KvpC0Ul1EC6*<%hrM{igjrg)Afy`U3B`T z2SImfB*9Tn`@txM?x79Y2_BIa6*W0T-N_8AEoJ*b5;_oA zy`N@*+MeI-=CJv%lB)QXsAQYW{YmC+cP!x(xlkP~IY(Gk{-8RVV^0+V)37kf3R`;I4J!dr|I3^&97zpk$o&!8Z^d1lwn~}eGLMi zv$U0rN&Tp&jbCW7s$fwK6`mFn#<>dRPvudZND;R*N62840zTf&A?+8bl2SPUF8x#) zH=U)buDE;1vY4s8pp~gP6i3By&Dkv}+y2}(D4Z$sJdCNTDYjV0#w4&o{K45_F9}ge z->CCE`y|THD%dJ`D)`zmS5Oz2nkfN)wo5f@gM>aHPfeN=qh(LAQ|}Sl&<8fjm1DBdFJ4p3tKUP1Ca`t ziC{#*4DgVT*GC}62)dd#>wR(%N!_8Am>hBo0Gw&slC>3$@f9g)sMc<9=y8bV4Y3_P zDKQ(7EtC{K1P3L*rx4qgbZm$qiWGgHMOnaHn}-4H-rJCeA^fI&*aQ|>fEZC`4*lxE zLmu(IF6EiQ{!PElRKl|Z^Rh_AVpB31Tqt(C%f9v5X6&0oiXk=##)=n4V&;f z#MvN3V2&`x9IB#)GAu%48q&Ti@*w2b4S@<4k?HEp;z;qD;T!>CO;XvzRsYZG|G)Sk zX-XDI7I!s)ZcN5vQ+O-NFf&K9hR*^sLIhHccidcn&$0m9#J}szd2xe{>2<+->davl zslwJt_WtSBLFYinb-|CMNaif}5L0Yvguy_2 zy00xIuXFWQI;Z-?^QkQHpp{M-&LQ^TM0N#b2%yhfYU^|(6{1Kt*YphbO{VjHZLCoO)QaA)8{I2)%_VS3bI^M#2StsRgZB`8Fs{G?pq zq^oA>|Kg8rE|`!V;(I5dEq?fkR#AGBbDjE7Ws_n$xb)y}iL+*12aK_9NFMvPieO=y z)Jzl}aoM}!Nkt8cL+D}6UT3NXJ1Q1s2+91!gY z;-TI5$7*%S*AXPS-M%EJczb-7k(&Jw(b|+>XS}f#`viN^&W{W z*J2DvD0Guw3#G38G=jOtu(YmGS>jo4MDM5_V;u5t$}-Ti1J3=#rEF)^Gj1>JrWwr} zI;DoRQG~r0pgpBbZ-a9+<71p6zlG%bEmUbcw?UJ{?wt%XKT-w zYMKtL+niZQpIxcBEU13HpRz7`id<<7U;9j*zy6{;zg{v+sBLR`*X;Y3?Wf?u`YDz~ zXhCMX00rdiHPqP*DBx8~b=yVD`V)-9e>ou_hVJwl(tZ{MO4_)oa-2$_la{eA!KU`0zwVPQVd0Cg(UA|fOOz?Oe zkMx+iSuLuPJpte1<%j!OWL17A6_vd2VYRLSY=t8T(^U!rYh=aombB#KDJ^H zq?o%YZJp!eT;OKm`OV!l!`C*-$H@WcsD#(29xMNa+YPXH?{Rv+*(bW_uVWup7hjz?n^7kZ)v9!RAGmp{ zOVK*rI9%SNN!=0xQB<&xxbm%yQ(t8a4NzY~4^3H(8tg+ozrpyqAe?29M{o%MXMK2% z6VJrN%_GXfBZ{DFS581u5}BPCq%8`OJ%1U&fk~J^vL(jF&1pgkeyAO0iT$EIzwtcB zK&N^@h}Mw~Zx`2RnVuQe4~uRpsv3l^D7?986IGU|u9rQx-hIkj{j$jfz2Ht+e;UL+ zmmrR{FvST+gnXB(BjK@zvfTo}Z9wteWFn;%1F@U=@8vepD3s1w}0& zuC9JyVRSt`9c#tGhD?Og?`3=Pvc4MCcUzRV^Hs%Ywpl?ntgGuSPwei9KeZ;vg=n~C zlb25btRJjxG`Ay`F?Tj~_qMfl9_aF^m_O^9O`9V15Q_}zfht#JV;2Vue~QOUf+0Cw z6K4?x6|;l{?@!#KS_eG__?zOeJ&4y2UaAw>m0mVolB_@MBb@J@T54GrRbM7epIjs* z=2byO+PK=9b`YO1|K`hSlQBFJgIPyHc+n#pTIr_B1*b1T^`L$ zm@HwzZ>`h8*Y>@{QlpU#WY(1N&Vj6iyaK@-?BB$2;elkTD0Ki8t*Ks+Ew8hS51abv z(UTaR-0tMCFtlsAYXm{8E<0JT%(^_N0$XZt7VM<$Lo`>~SGLX&1KD~qV@O>Cxc!Et znh7CS#7-O_6x7ME(iGeGaUC^gt&xHQhLIFL4J0_JJT&f1qn3#5k!d&N0G4 zk`BtOmGs0xkn(^%5xMdp?T1a~FDnzv0(9?K^eBL*l7CA%xCIH><5ASCB-YHPu55DM|h81pfn&*St&_4Xtnpg>cLn%UYlgj zZr`9myk0n|o#ce!aKu0Jq+RT9ju;|!(FN@tR2(U>T6`|)J&I|IR|<=GJIwvKWx~vC zKuGR}zW|gAmpHP-$uVl;Ejdd8!`M)JxYdE`xE~4yPSS2?*;C5RMPW}th=2kX!;ypz zmN3j5L=E8N%z6VG_%lf5s?)j-9&Hr$%QeY8uv;b^KnB2~2wfarhCFK`a1tS+ve5da zw($izS^S`@J7#PUUe*hC+djb81DyG#r6h!rf1L~n67(tX z2e}1+oft+2WczWQkiUE~-?8!{vcJG)>0{*Z(*rExfj{D5%Th}OU>Si}9bi$FnQ{Z= zqbj&*>Xe%QAVU>K=k#)#V%jd{GMc$0Ds-ME4dsIYqwLD8u_cJc99fR6aus|k_a2s9fWLgtG%;wqQ7CzOpJwLKQq(8sPX^!pfHF2Bmk|E zr3ZK~k{|wjQM{6@6h^9@6gB=Vj}ydSZ8*RBdtq(7wA$sXIW>7)J{FGo=+Vl#G8Lei zHbpl%B_|&p1J|2>QR`koK9iqq8oy-2Nf%~-MV=NZDIhJYmDk(1_Sfs_O;X8ET%0Rr z^F3&xJ#yhZB4F0IpCe)SH@$fa)67|Du0CLP@@zP_&swRvRVy+2g266t`>(L7T|3lS zR~r+|bxo_6{%4C=ha^l)u9yTQY7U~D&iS->tCsL(>+0*d7s6%Td0p5W65S!^Q?{s& zCl)V_wulNSDWEIhgG8~RR4hoofu4Un2?x_|b&mh<8`MXggP}(&ESG-!06C|aVIV*@ z&X{i5g3vO6%D9DS+Sl`XMU-)4>s$+I9r}&S{q( zDe_y(MXSfZCj{v1jL;o|-bRt?w!5Ci!SdSY`dU(#u#aU3ej~%eH$;!*K>cg zn~h)WwrphQK`vr{5!^tZX$fCnY$Grc{Mg+y@^fIEtSgzit_cfjU=~>I3gtB#-(79GGsi@XmxaQV)O(gu2?vo z`-U9O74Yf&P~=HfhLhnwkJ!}#Px({3xyji$TCkYI0`k^5`aA8%{dHi6<4vQswnzw8xqM_ZZe4w&=K=)U z1XLZ+t#Lltmt=_F7EcFlE_xNfL9yQD@N&=Gm5Oqevc8|7tC4|)vmj)`U$DVBJ;Rr3 zQioq_xI9Ri7ClUeu;zIc=&FFVA6_JZkTV-DgLWO11}}hAjjCghHKQeL)9SeaeYx#9 zPikz3jgOzw%F;h``E=aoVK`(T(OPOIi?Afma!k!76ED$$H$Uj>C1wuL3wwbhC5q$9 zP20keZM%wV;%>jTNZTC7M5C`I!TC9|vHskeybh+5{rF@1;xWLpW>v4hotdZK>V79w zXm{tfYo~}!E27usM?-IK4Vmcad3OCaY`2bjQ)w2Lmxh&9@MV>db%k~-;lo1B?==rW z(7xKo>iBvP>CWt(zgM9Eo5a;eU>Zunz!6RctM14oS#3EdN)+lHwZJ~Pc|sx`8je_x zuuRlIOwHckxy!V3%<4Q$!M%4mU_ zMZDaC>(k~unas$4}XZru{Y;q4GUyU)@=BdDc#kLbKw zQm5w~9l!iWE)!b7IH5LMqUf%SAGRwR30#~zBlA8*Nj~Gu-L!el^vCYn>^2fzvwjo?`17ACDHzG0bkb%3VjKWN14w)?g&I%z28?7! z{K0rya}vGiRZj^y&v#Hjg~Nif=RcVPk^-yj5PIQyso(Vm!nd!Nr`Gg_LE6AhH@B3> z6&OtejwVL1EYjo)$+HUyFD>Qxr#8t3;*BZ^FZ^Bs3^AF1kj=H(g&+_>(i3yz1?)GO z)dhMbzG?%2?Wj9q-stzF3^Mcyvn$qtyl({bYkc8Gy^`vH-&X?i zqd5Mmb`tNvjM)vjK?LTYI*N6mPHhJ@67Ty08^{eJoEe8WbsmKn0y&4)P@c&AG56^h z0M3*{m@paS{*e1qFd2|&a3FoaM(BMtpgf8vxk0Qm>JSq!4+fiTn&d(xQz}y|QzBEO z1H^>DgaO4ErjgJD!vw+vf9Mrv7)BgMAGM7HfeeAfKFQ4CRx zbI28fSPWB)a)=EG2XqCp0T+WhF$y7yaEf4xP>MiEiAj-3u}P&t@}Z`QB7Kt1M8~DZ z#hl5_$;?Sg$vaSTVd8_T2uKhIiC9>}ln^r~LF~tWl9iHBkW-LSkWr9~kn5lTreUZc z$U{K&BZsjb#$zStNv%;iU~C~UL(oKnNu(1^@>pTi%;iuxFyA8H*!$No0P##oZ|MC77$@?cup6o& zXXS3QjX&B*=*>8}2 zAN%WfP&3W}7ls=_`%~VFN3W)4E7W{ZZ@hhe7-dodac{JmK(HDl)0G5(*@`r3JPE;A zI1(uY3X*9{An+Fo(P%ZXs5j0&$dEV;G8DoOud{aW@FNgpk}YDEpCJD9KXf3SjRzX> z_I83y5C;T7Ly`A#GLQb@TG*DI=q+2pHtlA!n=GF6$32ky_&R%*z#On}tswlS7ettM z)cRjc0fcTw5xfnO9aKL6*5K>^rcy6>Lpve{*aO<&F54j5kbk&93~(dQK|9D$)*zgH zNE`(Rv{^2vGVj@@?tBdj;o1`bsr%QG&Rmj3Ynb%$JBH zfCwVEgPf8ULI?LDK7h=BitK`QA)gu*`UUmDx^vGPig-;%s5t^4yC6^TMYzGYA>7I5 z5k=mE>!IKH<~^E=Qtg84L4QNLbI!ZsKErxt*HY4M;SP`{i!mdfZn*YPpiF7l!I`iX znpJZKJA)4K4B-rU0#$*iKnIu;at)GH=${CaC~~NBDAB0VC~&B7D0irLV0h&foiMyG zO)z{Aj3H2>afJZ5fl`R7|M4}_5fT}2I<6J8UEhIz&>65^@CWU}_TZh6vk$@f>mVcW zH^%uIkp_@YsPdyVk$*uJ@04Fe8I%y*7wr@&GkP;P#2s1AS6k$lMj$oZi5L(UNC>k8 z!wIuO6LAD11f&DX0WEmU!Nmwd@(0lc=G;JyCj$V=_XNBM?gWu1uun&1K+oJq&h-{9 zpcZfirUpe1MT?AsoP(5ujDw_Bq*A(4yi!s|veD$E4u%$H0!9`l3I-PD76uD~9cc;p z<6#?$2l@ea9=C8wgm@U@8+%?_{0(~EIOq%Efx3`8=nLinx^T_J zQyBo#3-bUrpAZ}f@gQDE3?hj95Kwp^vI`CeCWyoyh;a%tZ&D~O(%!Uja;!4ejmjj2 zgLFE8S^O{Bs50oIK%qjRyrFWDKaf6<*^8}5&WARFng|Z@0Ud!%zZ@4^bVP%FceH}G@5 zZb8Gm6Vb2M{P@{faKgOH{I*$6=-+(Wep(*&V1Y3eoo+|L$Fo$*;#LR2;j@5MsIDRP zUEN>UWdlfJ$@@+MKFW996J(N3i{5D5`R;UQ+Z2HUx7!j|ixAaO{TyGvy^X+;k%-eV zrdrdx84`3y@JI*ff0;dxxE^!~@-a!DEPZNt$x)Mab$jF<>&+Nu|Kj+touQi9<-EsR zFvEMxe8YW|w+(FdP4QKjv0DZ(%-RLmDm(T{r4`@R-BiE8HB`&B>Wv!L6bq$MH|$i% zxvgl^YSVMmzILZBSGZd)e;W!hpZL4VymZ?ejm=Ea>{q3!iM4|GBjk|V%2YuI2$+|e z!qtxs#(TDR^U~xQFI^i>iWKQJgRl5`+p%G3ICVg4(3uPx$tN42vA77l9RD*3hc!QBXH>mI&$yR{mMwd%`k*~7b~t-q?2 zHV*~)&l9`xpB5_T9&hXbsB3la>I_Bx+)wPZK;$}PLx3jpuhnODZoz}8B{PEWH~nS0 z8oHIYDT50;!N3|Pqq3N1%{ybjwNimvprCXEhtZ*RHLf0j_cFQEl!mTKQ1{X`BxQZLF8wKbJrg7b)o zIQh_vf`1acSYejk8+T@*#qx+ia1)c#my43pm+2`LYvPYbBBVu>`E9+599@c+JnW8G z&tX6bMT5*;G(HxzBt(koJ`vPfBG+R*3*rqRMENk7bwAupfWa zdU&)`eD{xrV>7>ktcgfRHX0SmET~36F{v}YGYt;lSeS&EzCo}{$!`DomLPzajh*d( z`@>2vtiR^yhOm&^?DliOOz-p7*5M8X_j_N`RxOHH5CsGpiHHmsgcw?LBWz@Mu~v=s zxmpI3qmC??oJigJVsB-uMz_svfJ1AWPHSDOZSps})hq?}a^GiwQ2*S?=Qoqj)qNf> zyPIXcPrel(Kg+G2EEZ+4Ff>wn==e)8C-CY0#6R(#N34}y-?!WM>h-c79%e-p57RL? z_S`(dj`WkNG^Nd+c>6PR?S)C~kq+2c1!(N1-{*IRWONt(-PUXOtm4|S7CT?;K8^v^ z)%JX)ENmP6fChZ1+vb-JyWj2Aj#JqLhnYa##fN*v@z1Zk zQHrjN2QL+5;^(JfzMHo|dNqsDmVxQToO2|Cj-p5!(FOjCM*20ADuK{zBuKE{kZPo{ zcBWFe6~g*qJi0V8C-eq@|@#1Mq4a)tAoS$!y^0KRtxsSi`8~ROrzaiaZ zwRQmbCp+U4W5W4H-3e`}ic1AS%xlybD5h_1RX5C)e29}V>=C1ylqtC! zXAWMIr5Whm)p07%MD(Wm|mAYVobh@3WfdfI3wO(t*RwD4BK8fe4#czL|3CC%>$0C*+0!45HWuU(?l5?T74Dd$|lTOy{B!z zw7sm&efyU#*v>HKZrO0tX#uU#%8sfzfqyD{tq=B^g?ojaiibHz??GoTc#5e27bZ*M zwJzWn+^L(6m^TT12&l9n*sn<$zs5L${1Rm`fD zDJiatkZi%aD5HgoMXjBEm>F)Ko;5WcKSWy_XM?A=^i2hG7p?_OL4Ei`7Mm`LpN`d# zB_q|`Lb8Nq6G!L4fCC`sF!cxMpKR8anmNM2_L_;80oH?>ME9ur!_EzgCxiW@jSi!j zC{efR-RG+xyg z&7ulLJZm;96=FRw$~Z8f#Ar!77tUGuW}xiU#?v}Y?e|0aj?ow^7 za-~A0zsuHg@S;bsJ5vx+OFFCJP*d+UVn;}zD|zmlQzP*^ug4E_5pbDg{-NMiO5U%; zj(M^#ddl5*26YCYuzSg*$h^R2@=J?ZmfP4qEoCChWg4gqNpK9AgNbw7xI+XZW#%7G z(}b8WXIrSZFr%JB1`3r2PqFEGYK`R3Dm60Tab$4}VkzQRQ?T37PIM>c*tPAUv4#1# z%awE<_q32K#ol_bi>T)w}3}DCw>FMW?XY7sX7cpGwFrDGJ~Qg$sKyYqZ^iWjSXs4mtB ze~L!FFS*k;br>~v@S8l=iOl_aNFpPk9WlW~y2qJ5dr+5XW;LLAmD#%{Tnnkj&I6Mz z3p!ON;SK|=OGcaMUj4W_>h!Nqh+LB%IY&pFl}4ELf=kDPs6#;y4KDyr(O5#d4_Ob% z*J@$oDETU67`=IsqEb^$F69_vXDOXPn)2zY+WSg2XR_y=RNkuWqn*v_!+VI`kAc!_ zbqpX#VPH6jqrzpwOUC@Ut`+84Fy84eo`;d=X;=i%aoWJYW33=?T1jO;*BQ(cDLF&> zZ-J$$lDF$x`WJ;?3`Ri7-+=4)3F+8+b|dh${4#yY)^se8uH5eFFb%K}Igve%6%}=m zZKNuj-XcAL>q45DEp{L|vpNE+5MhnX<-y4Q)bRwdCqWHcSW_yda2DZ9l(d!uGg18w z@)H4IJudc#lx9Ps@9|H&(noyRp1CV8VQC%)9QC(h#Y!hy0BIZ%zd2ti`&max(TNyy z_)8*FY0HZqgM({v4~c=ZKwh4u6|8qYnp^%ESS90#tg4Nu*n)NQa1qMd2MqCHR0VPb zd{#7H8mSNsKDeMf5=3&H?Z(~%ar+&f9nByh!+wNLfOe^yJdkw8EYUC=kkH>fu+KR@ za9iWDF`cmD+p6Gr!)KnN2hIS!7w?$g7dw9Ja)T@kQKukMW&JFXET$$Bc9@E}NLH7K zWfO+6Kr>Eu$pPqtQ*!A{8P636y_tYflfBJ89MdH|YLL*%q&QjEEhix0J$ z8HHXAg=iXveJ^$0aB<{x@_!mh@dU)pm(Js|3c@ebSxA<9WvHCI%I&RgH5q68jvOFQUi7z>QY=s^+F`jcJFDLCs($+Yj=Xhy1r(XbHnB`L!tG95GrSxiSRtS+ z0p@CC+~e9Bt#MS12VaWp03r%n~29djp5G1;jNQ|QHWr4d~6 zd~m-wkV!@i`s+!3AO(U!#2QoG4k8utDjr)f1JBmnCf$Zd2h<2n9WUi4(Fg~Gbs`n{ zHarxcjXk9&UFSEvxgll=)s1XkId`R5GKaSN_x;q_s6Ho)rtVgs#Mn2B-TMosAMp;x z%oTuG*c42qGp5dUWJB}Fj1B-ryfLU$RW9h1cF2Ad57a`)uQZv5xEgG? zIUV!?Fl<^yqwWuB+xiqNVk&{X)-f6+!AS!zai<%+&m6>phCe@Po3(C?ao*@8o3`k+@mH20p}JKk>|(o= zwI0)S(BRTfm``oT7eq7ZLm8`UYR&XFx^np03E>+E1*VP$$ta8w z?VL7yS@9g`6crR?HFQ5bONPxG#SH-Zjh;@1qZaK1gn^O{w`i}FA>5l_cD`tMk*~>- zUH%BTgoT&sPvj-yZlM;CpR%2&eker1>8qikhhPC(5fXlE z{i+$zgiL983b-<<=%2ri9^%60}%9dEJrvfLaG&vbn6G&e{!f z)2MRpL_}i9xPOz%kiuL1@~_h6;Z*+}B~8EsxxbvAxO!p7ItNXXR(QAw<;Ao-;LWrc ziNCy+$?{!p3!IYK$D;tllGL%d7G7euO0t8w>^L&+R?OAFf7I7|EEUD2;RwuE@V3+G8B z(vUAHX@XuNNqU=7m_Y=QXp=F>0G7!@vW43GM>oK2} zXyY;U2Tu!SfI>El_nc^0FXIX>0HFO{KRTw(b(vnO#r%}3@7Rvb zFFS@HQh#7VLx0Yi^a)O35fS)7BITZ%QG>~zaT46!f8jiOb#cgmq-hN(Ka+atreh}szdM~>BskeEi%D!hCNyXkFTXKQ?ubj)qRw zwANxx9;ZaKV#7rPZCiJS70ftZ&cc2b?7H?SJ= zupImC>V{qa{C$dI`5emp1KXYv-GRj4s2(3~sZZz7R}Ui+I~o9;BZ(*AyVQ?{uWM$5xUH|MIqRn5mw)hUR#m98 zzA;&HY6)VSTCnU4K`e;=UGrap{MRMRv^gSjZ^|8)L(bwmCa$DK643rX8i&SAi&krq zmE(FT4Axz^N;KzA}>i%+!gsAt+HaWW(b%)F0Ci|B|ak8a%WfMQqY{F8h9>zC1XY>~y{CzYm@DPRA zl39EBEU!ZXBDqX<|D95HKK?uB(qNfOM6uUL@9Qtwl3om6h+rp-+>k^-ACV=u+@YWw zaIoMuKtvKucoIl}yq&eS4B#oHiy55Z!=vvhuz@W{-hNJd_spm)hr41bMv7STOPiRl z*>{WPcD-20uivLr_AraYlK7R?ymcGph?k@{a#_^IVS@lHdFOPye(tnnRJk$&CQR=? zS2-=!C&Fq!igS0_J{1Lfnv~3b$(pH~@%~b7bs8FfL{tqSKreS_v*;Y5X~a9Oebchi z(PvsH`}c@zJ1$~|oqOy*f}+Yg^u$M!m}46Zzwq5!AFU8Xy=x#5>s|wnRp6%br};0~ zW9_Op86x-Jo4V$otX?)O7pSrqZx>xqy>IDr{mVj6xb*}69k)H?wELZx>_i1ms9r{` zd@?<@y@y1q?DFkuF~=1y$!V{DpY>+Arc@44?7Qk&$);Hwm;1-XbKio+46#0O5zC}) zkl1737dV}S;Gd>HZTnsEDe z3NCcrSEG8BjJN-i!Q)lM{RGfsYWut+RIy5e`T$9!G`#2at_*kIX^y)zw9jW|onu(o zgpB_dHGU$yB`+DIN$l~m*eU)xRhvL5?^7}W7X>~O0p&(`N+7m{JLtyb#2C;XsV4r@ zC4Il`YDf|;*qnj^qJdZE9M{@kvg>qq#^M^b8`>ZJTOcZ86QvsW<9iPcj8^U@YPU$I z_YyJ~nKd%BB%#YmZ=}MjM#bJ63cdzsj@%XU84LBxTZWZeWl=nWaFRkH8umy{#TlBF zR)f^}w{%+WR~U#O#_GW!Ij1Ml{O0crPAWu@gpY+0kQ4bh=*~06YdmM$eXU}6{+Qmr z5K3@8o8!pWl=e#Um6?{Wz2pzhrx9CD&yXFL%a?|lh#&iZ4Pexh&AGW4Vb_um z;(@O*MkDo1(Tp3eJ{WEV{fj;uc@5B`T6N)u%61!@fX_2Gve@&~;>)?&4do>;2TMmc z;8f{5|E$q1A{u%=3PqJ;BvQIwF;(#znR>S2(q^@2U+Zin$-BeqqoMOIx)+eh5kx;t zV%E?KdCR&U&cvO=LSUIewMH4YXy}>_5?5NRuC1O)l5{Vap5D^uxYhb?3mt7I!vj(J zyRmj($WuROhMJ|^4pW@4X zaz)~SPJ@AGjsbv6AJUz#a$A2#2cJut`he!{Zk*QZ(tnJ@@iVj$Fj!k7dfVH1@3*0s73nQyQEZm$ev;gcS^6_G6=?T6 zktnm0xobw2Kg;YBo~|zu$AvE+*7<6>c$@16v^?rUO=gAI8axlL9H`Q03|VDWr>y$j zLz~Uum%T;kk)S`RY%0whX{xxDv7t7N*JB^qHJ@M$Qq70w#BEQJ^B=#9n6Mg9)%@l| zv`Y}PjRHZd6rC|l7}{?;ugB^t>}`$K2(NqRZHyyZRsWEVR)x2_y%ch^SL zM>$oo`bTk`No&5Vy2S?ZDMYj-YX3BFKKec6H|wUvPq!PBC#E58q=wgAUtMYup9p9` zm}c~YT~>5?^+#}29*6HvOx+IU%dVtu+U>J5(=1ja0|A)nbModTAbEsx1cSjEo#~xY zgvnthR^_-B`PjScB}do0-zwEk?$+*v5NcBLNJ#gXr!2>7qmKo#H004Dr+G%C7H4Hh z#=%{yU^M#2TOg-zS192Q8QS@icEoP#z!4;4RF-TjENFa@EaR7!SG`aZjkhV^ z+8uv=bk6bUnyLTeVYu_-c1%Mwc30qCio5WF1gXL0TwY#Pr3Plz%g9-5+d`3xci{0% z?SO=4P!-C`#hA}gQ$Sv)81bGa)#78U{b;o20>WeCY;h$6Q4?z?cuPN&juQU=+KIy#xpXZQYFvzLoD4^G?my$k%`K2pA#j_+xR+HowxoF*TESM$ocjFeD4 zvuvO-6-(68+ma z6(T!?f4cWAog!CQAR|gy1zeYQ6w>n5$Ebt#)_Ewz{X^d|0)A;|es38%V#)p0%3Rid zp?l#X<#~b%9$rlhm_fq#O%pifwEAn6n3nhr-vqIc$s!d5QotG? z8X#2`p$~~RoHmqMGL#I2LPcPBDY$qZ-~R*2aiR^iEQf+tD*jQ0SWZb zWcublrV0CKhno9+{hsTq1|9oE8*ioOCK6H;qrZ~yj4F*XJw%T~T~!_C{}PWn3h4#% z8~hmqzU<~&^KyvE$e5afCj;FSKKvBK3wN9iyZ{)a19=cT-{<%H>~NaWzhWH;>*6zs zj(qK?*70LhI4HlsO<&uoYYQey|9Y6TUlG`5R6VqHdYDIAwDwcqxLEk7Z_#*h_}qp< zAij5#KgP1Ed>Hfg+L_FZO#J-PDn#VEM6eNtKd&d4({hsE ze#8T*h^mxid!m!&7bV_JSL124x276^B(6uuu<%;%4v(iX$EFg?daqGUng+AgxqP6~ zb3)oH?-%us${dbXyw(NYRUL{gRDHJ$o^U+>=;{AS)l~*o$cSC0j*4aT6%v`~{B*81 z$X+#G{_ zV#E@Op7KqhIM)-(s^^2)K`;j&}0t zecp#3S)B}RVr?*iJ_40b$}aExnZRy(c99y?Y8U%f;yc3co~#=TD{8@9tQk}`tpjEi z(?5MpEA&kCK9WOtFW6!f9M7ijpGkyA>p+jed&T(4dEmSroYVN5c;akMqaxu3F3I3x zn{f?yF--(Sb~BtTC7Ixjt%4E(cs#0?~`EUUr6`QE~F!IUuMzKB9iiI}JG4tT~YM(0)Q*I<9b8&gPdojjwhd z__uv`ln;F*0^O&~8h@W(j2}W|{pV>VcX&wqg`sC%?>U$cYT7sJxI-tcr7kaqX71>d zqSZd~E;W;E8p*4fH8kf-7BtgZMt+H85mNrK(}cvRouq2ok>Cc3XL;wH!K$L;-^*tD zM>4VJO2ZKHtEle%y(*0xPJMx$&^>)jpTJ1kVQLW5*fcK-cm1fs@ZhJHtO!hJUEnaV>iE;!Q5SkB~Y2O8V`q zz8_smirz;9k&i=0k^2V2D}^8Id9vja_pQ+OQe?BjAs%Vr%=QKA-`uvq_7@+F1ajMX zl(sk%Z_F(W+1<$gj1-HgbC9UL{*_*;;5(_u=wj&QGmx1-{S|F;>cE3RDiZvV9l})m z2I7WTDrN<(F64V4)18RPCF+NKbv-p%;SQ8~OErkrpSqm8Y#Xk9PCB8EW@v1$5G7J}Y$<27)3W<%GJM&tU7*QKBxF_8uYJr~lhQc< z5fMUu@X#|V_NTEB&R2Ma-G?*p*Op753JtGeO>H}@jMl`aCeuS9eE3L+mC`9(Few{{ zRS6ewW<)=}1!eptd4=myh)v!;u7u8=MK-}VOn{hl+Sp2I(OyTXD663@h5vFN00OLSZBKiJZKeU^R%J44cH}aHh_?wkw|Cc7bj4pTmy&=^?Xf zHUS#Hy}NB`HF|FNZ5~rpN>U8IITLbvFflIbx-}R#4y4-hl@boe(5s3D$6H;eC0A7g zn)Q>c;{=t{raUV3?PuMBqszt1dZNsbDH&{7;`;E|bj}&MB}12@HFDjZ++N%#)FU82 z9_j=>;(OE38~f9^N6p}X#XrfZ%hLD@hwvm0^yjhuR@XGapNmH0vOh^Hx?FFs^O*8Q zfA!lRY6?g064d<2cX5Yume1TyXp|~=H3#fSHcI7OHe}zB!pLfGta<1@|FjVeJ+*Ik zvs8Y0V9z8nThdGDI#Fp=IL8L5Wkf@6D5`!B+MP$o?NW5thUBFtf_^QSH4I$NQhTMhe|_W7&D>JNb!_CaY>4#8#Qbd*r=obFCIW@>=$r zIn!1V?)HXH*I?DJm+V0``*;v3YD|sSyc&3l0OFdMUKsuD#Y7N=Q#29?XBh=TY83K~ zPiAcOYdk%1QeRVm33^uQ<`6v<0-&x@k?La1ZJPey0h(gvSm zbk8fB$dZjtEJ2p#E=G)63i6wG8J4~LmEVH#Vp`OXJXLBi-cqyv}2I^7UPdHx52#JqP=<$=ay#@EDbk?2OJp9+V3F3t@BOCH<LhrtLcmzxeh+l?jruf!kmEmDkAVomX-rqofLl=%fl=Qqsm1)VB!z_oF3= zX|W0R3Kk;}R-1ZRI|hf#t0SHYi>o7Es)2N}%nneVXK-5fJAV5>5j@^A?WnGv&+T+c z@hiSAc3Mpfo8@dz?qd*5RqL0=a4&~0d&^eVH2N*8Z6@S|iv`mf2G37$nZI|^)7Qq2 zLJh{(1Vy83vnmcVUm3cs{~ctb3J@q6CO3F^+qX`98omnW6U8Jpp49rlJDZY7 zaX5tifnc}gkLonB0}8YE2jOFr?|C1PY}U-$3|O3$_7@3Q3@+ACV5%5vbgdxZ>Vt~s=Xqaugsklm~kEvopFpMNuWrR%%Ao$I5hv~Vu4lm zw**3d6#@p84^ySePg->4<)5t<2#65D7Y=7}8ll8r4%Jmv;8f6=4HP3jdw(%3jSmE^fSSbf{(M~vw!)?NDfj;{lRK_o1uQP zw;3=)7f$R~*{9?mvQ@cq=sl;LLA1p9#2JR)qEVQoAiiju)j_@R7lDq8;q<5?^8O!8 z4Z}uNTn&I+8iPzdT%A-bewvAQIt&+`Fi>EfRZ=Y~u^Bo~f`g@A@W)sOBR2I>wcz4W zbv~Wnn;)%4?3J8YIs_?867Bc`fy;@k#5XfEZ>+}32jX!C^`URBX%0QM&+xXnIpMN%Y_hAPnrd`w-fZuL z(vOi67Q|g0yKixD;+n-WO*Ky&b-3vSE?l$!T5oS@EO|Fs)Bf27s#>DM;?X*nd_8=f z`7;HB^L^!nnd!!Ls6Sic%HEByjHJEa3KT+UlSP{R_;v1FU}KBg<7_v2&mrtlcgHc4cl|eG46K zSQBwa!`^Gy)DVpTZem+sn1xku0#J5|Q+c5-Ar5##*k^o%b5L1>2wh}L%anLfxJ5F&nrkw(}vGPnJ5m5lv- z32l3o&!LB$HSF~2V}p|x7|1GUPoBE@g}EF%?q^f}?mB%obRDge`#kM@)CZ~CZhQN; zKT<@GcJ(O7bN<;Um@LwT$E>$G!dl5>J;TVbcSE#Mfz(_BmrAr@3(pmVuF<3L9z>!n zMbD`Eih6;i-G~)D9>DQpD6ju6Gn*4zdO>3r-N{`jxCx#8$THi@1Q&*U0ULdmC?#>ZQMLXH!ut1-`txIf}EX+mTbxAlMRd3RHf`@O7QzFvMoG=zeK{~9`+_XHazV^dNaM&CRCRSckPNiVzMc3y0H*X1&{7PhHZ)ETllzqu@kGi4N>lqM~LR!E8j# z>@C}-O;11#Wb5wQBN`B7lwUKZ8<6;?mqn-xzIl8bmd7v zkhnivT7nze$M=$>!#1LuqbNJ~srY1zqc50SHe>Z_Lg*!%?s5x|G>QHeODChKI|43w z;}ixC&D4!3-=~52b&a)=+DNm&Ofwyh6jmmw?%GEh23&TP^g@es)=D7l1NxrGb?z5! zF8RKaqKJ=4d_S40HY2;BRpVg_Wd> zfziKcs@yjRBqW=8@>SsLRpdeg-NTUU8vVKdi*frA2M0sdTfmqRE##;FpzMm@2|0_p z9Yra-89Dln$9jX^KhErZ24ho^MUwmEs^$h5WYVbiXM2+rXN{A)B zLE+jgNkdv2(<`DM;j9A0kCHnjel|QvEd_gtPLg{4kxYt{FqhA@p|N3*6lNAu5AO&Z z0UjVNLzuqcgjz-JxxP4FHJ4Kk1reRE6Wv!I;ix2Od|1NAy@1;d|5I>KKL?BY512SlcOjGow4!P;xaedArzyyZV$L_*MMVL z$WX`ISM2O%dvN?MGs^u&U?)57g$8^;R6N{1O+ED!PTr&fO|u4HJ+Wl4CgKUDFI--d z@tfhZ*yI=M#zsAdr$ZxJu#CzW(*D}pT~;psL=uHr{%|9D7e_|O+yrlipBKbg+ze6;C4 zg2AeCeTUyQ;_k+xA8c@R+V70K0QcLl2;Za-ER~N$`UrLetI?K{9~sLPn3A=Ak+mBW##a-`&jsVn)3!Z0 zp$6ON)=83R=I!9`p{D#`6xC7NR^v_P@7EnC7~LGv6xAt@7%g!tP8&(LV6%z(k;Pj4 zzuZvEBaFx|u^{Jjy=C9blbpruppc^nWlpke&B2i=$ZmQQZ(YHYfzn-y(5`!>;2r9) z9Tki=mLt**kk-(PynlIPCzV6}o;9uMx2_`>gbR3w_P<`)oPK0mh>pFZU2WJB~m3`gjxh zZ$wTNCMT={ZO2VQ1N-&e5Jh<+=Vf!B%y~7h(Z_~i#btSkM{$neJ3~P|QTjOZtV`+HD7Mrn_m;@`Jxh>RY;9sDL^~T?dC#4L2 zGOZb?PMhJrf%xf?DGD1a6C15@?Oa83dO>wn%HGpWfize z(0Ehe4azh`?-L!1wam~cfr8F;p6NRB>!vtwx-=-x_p)xZ(x=)`EY^_=U8j~-SyHl2 zU7sQ%X1$`X_ug<$?=JZ>NN|l-xEiY!lX}w%ch4`ZA@3AUI#rWCrwVZQ<_qDxKULZ> zj2DQF4ZCrz(+%5uGW~I_MS_%_m+f9R%Xok?b#_b~6K&@qd{wC04r%guRnyWga2eG8 z$X*K*x=&?2Sna=wXSIV6ZAfflI1(9=eI>d9aoM>hikMk3aXTe%<2x27tOzZbhL^VK zMt$jRIBn+jKVwgKtIm5 z?($uoYP)_@sZOr9Sq2lhO?uoif9~e@m~@;^fYO!um(49nhFA|!g1BRu{u5J%$A0s$ z=g%5e&1fGyizf2jlPlj2vOBfW*OqTPMmDChZwR(P-6QW?`J3CN8h-n>dNZ&q9u0k- zWu9q71zE2`Glx{yeSJ*V1B)YD#j*)^B&2mhn-Z z{L!2L3Np&I<4C7^gjoZBK+50WLMGEjP3TtVei2&SdGfdCdyjg7%-F`+Ax4g^Vr_$mFjmgI)F>!?C(67Jq z#aUJN$Z=akrv6sutR0*5u7vcczh%H)YtD3{qM{(DTly2c65a}Y@KfYV{pMNX5mSgJ z(edlo-=3bS=!|8_#ThYinr|MM-%utiI@KY+iYt!;p1*{c1QZyBe6NWqcLujW~v*N8%D^G0)I&kfe zAYz*ClH|P9vM{1L);Gsf%CH3bjsgn+{jbS0nuus6bi>nA>QzPucwf{2o^K~wdCnjR z3FBsPjx=FjmowZ6Qt#?wlYv9pnr*%JEsO5S%6#T<2ni`}qc`ReZtEaur+!_|0?^SG z@|TNb)P)*@oXyLT806wYZtKdw_3i37bh99s2bOK6Wb&)B^6&ALsodlrqt>uI%Z}c3 zBL&59mAYE5@iuU2q}AyiOCJf&fm&B&M&pCio%#!-(r>IQP*aXvR4#FJTYRW ziEmLX={qI`(+J%R_7Ebk-at*{l*h-oLMOOlCJT*)Xq8yesPXy}B+0)kIRpL}Z5|+; zlJA{RA3}ffe9h_no)_2*zyCPtLQSw2rriN3NJnV9-GD<8D&p4L`_uVdH^cceB#7{3 zl`677@^es{f;BW&pKLX9`!cm7kP7uGl5RxW0FPFEPLB!TIoRY}I8@SmD(9D6 zw+r&$4`&iW?*xRyosv2Q#r=0|E?E1rOAd*#_Tbr?Q-WCb>gi1YaM*Jy9IlY z@AZE2KyJkkAiUb7@;GH|ftcZ5o+{ktJxbdQHHgvd2I77%n}AWnn5XdfSo~C?%oRhK>X5z^{x6 z&4dG>^QQ>g11#64%a(KPd+!!Cs@nnO9V%Y=$)XmskW)D`0J=X8q%%?%_2Hd))CsYx z|4V>7m>OqhJ?PI}lQ|a^(SP2Hwi~-ZvAdC4R&ny*nKRWO{YWlooyf+CLLH{;fk7Fb z?vIuY(y@5yYP<2hA1r(tZpljABk&^=*b>_l@X0v+C6#i?u_eL0or8O6`_Q)cC7!9s z_5gV=d?PRLYhV;4Fw9hLJ$x2@^EQs=8qbgP8KEQUIw&yq1#x|5kMTZp2#!}cAs0b( zJ$gRRvnEvHaKW?Eq^`A~!%^N|_3{F&nwcW-7W`LV-C5aK+{*UBpa#}nUSHT;T1~^w zPcOlkl|`U}(d=NIs8uyrs;3faJm5}Jv=DkAjPma<=D%8qi|tfCMgo?nw1U3zK$xq` z^S^82R8nH0i2jgh)dAR6v8u{|?eLhEK0>cor15L+@tNEHWX;- zDGRw@7<;LWi3je_!eVgd;7$)D2!2)+xfxAKQk5a$93~7H3V+W=+HMo-rg?}U$ygbz zlac+`2+ZcwE99ZtFi>~!S-C5uRhIm-9kQ}f-p)-Ti}THs zT<3;FO8A8q^8R3R0g#E&*t@jDX2!=nxC!~nJ#q2`Gj{MD$e%LrW(|u^m3GGGz_-~% zv%TOW`hb_R-G{Vq#_8pF%c9)t($?sFA%1sgdXUs!Bz(2hGzNY{6RvtoZj1g6E^XAW zT%VEg{N2Xr+#;Fv?1_AXDWxCog-tG00uq?x$~Kqzqz8<1_X0Yr?dt{hHGgU@7?CgZ zM3W_}QYINbJ_WkT5lzSBg+;0D(s@N{07pZ`u`+P$hB#V7TNiRf-Rh*LWep>>S{+rpQE4YTLt4m#tcgw?vM^OfL_Zehoa3Ul z$})=J6$M&DW9paw&c^!F+Y`p7TA0FWGbH~VJ*B_KwH%7sx;Sp{LQ|!al%0%HbD;bq zLwHLD<{TxyvP;EtVUVWU?`iLWgr$$=7T$n z9C^l5LOlcm!wa~@VV!yNUK#&F8CJ`K(UgA#=Xi&2Xx{?i3pr)~RKN~qQ$M#0ln@)| zbF8u_46vKXS$*`c{ZK`!ZzB_YrdTbMm{urmurwtt#$8;Qh}PYq{?BkvO9b*hNgF91 z%lI=*0JQ56R#v!oS(v`VI*YfAnG@<^=%9?6I(R*dX|nNT7r?m{5@i4;JjXTs5yLN_ zudGlBXt^9_FSe$}Iz}A`;G34Ua;1riY-g6P(HhKCR>1>5J*a5ZY~CR#&EDSX9omeID9ha2V|1$fnniLC!i4DWPdjh zIL}`l3w;lq=c^8b&S7})OpbT60YC6f4s@dd4M}Z3!P24I7#x-mb5?*l2FEAZcW6I` z08e!UG!$c&Uvm(|2@u54<=5;7eS@k1*+@QigG2#fj3hqIUm#w9JO%-uW;aL@;ES=v z7vBpK0vKRe@x}Lm-T}0L((qbbQ!+)q-isViV0I}Qv0M~3bB!Dj?-EA1g<{R7? zPw7x^k~@5WGyfS80AjU)jnO{b4dFjC!)Wj6_JsfgC%O+Y+6TH%N$xlSU7t1G*1?E_95CE7-(=0X+0UEq#41hsWk%4Xo06xYyewQRDGszu9 z2oT5b5&|70@kxRzlK7-S!%6Ol0P9v8HY+Cve^&4QoyPCATq8o7jXAZR^`^|~ z6-5r1;4;=jSb27>UQ$J2c}y)~QiJxqi~&D*l+{RKNqZ!N#Yo109vscwf!R&QVkEF{ zg5f|5zD`_IF!xMcW9S%9@~o-_9Lco=BXsrqJmBH_F@_PDB*y9D;3U*~ z(;z-6u;d6H%cb^C`V|M#PVsNSeFNZc<@8|dW!AbWHFhx3$nUF+NIv>80nOqPHN`4B z))~#>;sxC#RtT$(T5BpGQKK~zI?VFVj!97`jgNs(Ty^Q&M?{U8*^;CHnWe$2^cz3^HUFYWAn14*|y4H;mWF$~F`YEG0P=2W_aa^z4G~J^T z@kVuIeCoHE<_#ZMs^o~#a7%u~6qato`bY|vDmr4WABtmr#2tI01xvw>9+R^3j=t1y zdD|WMj=a~oq3F1w9LXt4pU}J!0GF_cDK8Ol`hG3W$v;vaiPiCPnASpdaGS0HE+T^e zB~cbZj!;KB)tBCic}F$M$anT{aq!!?BUHr;RQX3nNdbwq&YCx#BUFV)@FOQBF@F?| z?#aNn({G3gFJZ8^){UOwUv>dcX0W%WSDI;CcB30P*sOTLspyDkq*HEbEa{J&>Y9>H zI7=I}_K>wLw$`5c*rdBMz1|toOAxHDz6=qDZ%e3UXF7K5#(KZpL;7!&`8c#2OS3We z!@A<3iNeyF@)zuCiTVep5ihOA#9H1F1y=Grb?lh~F&6TSdT#)EVGQPE!heltaO|Z(Xr@e4KUKbWoeIx|)jH-WRq2K9Yiq z60P&e7?TJ!GKdk_GX$Cd>{$?jD&PlD)!V2SwtJ79qN=*1mNDF2%RJU6RL3$}ILE(7 zIn5e9JuuCpS`<^HNtDN-W^hrMuEZKyi{r@uy^*vq2DLsJ4jgDWp=f{rHXRX9YGGE- z?lw*=_Uz6|EWYlhNLpg8)3Pr5EyJE>hlD>SpluCP(@2j^nVn7`%YghSR+CRp;6vhM zEnx%;B$j+Dz|aY^s2hlZm*F2c>8`I~K4aa`2$BRo5N`z_`u-PFiy8z8f9iq{fLmA# zzxo1#Ao&sRA#A-O?M=8GQ!2fp?m5Dmf?jMFDei(lscxvlUV#tXFkzZg@w0V62i6r? zAq4rU3DgDuAUFxBx>`Y~I&ep*f_p9MlyZ4bjrD}E2kw?yPy}$p{|C=yEQRhXHRFu^ zL~y17_eydWcVz^Sn!QB|gstPFjNfC*^@S$`kx?WA}5=Dd0&E_62?&;P?mA@eh(?0O3U^ zf(P>?CFt$*1GyFRAb@naO|MSCCED6xLp5Uznkl%Bwp!>b;)0n=(VW4JWgHp}&~K!4 zXxkj>fi#Y;+%!>&)OM-2QQO~sxfMR?a(Mmz-1UdLjz!>c`KHmt%gT8rr_sc*E$-;E zSiecMkn2L<$ch&gseNM1(tyI?U02*+ddp@n44b%5STX4XA-tx-%R;L{ZdT2hnB3Ho zBI1%9?BvvvQor*)sl9k}4u*BS_+41O2K4&rJVRm|dm8iTgB<~xjZKcBST}^uPAhI! z?kmNcIgM-d5{`fR$yZvVgzGD~PaKTA3+o&m&kq^}6+bk2z9k&3_NYuc|QR!S3+*5$4^EJLZQwceR38WEE ztPipIV!3v?cH7RkMR}WZb3s(Vyzy5%#>u$ucMS5M)HY|=ONE7KF0LIF^(x_aW*#qV z_xdHj$=r*r=jp?TX+?a_AwgTVhFaVgBZedHXni$8qB4K|3Mk2s;l=cct1Yc0odYL+ zujKM~*lvDPa1a*zYqB41J5XUtv3rct>mMdSXtAiP#^ z1dTT6eNMG&No%YvmMk6{s#Fvw;!#*c{KniRA`x~B^{YO##NrsN&e15hjZq*k(Jd`< zHE!@96X^^TZ%Ota%+QZxucTIVEL*78Fn=nFMg2WjPSW(9xzXYwBhy)7u5-ZE%>Hfv zE1)xW;5-=40`WHjs1p_W=Sf5*`CE{Ng5pBYLhJXb(mP~UaRqIy1mzb61zPo&n8^JW zYK_2`a3VlsAfjXehx^s~!o%w$@~gA=#_RJ0A{{rW?wFf?0o4f`j2&7D_YTEFbP^u+ z40;UW0xYA~Bijm3a>24emY@O*8oSrGTJP1J(4Z|BooXOMg?<% z#ehsep(w>Hxi*GC=Nja;fiNc_6;Waz%(fw)QNRPGYJ6Q0{$Rhz0F9_leakdJuX-k zLIBd|yl(!-*ogCk0CU@c%DuBnpkaLlfZT3=RXO(-y3=_8}>S-*?lfKau#Fkm6^w-fgx$`u!v~(!oWzQcX;^=Ok#G% zM&T@f8P3`-vjqG@=p#u>`gO(xw$3PI8Y1=mQxi51PNG1zhjfvAL z99qEwwI$2k*HrrR_z?Gz=-z;?Ke+M9P|$rsi2H!m(C;)>9e1?4_H+>I zSVDS%E?^aF@StiHC0O|V{vo?~L3UCp_!7s3Uw!lD&7ZCIdcDEb`xEE=|5t|io%i$P z^BfKJN~%wIDd`Sz9XWDDwF<-)!mJdct!8MoXgX(^#SxzF(9qz|;7*=A84PsLGB3DS z^Q|E;oB`h|+Lq9^oSN-^YnYmcu7nHWtvb83_%Oy67HhChXEi^(RY?2(I=mIby`$MM z*TP$McIm8~$#>wTvsxYAD$lL6Ivw7MvEf=?L*cDDyL48S@*Q~TthR->%5&?iJ_&Ed zSd^Am{FtyFJG*pNbHZB<*6FOC32&9>)>&N%Z^amYI;kg5M#>#{>8zH7w;HU|S-le8 zD$lL6`YyZ`VPDmqPbZ1V?neVXRD;EJA5#qm(Z zId!#!|2#NqLODisMlnt1&IJ-HiP=j+b!k!J~CuK4UV%CKNC>fa3s; z&3HI86*6`M$G_pY87tIY#MqBGUdGXnn_=Z-=4WQ?KC_3h<2XKtV`(&F1;vb=$MIDh zTk+~uG=;H0;rJeo^YQlCI+d|oIF2k~?6;%ws)}P1)}gJGv47%t3&(yFV|%@f<(e5Q z^Py85ui>~I^Ln%l1HoP@DQ{=&OZ?+}Ib*-THt4Fr^Br%r|BK^OIBu_G%#q00_c-py zaqBe3GLkUbD#lLZcm&5vtVcmLV&HfN$9638^cu##wn9&RZ%lC=9yJ||%~^*@-Ug!@ z?v{o@M?;nWE6j8iTh7+7#cUN`tD9LTo6kD%^C6U)SS8N#@gbaFh8A2O`jR50$S+ZO z-_V9A13quRGXFig8Ly{NEL$?*sC>2}?)_{D`dW@h(uC6qJYI zll0G{gYs~Eg4M#AxhYZ6EPVtP0KT1@BS`}z+qM{nz0G2n1e@CrN0hUc0mnTjhDm1l z;{FW=$p`l=$Z&k){fR{*DFZXH$;ereB2dEi5K<&c9(ZYiEEU6t3momIYeyNfwmj|~ z&SYE}%_>+xV`L*)^w2OSMWUKRaS#*3?qfX$E=edcrZ^oL_m6enf_uN9$jsz2=j1ate)GXCFP!bNWHq2M=N79gMJ&b9C}3kL zjtnp?ERtfOc%f{Ywfzk0*xh5p@C%!Gi4+0T*l^ zi^^9);v2(d{FgCp9oHz67UCSx7%?Kj8FoH6N_qeeJ}7%06v$S})e=?WTW_ffL*r6Md)291KOr6Bl1y9_%?O_ng z7F?7gQYJ2Igg;r~0_T^uB)|L7;c2ICDGp?EplJT-g|cNC)0B-lhL+06TH*6HM&HwF9J8 zay_Uz-W5-%k~Uj*l`GGJU1ZBm*|HPQQhao-D7gYlS6Ti01cR}BtDJ0#pjjaQgKbazofppVDvypJdE8zRr`aXqZupvIGTMe{LqcV`;D z@xq~QtMoLy(Ou-?spE-AS7A7dbWz|eDsVbM)bPh+^}8_P;c{HN=;7M&JlqLndG*I* zcoTS!!ks|YLIa4p@F*|v3gEmOgxHAUHfHHE?*JxW|D`%xt~cMPJ;gt*)*V9P?6!- zBeD$-NW8gSA=a7XPiWxcBbjnO9R?1{%!s zgxx)Xd#sbJJ`+|>vihSc@x$gkT#A&U{aAraXp9?k1>90?IAo z`>a||+9_^=mUAzr58rSOiZ^7+N|Evj=dS`MU*N3YpnSQ^*Y!faj^5XZ zq13K`Fs=%W0)dgek=O2tjpfMx!p6OVcM;j%5Vl3acH^e`Q472H>jU`vroeZd$z*#= z*iII@Ko|QOr4`624c6!dD>W}YGXkPNJ&cp zf6_tTdYzv zjMyrtj1)^sb*@Uu)hH<2Dodl`o~^SzF=)qkkXN^@z0?vWf#KC*cnQM{*AXKYjN4|z zylwf?@d4PjP?F-{$L+E|UM%$KQthLK3F@3SP9MdYsLoNUMSgs`X7c^4nS3Dy@Iv2? z1WyuT@xaP-ft68U7U8Fi_yx|qGU8{2uXf0i4Sv|+uw9?YJL@pDY#DhG8koA%>PZ$J z%7q7ImzI+US$HTX4`Wn`pLHp)Yo{!w!m*uce$KF5?EV5DfAW=+AG&z_l^s8+r z{!lcrG~t^6BE=s)%6Fp*avgXiR*>tU#9ap^p8VJN*LMCat)T1&CwcaR66e4r&b5NL zqJS%8l(bK2Bj>K=T8U;RW{2auWY1WUf=XRWR8q>vsdL(vs3cP3A!7G(Pr8VAnl9dH z6z>GFdKw{5R3#p72E4dimNMaYyW3_t1V)t(qlz#liB(lPc2&eKOP%BF0^cXAh+Q^x z?`e~A;17G`l)HpowGN}2FmhqUURiR&guQK&3wrm;o;+b)L)L-sa5ZG@R_C<&s3DK} za2_!VAh%EU6bidq9Y!r-6sdDsj9S8&44eAeBoBOr7{$VFx(;JHVN6lyv>4L~V=CnJ z&z4GHTfdxAD(q(HFlG>j7vAlc@%P1x{j)t~XvdqVPFI0CszABGs3VLDRpM2wge4$L z(_kyima5RWX_#u{1l?a^#P1pnh~ z^jVv^m0e&H*^*I=`K06QIC~K+Pv%&!;?;A9(Ts1t0|T!sZ$bN$hx!jRNh4wAff;eE zu5;-1>;EqKxWRjg<~ObnynNt(d+qI^p&|a;F#g{LtHF0IzNh1x|Lj|bZ$8g|k8Q+v x6TWBSyBXgt_-@5FpZ^KpxBF)PrvLQ!hW>5v!|AnHm(_3jNAX{T@h4w;{U0cs(E0!X delta 10428 zcmb7K3v^V~x&F^2CX+}qAqmM$4kVKoWC$TMc_$DulgT@i1dMm{x+d~3MRE_EJo$iX)O!1IfaOJft#(i^j%5BHx`}%6ZST3z&_=xrw7}Y!ILltq?;fOeqqgm z99RaOV1-m{OvR5K=HhLKH%r^apyEek1n3M52^ zDQFJA45zH0LM;9e?ZMQlw#Q<77|FAjBc_K`Lh`Z2o7l$@X+|F*cG?urk|Lw^4GaAm z5`wrch(J~Rm&COQiwNL^pFI1_zaiG-r=rroVUvHulllgmo?=*(A7F`p!#e+lBmNC% z{Tr_NH)K!s)3U;^Az|(hd*%&4d3IIX5D{%l_Bk>VZUBQ(c$ml0mlzBIUmlsnx)76Apfgy- z8h=og3KcB8Uhjl&{utS!X)&xZ){`G__?0VHzBjzAtV|uweiOSQu;M@?11X-(emX7X z`(fbTni!E(PlcKfDkRO!i4Ma(n;MBSZds(lR6z( zDkoPb6(R@J2oS2}RUcTYyGJK=UtphZu* z<2VsCIdL(tR8Fo=YVM5d@Cwsn4F;C#?$JrT6j*B9qm%kBuvAX2PO3anvx4*8duO8G z9(B^c;d5<+l;pRQ)+Xu4Csd$;fj9fH)k#lGHGEXhZgjMVzG4}`)o&?V>u5GP3bJ$T zjy!8&Nxq}RozPQSo zli!9v(yi_J*pOo{zzk_|HMA^ltj6<}+mq{XIqU`XuA0Wi#>z+lM^0fumcw3{pXJDE ztYH6@ziDh~K~$vI3D7#QrmwGiz}nnBxMpyue_+s>Wo_*p=pVkN*ILuRzT29cU9@Jy z>RxNU-Cl3?RJtmvnk!LaxNp_w-tO-8h~C<2t@Kp7Tbr92Yuzp30L2bhGZ*(%VQ*1> zQPyMwE`-f_1!zulWsBA8;FwJ{4OT~X(GqKZy47A}&$qTTRNM&AR@+kJTAE$q@>Jr{ z)7|V|!aMnhy2eTu0_W#B&?bAm%iW4b5pd!E&mf20XOPw+%pSqlQ-yKR@b^@)vdX`f z3HFY;*CDT^TW_~(>EaLD1x?cpVezAeKdVVBDMoFaz_& zlnd}8mXBdsjYc-*0sI5Y53$^i+rVHxfCU%SpaOuWv3wHC23*Lh3jw~u@)Im~VtQ;Y zLOjf{t5`mWCBQhAFJrj^A1)fIkTsUS!*X*R!18L`v*H2%hUGylhiU*4 z%(yex0z8A|7A%u2=(#%doQ3_Vek8JS32rC-n5nw~jEC5I&k`w^z3HiPG^3$QpbD~} z5YI^tysglJPa6ZU2EPX(0~)a$g3Zv6ZR^0sMjK+%rC^XghM_RiLnEOQp6BZ~ z84A6U0hfYajGx2~>{}9sfuaMVgyZ5@522eSy!ZnF{!=du3EY7(c>!-H{{B0U50<_w z2*QFZVIeYWLO#|XVvKq$)tD}HaUQ;l|5Vx~bF;HTL}e_}~%=6PRCJ_|bxCbi>4iA_Js|_XNSG1{_~uh?7v2 zLX68u_%xUU?_Wdjtqnqc$RR0I>6X~@`$vN(@WMuCay!gM`E+um6@NML4xt+Uz{|ic z{d`)o@*A^;dN9j$7n+S&>1H03#~=k|co_LdQOT|~)UAXj6IHk2QzY+73z@9*XxA>7 ztOs$=#7@?O(YU_&$@&d=+Bz9ASr0+V2ien&GvdSG4@S4DCmh0|??$Y%vyE9}kxfGe zc4*O|pbBUo%WQt`1_{{5OGXWs%h>YvSvI5dI~1RSgH6CwNvQ>44X3uK1!Jv*9dDPV zdF-8bn`P;)q7TmWy-*ecGL8%fH|+X#>*=Q+KgS}Lnlo{#!*)YBKEO)+0Uo|C}f1o27Rot>Qc)30s0s2{)2(Z;w4zePQcRnGrRIPuu`FDZ_~vCu{;-OvnV2 zFaez01nzkg;KCv#xd~P5nU2~tZi0!_{Cd-Ck0fNjN~%nxN@+P;#$s-Ad3{Wyh-tlm zio(E}@S^}nVOUMzQEQ>#0$ln?X%rYmjawF_Shk|s$s-X(>K@-%8h-v~lGBJ7I?Fgj zmI)fL;A5u>)0Fs6CDR&(mZ{{`CKlXTC>5~zowDV?S9g@<-~17oHI?*aop|`tlW$#R z8#^;(B{I>3(IPOS31b!;>uj;K7r*eUO|QL9qoZlGXkkgqGGv8842{O6mh6mC;>uPn zYq9)u``TBA*j+R_hDOi-r0MP-Z}=%Yx2#-BVN-8zu{?A6-G)_BPmxS4$%q!V?dJWI zVbjQ0t)Crz=uPW$BsY!ZL<`GU?#r+^VzOE=iBs%QYdpic*p}t8w_9z~Mkr1fp*WFy zF%+RV(%Ae`;?6G@J%zc4479n2+Yy5I;5QFYye{|RiPSAZWjvDdnxI#$a#m~9nl_u_ zDVx@+eVi-q^5o@s9oKk;>!}*z8c$sLEQvjK3ArM7Emt#t@-x9Y_Q(p^yI$a#$yb~e zpAcruaoo-UzKKbE)5RwcA2gZgW@6T-j@2?VtIQN6zB)LJznI?9^(Dp5OzcF91?eGO zEj`@9QPT$2xKj4w)=k!0h}}lD#(j3HTGKMK5VHZbPs_|g%(w?RGm9pxt`IW|F;lYY z3KBD~(KAcHktRGGC_zrpt&s^7n2hXnFwWM|nL?#Tq5CliS8Fk7_B)_Lvy z+b+&@d_!Ckh>Neqh-`IEl8MSDK}8l+qMTfTOjI@tDl$>IjeW4HC86r%)$dRTDUeBl z(h}xm?$xsl;AHDpn=@|{Bd60sw@s~S-8`LWZ)e9>+bpNweysB3RRwiAp(-u^%Py}r zOI{YIxfbt#fa`mXTxsr`4PFOl^5 zT8zj@7nURhHNjr|=5bHbWk?c*WuH(xEs2=z7u6(Ub~iiHEqm`#+c-1d_K~DcD+QWQ zD+!96eEUcuR18E5?h_=fWX1ut#shj#t!Y!nN-4wCKCRoWs&PEbR&x7y=G}k)!}-yl zk>9Ok;dj*X9_w3U_8vkY?uleUPT7i*iQN4{Nivc1Ew#zy<9}28IJqCIHJ)t`s5PzU zlT~txKJt7lOslnN447E`AU}xFA1O@^gW*!=@0i!$Ls{5qn6jajzX= zeQRauVYX+jyw173Onw%4pvag@+-Plq-jYcSw0EDHknl>$(hCIbEd@^5X&}O(4ID$iD1NQ+>#h z(+GJq_{_bI&B}QzjgXa=vn+jmx%4ROU0<8Ttw<+n2{Osy=_Fah_qOWO?0u4q@8gG^ zrasy7`M;jVS zU6w%t-dAQMp{p*eOgm(r1js`KJEOp>B48DfZ!602g^T` zJ8Yaw82Fml-Tku+c}{k+KhOKJ0Gv(mlQj-MS>t)fYdqew2_7prLdF_@Nby}p{41Z% z)0x;~f>-dH56#pid?tEgstI1jP9Bs@a>Z+G>qgo8A8H$)_mefP(V42z3N)Yh_{PD> zS!HTiB{+?or8fR^P|!rLb@)*HlPA^y(rEphqp&)K4V={+Vs)CO-@1}Nn&b6bcK59r zva*+FQ83S7E0@g@vcJ9c7U`F)XrSD5TJ+4Nz`o7)49Lw}= zwqt9d!?!$_=u*8zanncvdB23lo*k!)UD{gCCmE&VJW4uzttD!FHQ6C(%>zEM46O6^ z+1(=@1T>F;zRBpk_lC}yM(nx$INYQiZc0(s|idXxzk#*`kVk8n*uqLb+yPA~IJkdCa@4ON zt}}(s3gYTJQCE<=X0b2tl%)(7x}(3?CNL^>F0Ukv*#e`IFf!E|w|5RZzC)I>*gHEq z7S9zJRXU6+!pIh*s&qW6h=*P6<397P09E8O2iy9ij^Z4FT&;^nH6iDU(bYQSYC_Iq z5j$lmpV@YH6c-4L8XdbD!YC9NHH6`N=&T`(BK8Vm6tfQzqeNiT>M&{vW1hgM)nU{U z#(cJJmn@aC-Mc!97YK|x9Y!5tlnIPF9Y!5tI9bAOS#q(0-EC4iyL-1>>=x4XI_Y|n zu2B25d00rHRv!Jh+PAd_sCKsE8Npj+$1m>bvzmgW1+xk)L}Fd#v=AMVl=bA?&*+Pm}{>* zqg6;Zk@RA<##y(qEqi5Y3A=x9$DCoqVWWJl&WV3Cgz#$-kRHT;oC-f>!8QFnKC7&s z0^pSBk)O%?vdr=|fPKa&y!lGtyArOk75g3?JGDPpI=FwKG=(kNUmrb^H*n(Ni@%Ya zD5d-!JGOt7b#W!utMIPIy9RIkTO8EkeGUI?_Z2SeQUVq5c}t`tx`Q3KJJ~u-%{fXbGrTy3e7cm diff --git a/smartmic/SM1000-C/SM1000-C.brd b/smartmic/SM1000-C/SM1000-C.brd index 2e374003..51dbc83c 100644 --- a/smartmic/SM1000-C/SM1000-C.brd +++ b/smartmic/SM1000-C/SM1000-C.brd @@ -26,7 +26,7 @@ - + @@ -1544,13 +1544,20 @@ INFINEON, www.infineon.com/cmc_upload/0/000/010/257/eh_db_5b.pdf - - - - - ->NAME - + + + + + + + + + +>NAME + + + + @@ -1735,6 +1742,8 @@ NS Package M08A + + @@ -2079,24 +2088,6 @@ Devices are Vishay chip types, generic AEC types and Kemet T491 series molded bo Weartronics 2006<br> http://www.weartronics.com/ - - - - - - - - - - - - - ->NAME ->VALUE - - - @@ -2224,6 +2215,24 @@ http://www.weartronics.com/ + + + + + + + + + + + + + +>NAME +>VALUE + + + @@ -2775,7 +2784,7 @@ design rules under a new name. - + @@ -2784,7 +2793,7 @@ design rules under a new name. - + @@ -2793,7 +2802,7 @@ design rules under a new name. - + @@ -2926,10 +2935,10 @@ design rules under a new name. - + - + @@ -3007,11 +3016,7 @@ design rules under a new name. - - - - - + @@ -3021,7 +3026,7 @@ design rules under a new name. - + @@ -3164,10 +3169,10 @@ design rules under a new name. - - - - + + + + @@ -3197,9 +3202,10 @@ design rules under a new name. - + + @@ -3391,7 +3397,6 @@ design rules under a new name. - @@ -3412,9 +3417,6 @@ design rules under a new name. - - - @@ -3505,8 +3507,6 @@ design rules under a new name. - - @@ -3547,84 +3547,99 @@ design rules under a new name. + + - - - - - - - - - - - - - - - + + + + + + + + + + + + - + + + - - + - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - + + + + + + + - - - - + + + + + + + + + + + @@ -3704,7 +3719,6 @@ design rules under a new name. - @@ -3752,10 +3766,14 @@ design rules under a new name. + + + + - + @@ -3845,7 +3863,7 @@ design rules under a new name. - + @@ -3864,14 +3882,14 @@ design rules under a new name. - + - - + + @@ -3883,7 +3901,7 @@ design rules under a new name. - + @@ -3901,14 +3919,14 @@ design rules under a new name. - + - + @@ -4017,21 +4035,17 @@ design rules under a new name. - - - - - - - - - - - - - - + + + + + + + + + + @@ -4149,7 +4163,7 @@ design rules under a new name. - + @@ -4246,7 +4260,7 @@ design rules under a new name. - + @@ -4271,8 +4285,8 @@ design rules under a new name. - - + + @@ -4302,15 +4316,6 @@ design rules under a new name. - - - - - - - - - @@ -4350,7 +4355,7 @@ design rules under a new name. - + @@ -4400,12 +4405,12 @@ design rules under a new name. - + - + @@ -4492,7 +4497,7 @@ design rules under a new name. - + @@ -4558,12 +4563,12 @@ design rules under a new name. - + - + @@ -4581,6 +4586,23 @@ design rules under a new name. + + + + + + + + + + + + + + + + + diff --git a/smartmic/SM1000-C/SM1000-C.sch b/smartmic/SM1000-C/SM1000-C.sch index ef7b45ba..d63c95d0 100644 --- a/smartmic/SM1000-C/SM1000-C.sch +++ b/smartmic/SM1000-C/SM1000-C.sch @@ -67,43 +67,43 @@ - - - - - - - + + + + + + + - - - - - - - - - - - - - + + + + + + + + + + + + + - - - - - - - - - - + + + + + + + + + + - + @@ -513,13 +513,20 @@ INFINEON, www.infineon.com/cmc_upload/0/000/010/257/eh_db_5b.pdf - - - - - ->NAME - + + + + + + + + + +>NAME + + + + @@ -763,6 +770,8 @@ Source: http://cache.national.com/ds/LM/LM386.pdf + + @@ -1256,6 +1265,11 @@ Source: http://cache.national.com/ds/LM/LM386.pdf + +>NAME +>VALUE +SPEAKER, SM1000 + @@ -1489,12 +1503,12 @@ Source: http://cache.national.com/ds/LM/LM386.pdf - + - + @@ -1696,6 +1710,18 @@ Source: http://cache.national.com/ds/LM/LM386.pdf + + + + + + + + + + + + @@ -4043,16 +4069,16 @@ http://www.weartronics.com/ - + - + - + @@ -4062,7 +4088,6 @@ http://www.weartronics.com/ - @@ -4126,8 +4151,8 @@ http://www.weartronics.com/ - - + + @@ -4159,11 +4184,10 @@ http://www.weartronics.com/ - - + - + @@ -4246,10 +4270,10 @@ http://www.weartronics.com/ - - - - + + + + @@ -4310,7 +4334,7 @@ http://www.weartronics.com/ - + @@ -4318,6 +4342,7 @@ http://www.weartronics.com/ + @@ -4630,7 +4655,10 @@ http://www.weartronics.com/ - + + + + @@ -4790,6 +4818,7 @@ http://www.weartronics.com/ + @@ -5997,11 +6026,11 @@ http://www.weartronics.com/ RIG EXT MIC INPUT -EXTERNAL POWER -12 - 15VDC -> 5.0VDC - +EXTERNAL POWER +12 - 15VDC -> 5.0VDC + - + INTERNAL / EXTERNAL MIC PREAMP @@ -6009,7 +6038,7 @@ http://www.weartronics.com/ SPEAKER AMP - + RIG INTERFACE Smart Mic Circuit Board C @@ -6042,6 +6071,7 @@ http://www.weartronics.com/ VDD -> AVDD POWER SOURCE +DNL @@ -6052,72 +6082,69 @@ http://www.weartronics.com/ - - - + + + - - - + + + - - - + + + - - - + + + - - - + + + - - - + + + - - + + - - + + - - + + - - + + - - + + + - - - + + - - + + + - - - + + + - - - + + - - + + - - - - - + + @@ -6184,16 +6211,16 @@ http://www.weartronics.com/ - - - + + + - - - + + + - - + + @@ -6232,9 +6259,9 @@ http://www.weartronics.com/ - - - + + + @@ -6374,16 +6401,16 @@ http://www.weartronics.com/ - - + + - - + + - - - + + + @@ -6400,20 +6427,16 @@ http://www.weartronics.com/ - - - - - - + + + - - - + + - - - + + + @@ -6476,50 +6499,50 @@ http://www.weartronics.com/ - - + + - - - + + + - - + + - - - + + + - - + + - - - - + + + + - - - + + + - - + + - - - + + + - - - + + + @@ -6644,12 +6667,12 @@ http://www.weartronics.com/ - - - + + + - - + + @@ -6676,18 +6699,18 @@ http://www.weartronics.com/ - + - - - - + + + + @@ -6695,66 +6718,61 @@ http://www.weartronics.com/ - - - + + + - - - + + + - - + + - - + + - + - + - + - + - - - - - - + - + - + - - + + - - + + @@ -6803,7 +6821,7 @@ http://www.weartronics.com/ - + @@ -6851,7 +6869,7 @@ http://www.weartronics.com/ - + @@ -6896,7 +6914,7 @@ http://www.weartronics.com/ - + @@ -6906,17 +6924,17 @@ http://www.weartronics.com/ - + - + - + @@ -6972,7 +6990,7 @@ http://www.weartronics.com/ - + @@ -6994,16 +7012,16 @@ http://www.weartronics.com/ - - + + - - + + @@ -7011,38 +7029,35 @@ http://www.weartronics.com/ - + - + - - + + - - - - - - - - - - - - + + + + + + + + + + - - - + + - - + + @@ -7079,40 +7094,29 @@ http://www.weartronics.com/ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + @@ -7152,7 +7156,7 @@ http://www.weartronics.com/ - + @@ -7418,19 +7422,19 @@ http://www.weartronics.com/ - + - - - + + + - + @@ -7537,24 +7541,6 @@ http://www.weartronics.com/ - - - - - - - - - - - - - - - - - - @@ -7895,16 +7881,44 @@ http://www.weartronics.com/ - - - + + + - - - + + + - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + -- 2.25.1