From 7f79ceb566f442b60ae3251951eaaf0da15e1e2c Mon Sep 17 00:00:00 2001 From: wittend99 Date: Sun, 15 Jul 2012 20:44:30 +0000 Subject: [PATCH] git-svn-id: https://svn.code.sf.net/p/freetel/code@588 01035d8c-6547-0410-b346-abe4f91aad63 --- fdmdv2/pa_enum/Debug/pa_enum.exe | Bin 0 -> 1048547 bytes fdmdv2/pa_enum/Release/.d | 1 + fdmdv2/pa_enum/Release/libportaudio-2.dll | Bin 0 -> 361728 bytes fdmdv2/pa_enum/Release/libportaudiocpp-0.dll | Bin 0 -> 270340 bytes fdmdv2/pa_enum/Release/pa_enum.exe | Bin 0 -> 104960 bytes fdmdv2/pa_enum/bitmaps/blank.ico | Bin 0 -> 326 bytes fdmdv2/pa_enum/bitmaps/inArrow.ICO | Bin 0 -> 1078 bytes fdmdv2/pa_enum/bitmaps/inArrow16x16.ico | Bin 0 -> 318 bytes fdmdv2/pa_enum/bitmaps/inArrow32x32.ico | Bin 0 -> 766 bytes fdmdv2/pa_enum/bitmaps/outArrow.ICO | Bin 0 -> 1078 bytes fdmdv2/pa_enum/bitmaps/outArrow16x16.ico | Bin 0 -> 398 bytes fdmdv2/pa_enum/bitmaps/outArrow16x16.xpm | 23 + fdmdv2/pa_enum/bitmaps/outArrow32x32.ico | Bin 0 -> 1050 bytes fdmdv2/pa_enum/bitmaps/sample.ico | Bin 0 -> 1078 bytes fdmdv2/pa_enum/bitmaps/small1.ico | Bin 0 -> 318 bytes fdmdv2/pa_enum/bitmaps/small1.xpm | 28 + fdmdv2/pa_enum/bitmaps/toolbrai.ico | Bin 0 -> 766 bytes fdmdv2/pa_enum/bitmaps/toolbrai.xpm | 42 + fdmdv2/pa_enum/bitmaps/toolchar.ico | Bin 0 -> 766 bytes fdmdv2/pa_enum/bitmaps/toolchar.xpm | 42 + fdmdv2/pa_enum/bitmaps/toolchec.ico | Bin 0 -> 766 bytes fdmdv2/pa_enum/bitmaps/toolchec.xpm | 54 + fdmdv2/pa_enum/bitmaps/tooldata.ico | Bin 0 -> 766 bytes fdmdv2/pa_enum/bitmaps/tooldata.xpm | 41 + fdmdv2/pa_enum/bitmaps/toolgame.ico | Bin 0 -> 766 bytes fdmdv2/pa_enum/bitmaps/toolgame.xpm | 41 + fdmdv2/pa_enum/bitmaps/toolnote.ico | Bin 0 -> 766 bytes fdmdv2/pa_enum/bitmaps/toolnote.xpm | 43 + fdmdv2/pa_enum/bitmaps/tooltime.ico | Bin 0 -> 766 bytes fdmdv2/pa_enum/bitmaps/tooltime.xpm | 42 + fdmdv2/pa_enum/bitmaps/tooltodo.ico | Bin 0 -> 766 bytes fdmdv2/pa_enum/bitmaps/tooltodo.xpm | 194 + fdmdv2/pa_enum/bitmaps/toolword.ico | Bin 0 -> 766 bytes fdmdv2/pa_enum/bitmaps/toolword.xpm | 41 + fdmdv2/pa_enum/bitmaps/transparent.ico | Bin 0 -> 4286 bytes fdmdv2/pa_enum/bitmaps/transparent.xpm | 36 + fdmdv2/pa_enum/gui.cpp | 333 ++ fdmdv2/pa_enum/gui.fbp | 3589 ++++++++++++++++++ fdmdv2/pa_enum/gui.h | 108 + fdmdv2/pa_enum/gui.py | 345 ++ fdmdv2/pa_enum/gui.xrc | 537 +++ fdmdv2/pa_enum/main.cpp | 417 ++ fdmdv2/pa_enum/main.h | 98 + fdmdv2/pa_enum/pa_enum.mk | 114 + fdmdv2/pa_enum/pa_enum.project | 114 + fdmdv2/pa_enum/pa_enum.txt | 1 + 46 files changed, 6284 insertions(+) create mode 100644 fdmdv2/pa_enum/Debug/pa_enum.exe create mode 100644 fdmdv2/pa_enum/Release/.d create mode 100644 fdmdv2/pa_enum/Release/libportaudio-2.dll create mode 100644 fdmdv2/pa_enum/Release/libportaudiocpp-0.dll create mode 100644 fdmdv2/pa_enum/Release/pa_enum.exe create mode 100644 fdmdv2/pa_enum/bitmaps/blank.ico create mode 100644 fdmdv2/pa_enum/bitmaps/inArrow.ICO create mode 100644 fdmdv2/pa_enum/bitmaps/inArrow16x16.ico create mode 100644 fdmdv2/pa_enum/bitmaps/inArrow32x32.ico create mode 100644 fdmdv2/pa_enum/bitmaps/outArrow.ICO create mode 100644 fdmdv2/pa_enum/bitmaps/outArrow16x16.ico create mode 100644 fdmdv2/pa_enum/bitmaps/outArrow16x16.xpm create mode 100644 fdmdv2/pa_enum/bitmaps/outArrow32x32.ico create mode 100644 fdmdv2/pa_enum/bitmaps/sample.ico create mode 100644 fdmdv2/pa_enum/bitmaps/small1.ico create mode 100644 fdmdv2/pa_enum/bitmaps/small1.xpm create mode 100644 fdmdv2/pa_enum/bitmaps/toolbrai.ico create mode 100644 fdmdv2/pa_enum/bitmaps/toolbrai.xpm create mode 100644 fdmdv2/pa_enum/bitmaps/toolchar.ico create mode 100644 fdmdv2/pa_enum/bitmaps/toolchar.xpm create mode 100644 fdmdv2/pa_enum/bitmaps/toolchec.ico create mode 100644 fdmdv2/pa_enum/bitmaps/toolchec.xpm create mode 100644 fdmdv2/pa_enum/bitmaps/tooldata.ico create mode 100644 fdmdv2/pa_enum/bitmaps/tooldata.xpm create mode 100644 fdmdv2/pa_enum/bitmaps/toolgame.ico create mode 100644 fdmdv2/pa_enum/bitmaps/toolgame.xpm create mode 100644 fdmdv2/pa_enum/bitmaps/toolnote.ico create mode 100644 fdmdv2/pa_enum/bitmaps/toolnote.xpm create mode 100644 fdmdv2/pa_enum/bitmaps/tooltime.ico create mode 100644 fdmdv2/pa_enum/bitmaps/tooltime.xpm create mode 100644 fdmdv2/pa_enum/bitmaps/tooltodo.ico create mode 100644 fdmdv2/pa_enum/bitmaps/tooltodo.xpm create mode 100644 fdmdv2/pa_enum/bitmaps/toolword.ico create mode 100644 fdmdv2/pa_enum/bitmaps/toolword.xpm create mode 100644 fdmdv2/pa_enum/bitmaps/transparent.ico create mode 100644 fdmdv2/pa_enum/bitmaps/transparent.xpm create mode 100644 fdmdv2/pa_enum/gui.cpp create mode 100644 fdmdv2/pa_enum/gui.fbp create mode 100644 fdmdv2/pa_enum/gui.h create mode 100644 fdmdv2/pa_enum/gui.py create mode 100644 fdmdv2/pa_enum/gui.xrc create mode 100644 fdmdv2/pa_enum/main.cpp create mode 100644 fdmdv2/pa_enum/main.h create mode 100644 fdmdv2/pa_enum/pa_enum.mk create mode 100644 fdmdv2/pa_enum/pa_enum.project create mode 100644 fdmdv2/pa_enum/pa_enum.txt diff --git a/fdmdv2/pa_enum/Debug/pa_enum.exe b/fdmdv2/pa_enum/Debug/pa_enum.exe new file mode 100644 index 0000000000000000000000000000000000000000..f796ee4888e23540859bf0250d650247c91fd622 GIT binary patch literal 1048547 zcmeFa515tH_6PpXbly7EWKK00nlc!Kq)3Hgs6!|Q4IzX|5jV^bipg|nIvoZfS6xL2 z-D@uWLoq6*2*V9g7=$xsFc^fG-{-UT+V6SKnJK#W`~05g_dGw(qy4V6*Ixhj+Iz3P z-+kU0c5b=T%5j_k{%>k>oaHF_U%vdi<9|v}y~|$D?cywM`}*F?(}upj_waG!ugRTw z_1`YN`l2gxFS+Q-EB{uMd-0gutBbG99e-u+;M2~`z2a}9$Mo#bpfcf6PIe0oN)zNqKkkmmkL-)qvC!l$H{j_Rrb8v5OyDgx)A6Fqx`BFUH+kc z46er|C`W;AG|HYRegEYe&}rEztGtEp!8KN9R_cz8vMAEztElT zK#|`bK1TUOJHgq1L~j3n0)B8diiw~bfpTLDbVnLHvZ!A*=%`;Wl)nGq=i?9U-TN@2 zx*dRjYEa)DW#3df*xUOsQLr?I`Vhv2$E5t`Z!^PBHk+EIP?4CDNaTl{Y(1>6V7La|<}vv4)I-^Vu8^f%y&}0(tRPAShcMuLen3PF_3!eI6DbAc}S! zS9_cj9TXzrQc#F6HjfVKMchNlxB}vC2d?&SO-)VZ#vfVHa4-t-1MhU4%Il6Eh(S_$ z_0Z=zz0e+-3un+#@$=BMbZc7Xw66h0Dl;R0N7=iwbWPTI>sAGvfwR!GXxPAeS!55q z8%6OabxXC_RMx#rffg~cEs+U8-rn*PUdvB_}mb&^{aX`ka zOGTBUlcC16>p_8*tk9OV;|z*wTT~%Yut+R3a^huVv$m=B$mBW^afQ}(O|BD9oQ}H4 zrs8&@X6d0(vdp7B-Zzg zEJ&901|?TXr)*?isBCgx1~s}J>!%2U%Vt4PS@D)w#BzQ>%%oV#i3uc{0lHZrYvxq~ zSvOoFkab}pAWxUFRnhPkYuS64bESrT6m{Gotx#n9Oq`~o&qX*x+rT_=PPt;|Eu%Mu zMtpAZ!4lZ<5*e4%d{08z(7a&TaQHot*YuxQ#F8%ob7cd&9s?vzMW?WB5WjhXgwY84 z_kn96K%$Kk45RHGiY%_7jy(`VvB(_NaobXM4k2{TNN5wkKpH~?x*^+iNsz{cG`=(H zHQq6mnHT{7>z@*>$|{)3Cb<6!4}h;I)w-Ed`J&WjD%D+-TBu50DoPRJz@Sp=ifNH} ziW019xiIg~RJ-zWRc(u?W@TJR)jW4BR>@y4p*sj!cbt}xOa@l6I$3iYMD z4Hz0T)y_Q8dyDG5r|3-xqROfFWD(U&??w~XS^FBt?l9h`qL(;CD(b;77O}G0K?CwF zCpsEtev+l`ki8S&jE3Aq|LJIt|GEe`9VZ`Mt&RwAt)LUK*(1g4eLdTtwtf1H>Di^y zv)dHg9oSezXryN?zWhe;k)G`%9t!?uCeE!~;^q>vJ$tU`(9A<>%AfY^Z!^?84dNXu zr(X23+I*DI5`9+QYuj!|VMnMJ^~qq5=Cp;2AiMb?IYICasrQ zYHI~;T}g;S`imcOwXWgc^aCOIVHU&`diT;kjs*qmlBLBmF#NcoHp*;twlt zGj)kr&E5bcu|uL1LpjDFIHLh3(oj%%hQ9DblA%4+m?{`U6JLS90)Ff*CZT^6nhg^$XG;Z zBpfaN3+4*GHK;`CPbK|aLN**Lm=h+A(47j$i*7-4Zwuv&BJA-PcS%YS(-uM2KdJ}@)vIcEMy4Hf;JF^D;K8cRU8pN}{Sj5V@)npyf za-yT*wf?^I<`L~}_MM$DuYD9fq-)T*q84h_+Pabug_t#H zt=3JzvK5<42!4l3E9wio>^g%OLl6| zkGw@)ks+?Ia)#0M5v$Fy0$EFX1Cr2S6Pjd0qx*&GF;=8_%nOZn(k5vt4nCaZv8`%r zN7}lQ5QUgED6q|>X{Te^ip?biKgb&N%(zrP{GY5re3Kxd!kjf0vGNa*ezThH1xP}L zMB6hQCc};-!!sWOd0~sQQt}$K#;zzQNsFX42qGmGZ41t0nCfhc-KE7wi1vmlDFA0A zx7c7?d_Lb;QzB{JDk^PwZnP99p6{c;S%8?0V7@M?}7Nndh z*ya~On6gENb*73oM+4ne^e0#lVsi;0-sEUt&{!|tc6Kj3;z4m=Sq0w>#UfV5`!EmO zM?ll~=VQnniU$}l-1zLpT(h{+60ETVA=I1RG^O+v8!|4~@Rsw~aD{KR>Z3+gaFqk) z=i=pj#r}keIu;Qc@v_BVa3%Qo@{plQ{|f2n5`u2B7w#^)NjT8Lo!tw+&-~lVLpF^D z)NC)jFY5s$L12UJ$tI?(9A>7hvNP;5BV0GZt+fz zc*n}A5xuN7Zzr_Ga9jgOz*kQhp$ z6hncbQ(DYJo_-+7(0OXi^A8z6nmlBYV$*<)MTAB|!{WzZmK++(mHw^ybO|9F8i!w$ z>XMzxLpIpGULI_UMXa2cMK7z(vV@ihjmH5=7qAI?&4$Ljv(#g(Nb#6!J=Q{Kl>9x( zV{6pbGTOS55QUgLq+09N&7&U(!4K21$ixpGmFkE8lRRV#Is(R)Rdk0vziL1$Z}K>(&Y+u{zi z@Qu68>cAfJOyB(~YfxOVS7U{YMTADe(c(YA0hoUcYE=5;Nk5m64aWr+q;|tjg<~Po zzQh`IwlIUSNqgbm!$DJA5sO$YvSCXsVs&jNkhOCgG-UXgHORr6Nxr6;@ek@v2ztvJ zRF4!Xd1ev4cV-Rx4m$z~l^zl*R@MzB>xh;U9Sv9b`wkd0kK~%(X5ZPQ?XvrT)3oGN z(T;WmNP+BPI(wvek*{Yn)V4EV8!62il&#p=SkYn;p^=`oc;5N(uR(cAKaTWs3E7@~ z6-VSPcnJDX+@G#Nmt)f{-svUYv2sSTCn8pxQw6e?tIgp8Sxfc0G=;T5*U`*%?!hJF^TDf8`xD=o#*r}`+8_rlV~+Y1wlhAZu~+TOO? z-d=c`c5gc@ob2>=op=aeuGoUv3`(Jq!D8{tM?p`s7d8T0*bCE-DSKhtyb!{aEtaPf zRkXe)SJCfaLBMNr6K}E??sv8qZ#%me9$GH$E33$tm?Bp$jIYByyXd@+bS`=0)A=G` ziWgdf<(A-2_rli>Wy1x&)oP&%T)~^I%5wyV1jX)}1qpjv@p{&4NDh z=g&-aR4$r%TTyl`75=je2s}@M^<@=9#9>xmSUS>b)(;R}R8FF3cs3b>KLv#BMB0!m zaMIjpHcOdzXWLozBDCEU5=1(Z(h;$wu2} zCz^_KR_sKyt&W0^4(!KHr#a+mqwN#AqIj0ir9IRcPhy3Ott3Qx6K(yquI^4cija-A zVZvDy*I}89W;-2iXCb8nld_5eahR3&9(9RW&DQDKTTY^AcoqaTk2V+xd{VSMI|Jm& z(PlF)n@KQO!O=$B4`|_Y&0saZ9Olz0r%JRHDE3{fSa?}a2z7W7t@vY@borufq~aeX z{aive+JXiDQ?xxkPMtSgoM+|yot@=rGgBaI$xVQy)7XS2*=Q>cn~HK)>_oH`mL;O? z$kS4z?HanGxZLN`aq5hL;tYZ2=J6U5`i{C#r$f*wc$KV_&)*7YB_Y`VTpk3#V{7c>JXpf9E!E{3|hDZeu)idQyy+t7?n5 zNI{d46613e`#q*#F(M-OIozT%hZovS_r12=_BP%4{i-CI_i%kfmt7&{Te;{M-t2cAJT1}OkSnFU>$SG6nyNmLOW{7RZMI%3ALye< z_L{WuyhbP*-fTIK(stzrU*p$^nH1m_-(L2DMs@XOWEq?Y`B@u zzgC=|r`QXyL$zB}oU`p!@W%&AUO ziK;U}{9z@9q^GP->43xoB;rE}mA7_g!6Kg_!&TW`#SlUXLq=&`VT6VdvW6@hOb6|x zA>a56>1z#{D27-`CsK=u)#*q;VhD+v8FI=|Nrp^NWrtGPm4p(8OwziUSW0kk>oeq= zLH~szU;7NX0%1cxR1}FJR?B4Tx#2uKVeQ8PnsIns~Bgmk?k%Gqz*31R#KgrS@? zARRy_6OVB;uXiM8t!mouDq47D3A>8m_T5@AMa?cn0vjd89itz>cWj&Ih~5 z+FzQQ+6MTv9#5#^zGRiqj< z9fawI1XJfbFx_RlOqZ}xbR`>rVUQ`9Wx51AqpHY8)N~Z4n-fek$aEc(So|Ds3(aaE zP)Mb-#Ts>FbP3x>SF)`rJQ+U`qw*Y;S$XmMAtQQzuqsjmf?Y+-mV}rf#hgse=E7Dz zW=6D@t&wpFn?;wfNvcbJMlLLzF@bJ;AqgiTU)9%MOhMdjYYd0PtS0SV4MQaLYSv*=1TN!7XbZ1skN zJbORk9Ke%wRUj`wKmUp(UcJHjKgk<&*(|z*O;UBf!*ULy@<8F7k-~Xif-|S1B+g6N zEV`0SQguGUat@*L5aFDa!uf;*XU-2voaeGxbP1cJ>bwd2A&HG_RQ49mIVqg$nLC=; z;98Kxc?p|ESF%Z}&Qrm;whhL5+3LiPPZyN{-pEn%%?YgGa zO7y{ zjjY4h^I4*|M4Lb1H7`=kY!5R|F}IT8GOW#p;cXt1nMyE*1owIbV?j`Rn+Kll(c7O4 z9N~$uKO5NB!^~Em9m(@}k4YzwiM?mvFxlE-@7jL^Ol_vu_m~85FNhAG%^5zm($mBLw5&apYg-f#-NU?d#)y z9tZmhc|Q+hUn6%W&%qv(Eb=_U16_}&eZ%_MbyiRNmh~-QYSXlGG>$Cbj?az?(dt_SM@+kAr>vb}~8K=ruXTYcf(r9OyN%ufTTq zF!sfhOD26iCatN)0Ur2sA8XoV<@wIF7BfyUtAVNI&wz~~YrG~4mEa+-$sEO$dl>s} z;3hJ8%42dfnAFbmz=#2QCF@MBB5dSsn*_9(9X{v1d{f z$#cHP@ggknCbn-_LXbv;<=^nj3 zov2~0{C<9Jtb0CzHWIyd_9Au1XJnw=F&tJ`N-JFFFPfg^;UOqzeJh%TZ zz!GogFW)BMmLqlNpSpcnI0TKt3uUVxUZgB!pT9rSSlP9tvWN~_7(IWHT%;PA*x_F& zj4EV%A6~4CWN-g95<+|ss)Y^xu!`vUi@_)ZTFEzeASxhVd%<@8R50YmD!g3w^5GTA zQ#SHb$k2z%T_Bm9#m#@UeXU=KUy>KybI1>ZNA5)6lWqI)W-nYuG3l^&*fI* zlqB1SE1eQdE8ctR6wHW@Q_K&23CZt0WlSG-LB10|aB)+U#{vU|l%T^@>cp2}f?Bt_ z+cRE?(Z|&b?Pkqd>>bymM+-r_EQesn9@;1b#4V<+q~bq%yzTkla{I z_zz87xWM_Re8LLd(-bZAjzdpG#- z65VojMcM-0U}lH{P*P={xJV!?;Apxe zfEUS7;Y7qk*=mYoUr?Nu!CV6eSh9oXA1h+YW|u&B+dR14<{@p)lFcu+&8M+B3>YOw z_knSYmv1KswwclBV%Q;6VssCszZvT&jEo_ zcu9f||KqY9m~Gyp#3GYb?497|vsut8_I43u2`3RU0t!`TzQ~+SnKO1MQ*La=!sVpQ zO%hmGZeMy3uOuDhQTTpk_y#73s6}M3*A7L=#s64%0V$X4@3*aRffYr(k~}1j!uMts zHCseglELEfJMyI5?vLTeYoI(iS=4eXig+dICy&B6OGT|0Q5(qMq#cTqpWVmsl^IZe zjFmLeV}*d(qxAi(FS}WS3V&?`S-L@8<^pOAV|S{*#$FLe|B4~ zXU@ucPR73aezu-jXbbIkxAn{`TWJ3?1lE2ErhIq6g@rorUFeb7 zN%SU?wNo-X)lK!t>~wcF$v&g^V5yyy&h^Ob#MFyqE0oMmB8OWtGm&JFX11X*vqLA3 zK!$(aSlDs{1epQdxR08K-}k`1ud{X}Yh?_+>($C2dy2Kkuy#F(mwUA`F79M)E^8$M zZz0Itr3vtB6x85Z{vG;rBe^`X1~U7P;kM;LM2SaGe8I@n3d>!2P)Gu0(tWMka>q*P|t51CtWN^#g<2 ziQzhZZ;nxM7V|-M)X8{+Qc7px%+|0=iJ=@8gGs`Sn}VNEpGEa zSp3^1%`A=^)J|A@Z?0$YC2*HoJOYn@YVqM9@>!e*&{#b7AY<{=`f2FZSa==-=2>iQ z$g)J|u8aWc>>R_sM3l&Uk^zm%!i_{NmA>4}=DWZ$HkWTG#=>z_XJC?YqQlI#O_%fc z_L8s8qV`XGAXmY_hrI7XBYnIOVq&=O1?+Hn6y49E?&oh?C%r9A`k~U;F0|b}Q0NZ? zy>Z2P2b%pyX?=J7uy>W&l-9mR<-?89td|4$2y!&=vV#J1H9y^|9~{QCoP(N+ zmrkj7G9$Qf?UYVwaEg1j)H;CHL1fpJ@Lc>D2!~XRW6U6E6WDQ^Dz<6oYtwy45~@kP zxjQ~F9d2lX9pT0gjScUA^ZvRIYCo>|X-!xb+04kU=vh&D7ihMT?Pj4}9lwCy4!Xr} z2V}Rg5+Z+nMJyFBCZZ|`*uUb15hKEKJ1gRTwthP+qHF87vm$;D=bHHr<9l=r_bbs~ zKaHZ_&Dn$bntS}vPDU-I$hk<>8bbb#JG~VOd|w)d5ECo3_cWW{if`-4-f!S|_z1p> z;nM?@F@PKz7%;@3Qdx&DU<3=zSp!u{K{F}DBuV*)NRd%zq^zZsa*@(#q{xSqRLaNa zQ;N_u2n{qJ)l3R8NmBAtZIRJ)klz*^nBvImMT*eOuu?`elR}JNimEv4LLR}4);U@n z5(X2q9*X9D33lWRE+P&fD9fV=ACC5B5Z}9>o?uL^=U}SRBQM*-HHYRrDP zVW!Ym=nftE@#C?XEemhKs79BUu*-2?$r0Z4HWJbYnUG=~l_9@-7j_-#CMq2qtXp4S zR%aSBG~ffD4n3d)v0E50kx8n{t8}M<7%!g2Z(z(Ps769#h>qPO25~w8F->GZ+=hhO z=v6Lyp)Pm`>MV^>zv*ql$tvB?i3QktQE8g0)K63rC`qNGMI}qM4phxl;i2*SiN8 zY=$Uj-#QhYN52S^q@3)f4IVCO-I8()EBdQ<3(Dab(s;tBob_Kqr9rAvH&MyHqWa`q zsw7a7N}uoV;UV=JDBjFpFkDpn$L`JjHAz(>jrCV^l`0^F*uJ8Y{ejD=qLM&KDxD!J zS*jXPHB+gzs?>f5Dv`!h$sD5BR-j+0$YLDa;wQ*D;#T5_QXx^qPE;?S<>BF*E;zrA znnZY3dw3*VgDa@f{0z)fw-*||L5Ktmmmr&{T!m~_7ryuyG&?$6Z}!>rNGsK?X=Jm__qL9>2rMj|%)5zb|(cjmWQbN~2TUgAED&S;K#^ zVT&qG+Lvb6L`MWrA2?NdxkjBk>InD>7f@pn!6Z3&IwD%}u;@8ZQWs{u$+~b7Np{LU z&?VuEEaXhl5i%Vs21V^{YII zf=QCNQY2c+*Ff2_xts%%%{|;o%#KdvPkaLBiqIStx(#nl?K?|cRU$S?@QUH|v!y;` zyMoOq_M7?~@@(nZ?$L=16cyY{1(zlX7HpE>K_b{vzYpq`EjDA-FZehJmNdE^WA5ni zmdrWG1u`SYZfk0)od7*CDW_#dF2)nJN?uow+s9zXijxMbt{;o{1ri-?a`M^UbdIIE z3S++6bYmywIY?Pii4-KU)Pt+2U^;Dk*~h;igo>I;xj;6ey4=VkhNZr+avqe=De>X3N?Hmta!X$yO$Z5a;HDwmUb!gXA+_gJwsE zPC*{f7%dc@a zE|aogk{tZQX>_oq+^?7L524|oPRg-NBQakVsGBh&{FAad=sVp?2ACvvGQ}F2e}ksw zd7}ATyobshA@5~nE;eU|ah|i%M1V?9+0%d#7hw%%z)^AS z1B$fE%AQo|Rz=#0-379)h@wZ@wulv8ok|?AKLT!b1;p49c{ed~zAf+7rV%_81SX`5 z3FZ=%h#N~#_VW@DXA|Up-rI~%r9{ElUcDLnY_rM#_pY+ZH-A!XKVHU&c1Rpc$z_Zq z>}8A|IJpv%i6FuAi#O*x@i}*yU$(8gAfLEAvWO!IuqRs#YySm}hv5cZ&}iB(h(E!` zGNfgOmH{mtEw{!EU4xd5TE?~9re(dBxnCLjNne|C!MCPdsO3idB8+m2w0@$Ny|i7t z&eYdy8K^gKP|FEQ?qRi7ifKjmLpY<6$W|?{H(JZ}T2^a0 zPRj{e=4&}v%YgC^Dc>H7AE*2`E51g{EUh1<^=lNa)N-ws6SRNg+HSexCu%ub+b>u7 zarMIjtuL_lX}M7GLls`E^qV!VMri#cEk|j&NXrqb$4D&~soasuZ>!=PwQSIGw$hDI zx)Q}NSNW3^F4A(MmL+QcJT2#FnXmjNYPnJM+n{BQmTR=WLd$8|zFf;Kww?8Z!qo~_ zs~$o1&sN)B@!J%hr*OWuo2B?|3I`Mp+4ic>3N0sVxkmX^YFVK8M&*;G?MoEjOZ_=V z>1QdvLFsd}T(5MMT3@elcjY%q>AGwEVlAg>IbF*PEjMfX3~jeS;R1z+YB@yd8&u9_ z#n)(Ar1g`u9H(WT(hpa?w7=G>{mWI4#ab>`x@s-g+xA*NN6UFyI$FP0 z;kcF)RIgmCpO*8q9I0g=Ew`$^Im$0v%W10TbS=wO|Nh#pM&&hVIaKjET8>b@^~x`x zak*LHQCg1HvWJ${%5Q^~1&SZ3mHz}S`zw7fmA^sh7Ak(D+ObICaxF(GeTCL9 z&~mHRH)=UV`3<#tD89SO@1^Am#n0AqmX?)T29+*X%Yc?Ww0@hGeH0(k(y??})~FuC zwJcD*hikc5%SBo))N-)WkJB<=%jH_%N9E5}c!icDly0WNv$R~V$Pl^55tQp=#08LIzAh4U2NqI?!A zpIogUsrn4jGDqoGX#G6JuhI5-3OiPg@>{6*9*SS2@M7gNT~pRM=}iqBEFLhEz1T%-0? zYB^N#TUD?A3J=k;m+G0VaFN1W6>ijWlC?+6ZK}sil~b-|(AuT-(-c2l%Uo-hmRVX3 zP`>@O?4$UA^6Rf~zLtZv9HM2mmX6X-Qh7ZTKUB-fil3$BY%NQ)3~9e-X_>9%9IfA= za7g9k>wY6w%N|qo6*7TxILyC@-2Bq>B$TOU=<SSRYy2Zu2V2~&WO3as~_ic z=ClQ9)VnhCAsp{bn~i5-p83#EucQ^VDeW)-TBrT=N{M|=|9O?;lsFa)5Oh4spn&PN zHZ=89)CMe=`_oGvWq!%$xy8Mfqq-#Xp@A%}?MBIfB5zOHR~qIQwVx^q@Nz}@d9cHP zB_OX`qg7~A_ZIBj^qH|!eg_aM&M<#jzI1gy&aLES5Z-RdsWBRG|GZ##?4PH>OZ*4| zod*B$BKY+{#V6w*@WkKuF0CJm-ypmjSy$ZN{2FQ<{{}dm9n1kAUQsaZ%7(3ppM_SX z^>~LB9kwQO+H}<8(@v#Z^NWf~w+4#-7AxGsyEkl`FLiPum484gkXKe%Ne(%}0dMU$ zgGJfLKP*7&Xklg1G%(1Vb|ViqV+RvSS81nv$=(McEmg*DIeD6s6;_&mri zT^%%}SAS@QmPb3RC7X4{ou6Nihw^CW$lJyL0tXDY$D)IRrCafyA{{!FuFf!xGfPi~ zR}e?}POCwIX#42D`4~MjIQ7#e$SVCcRCGe=uen9nm;M?o%GRqDaAJT*DA{nsI{YBE zJacLPpQ}nhUz9iXO$%6iU`r&VX*Y!Z3XD$N`LfvE!@17Dr`l@NblR=7o6z*_zi7iNfakKCAfTYreH zodU-|7LCy)DWodnI{&ZaPin1&B1fQmAfnx=MvR$Pj zpPsCh7zRC}J1g3?eIlERGRBrSWz8k#l;WSjKIbl+Jnd5gO*ucU?!8Hl zw+5v}vmzuy?=;k{9jmgV9R@Rs))fUz{1Boq*45pO-wDNr<^@W(G&Ehc4U*3~^YqgC z3Gp?sIeus>IWjayZhXOTIusdPKBLuu+@ipMw#BUn)%^fl2JBOuS-0od@|M*k5I<2T*=Ca}qFa?S@@jLK!nKO8@Y)1sKoVokH z1{-DgoO9j<>tYveTm1swgF1Dulvm`|Jyp@R?n%)aB=d*LP$_N`8?zOptHHVenD`|0 z&*_+TSi7m{pz>%d^1Te$Gs<3(60Xm{5D6ll@e!4#>gajF$fn}=EQ8ZPTA)l}llG4l z7wnCn1>%YzKU^xGV?r}JH@*@7maRsI_v0+q3TsW-AV!8L^%*J)=2NG#LBTRn&Q{f+ z>dfAib&oGcCp6VPWaHudpcUCxMPe>ccC*mt^ysc)beh&?Kmjqvbi;_=$Nas zu;doI@f$EWWOb7Gjb9E>R2@=WTjjD>_@8Cm7K{t(s>hbAHAS5b4bZwobBD$g_aAo9IoX^Ek|fMTFZ%A&eF0(%h_7a)3RL4nOaWQa+;QNv@FtcvX(=& z9HM2OmVLC$(Q>es-L)K`Www_6r9@xj#%O&0S6EQOjeJQ~)P;c~tBNKxjSS!0Kv8Ee zU*7^iPn(1D;|+-O%HnqM#@eQ)hY1Nv>N&5#wIO&l9{C9}R_o6LA%jU1mrN#3SZ?>+ zAJL1S_GRH7o&J^(%U?D~cu6%{m}QAIulZ^}NpaS#3gBp&^A7Mwhcj++QPwf|$tHoH z(hQHd!eP5p)Ik|ugea{t|~vc z$UK!+7SM}V;nfE4{5f915Fr>!dGiP0%T*Y-=dmt-2$O^Y`xq<=W1xq|h49-*_+o`A zgOA~^4JUxPm?q)j2B72Tv5yiz5*^M?m3#Kba9Jo_lP9iKpS)5s4I?1U{H7Z=^npOC zctUSc(JewP;YVR8HFX9UOR7pnqb9G>7+6BG$p#?xJj@``a4+;#_h`5W3b>{_iri>8 z4@FKioQnbjItK;PVfHV&nVqY5k&(?X{A?n9Da6+uEI)kpRO&(;-ma*|Ljf8)&%$f9 z(lvcxro^@7aSf7jF(Dr&*taBt7%Vm=$@?;?uNk5#8b$Df1Vn?xmap%hmMa7NRlM<& zTcQqpyst>7cW#K&JO7;{PC(nb1B@dq>#Gy2PvgB`>Fm?-O`w#%MjSHCXmUP(O(Pwe z&rxY`S>98&_tf2^!`m&T>&47NxZtu2f4ytgdvqtlHdwZ*^wo4cmKDHb!B_!0TRh7T zJz9T!^kmt6p9#A}}NtFFVwTbA}q9mR9D_jZJvjr4)Q;h{vk@{#3}! z9$sJ!LUZGjf%sGvWph3vxn&p~(H~26i1W|!9YKupU34!s2}m#cR3wkeu&an zHFy;Cbq9(}Z8HsReBrs0ua%`|mLccR2~Ofu{D$9pOf=VXy`XP;+ZSKf7ax6YEOiR3 zFNV+;KO82$D6JgM*?>+U_6Qi0JdPei^SYx|&Ecde1dXa$S}D9<123QAlhMQ|{>N6U zc*2LJmGb-P|G=~2u6*(wW3?Gd=xhs(Aas(2MiV;PLPdmJGcUT_DiQt>F$b=0g~A;S zwYx&$-*u8&tMJzfZ&3I{1C!N9TaZm}B%bqyYbibkh1%*`F0c7;R?;#b&T8|p4`(%w z>N{ZZh1hhxA0swjo@iHEDLy&Jj}f1p=*NgpkMLu}=hyJdh!Rm_ezqOs%}0zE&&prS zg5+6PtFX21eTA)M>lC(DEjd`DODuZKLSoHaL#vkDVIi?%s)fXYYb+$%FY$uO^oaHT zFi_bZvHBh9!&PYC^6reKvwC)bW{NAV6kUHi$cGVqzs79f(TMjq`7xsRIzL8qU*^Y% z{y)O!#`|CXh*`z11AX9k#@koBh|8*+;bxqg^>UP5FS+Q>vjD#}5%^J7QZ_y1Q;wzo zX}Ju6g)ar@m}%#pqoJ!=8WVFiWqt+Lm$G{=MOgbxHPyWXg_u-&CFj_u?}% zWmx}0(E=Eq5zS|6D-m;@Rvs^Sq&p!reCxoM%GO3IuTTGZqxmHwSIrD*8)Dn$^%>{{ z*h4Dt2$ta=LyxsnILo>#t1s|oO=T8r=IlJR@70icd7O0kf0vAOL{IxX{{?s&&u{pi zo;QhRlJ9Rr3M!pDA=URpsBRy8+~RLN2g!CLIc}>us~Hnbb2H4g{=nceV=@tY`{M4t z$Sz8iO@zwc4MZ*Udlh2L_#OKsleS{nX4;C0R<|Ymwgx+cxgu9#&72r=T#>!8gZ9jR zkry%h1)D5JI1*sACpSFGp`dB+&q{fb&DzCWJ%*3cNFE8X+0jC>lb`d&B$E!5W#Ha7 zdxFCcLUJh}Rv4$A6k=pC-T;9_hTl7zo0_KMhEtq+*JL}+bbOS@|5L>Ez?okDrhyY5 z`nN)JK(LTKjcmG1yul#(A!{zbt&GdPrIlGG&Ec<*5@MVFqZyHx8**P8S5!Z@zuWPq;aT>MCcF#QJ|X-rs^czVZG7c$!Gq z@=eNk=R`C;%;PEcAN9&FGP=qc`T$}acL5eY%z^xgT2^$D1ZgjJ?Fc-E`1?dNu_0{m zCGTt;(`Dh9E(DiysOhl;gSM$~OX->c=F?tH;iFQ&uT@K(WDzG&$C))dUHU}wVDzW5bK`sBou z<+R3Jt8&!O8ZQiA(~pb+j%Vf{99r+?$P6evQ|q4JLEVEps4Lw;-K9IIJ7ouThwh-R z^A75M#{Ez@p+);P?V#>Oug;4fWaQCod|bfy(Wi^vlf{5v97qJ@fAj+c8*n1-&Ghwj z_9|e^_zocL@%7_SbcZiqjxlF&Z1U~9vcCIdeh!Rp&Ek4M!WlT{!(){**{r=Td9va_ z2=&b|_oBJcp#;mnT_ikR*aE35S@AI!d9TKh&FQA^bDV#_ZqF`%`h=88d|nJ%--}`; zP%JTzTD@N}$)j$2Gjvmmyv>Z{M&h4`9S5M&WFI(gfsSIY9=Dhk6>^l(d$2ETCVhLusWLdS;Ru_oKCX;3!lWFX<*D!$=Py0yX0;@lvG4ksP5q2&flk^pW!NB_5 zaRfl)jvI0OW#$IHip|B5C$bSI-V0*IUb9y~t|N=Cc_EJ@W^cXV(aysi$*puvZsO~4 z@sH77z8<%y2=L;<7s%@|24H-ZvlbC$$Efw0F9zp;S4s@Bh5Bu`4ajX1$453CFEx!I zSN(>MpO}H60n%x2>EhDL5FI!f>uueh{vO^9^~OabaCGS#C(Zc@hj+i#4w3lSn48p_ z2dJ`dq1TedJOx4{=DRNvVi-RvhuV$ZS9EoGLQMGYrt@8HdI|3)<0Rb({2%q~ zOk=W1;(OTbF`G@W0NYdp@WqVBcNg&0sPSa)Z)8(!7pP@)KLWb@bgpuCLNHw8DSrRk zqPV9(><2` zw!D@m>TS%vh>(FARd}JBG|s86FP_(9Ly!{BY@i0b0wyiQ^L?g~j3d~ZAwTF1G1D7{ zWxFPds}E^qrw2->WI06_n4}(R%h+G^0mfpu(Y_49Tg=ANn*5`~jYT~$$%ZEHX5N5= z@S>ZO?(L=wyo@NpbWnrp_*GyrF9$DE@IrWwr+a^>%<&xJZ_X)I-X$Tsp}~DylG=8= zQg3QO>TgJ_d{WJr$fHo~dV1N6urg@Uc=^m$(lmuNnJJBbX)aCdp;dV=w@>sZ94Axh zgP7OwSml)KFv{n)lT#IAJ!!slkjVe#`Ep-L^ONSw<@A=!mtSGU)m)8n<(n_(V33JA z)A!%ud^rKUygniiOnqp92lmSsZ?rgH_A`xOSB1X2GV48?eA!L?uuud`4BD9Y#dTw9 z9$e+Hfy(^`Oj?NR7fmCNXHuKz*oH1oJ~N7@v|%`mOay`2KEFF|*J-|C`!=wb`!W0sORhe!na;*>Icu@FaMto`7vm(4i%<-EDxo7vYu1z)6az?1tuVClPBc+w>dEK0ag+Ez- zOoGRkbT2izgl`@y(nZ>CFlL!FKVgH9Ha~@STq>=%O*sK_-9ZA2S}mDO!4UQuftnlVLRe9LsIFgSIUP+{Z7&BeXwD42~EFu*ZIy60uvp0KN# z{4=C;JwG?AKPtK2=a=p&dKRSUnXsTIJecg4&DEY?xCZ5`yULKbOAmK90pj^!&a1Gj z5G$cF)$ns6a(UMBd>j|WtGv&4hxoU?_TL+<%rO%8VTejY zj3;xcn(@Y&+&ohQv%tV!aj@~Q7}J?=A5>O_Wmn$#U4sR@bWMKJ_YxTczOk5vX!FMs zCeu_`7n<3U4SRyMZx*vb{U*8-OuU$B&ZA?3$5*d_2W?MT|2!V({=E2l<{qnJc&{@o z13u(|wRA`Z;>2v1&F)QZ2EosUdM1mL+X1x&Yr!~3c>UO#yICJBnK&T)S;M9 ztR2V$k&iYo@uL!mwB+k24N*wsFk>g<2)!TP+t{`y$KmGEs^=Re_X;6=m9#G6Ls~T$ zqPUbKH}VXGTRz0D_P55eq}eZ4>*282(01Y7axEzX+DR(3a?UA zK8L--tMScd z<3kyd@P*Y$y4vyZqG=>NyVIq-K#Lok@+9}o7*m9TW)Migr3mO2Tdbs6F@)s&dMhv z@RXm8JH%W3cR1R-`P4z)2!tnBe2f=cd^2WV11C=ozR|a6m4?GSOuJy<=}mRJbQ0HK z`s&Ndq&lC?HLv?*v+GO)JdjB{NNB<4Cru;axfA+sdr9?O(1N}%LKK$K%%zoy?O^6oS==jmbQFL7aZf1MFz+MKLv@n6c zioW*I(G}O>)w;N6`jNgod)t82{EW0d|CR`+q?l^PK%M;wB(&gc#+~RwySbT9#8NOm zUL2H~pFV?qv55zrzoOZ3WI9bpu9ol86dy-cPP8C+@>sq#&kv53Z42&@@4=dEdo}Da zwBh;T^JpBOK|nqo9I8vhrAps@)nNRJL}jYqP-9~=7=IQaz))wbQa2n-G!^f{kr?Ywg^5=s;_dA8$&A1$pBpo6WSHp-0)9cGYj&3KIcl$M8mfre77PIZ}2hm1xk7j zXfbuMaV~NH65N0H+W!W$^##i{0FBeX#%gcV2#sZ{!wlr$qQi2dgSmYomnHtfT3-Ep zlEibs%t*X3A<@KI6}vOFKW)4rug;cp*V4)!bi$APtfK^>&x`iz3HaGF(jj0O-;7Y; z#QCRJ)H&Jy{2Yk!w}i9@CUFS+UohQ9W|E{mi)?|uN|H5kXJp--EGr{bRu@m!FqNhL zpbNTTHh_})8Zj5Fq?cBhUcwFWUf)UJ>zlf&Z9hm=lB*GY>gxCiXkL zQ6$U!!R#0_B=tp=nSY;68o`fZ@iJXI4=cNjG3&%*F{DMgN+@U7{8vgQaJj?G0$SL` zxszh=#WqKX*Cb*dYYA}w(?!a&scqtJ;ZR61+J2AK*PACPSoHc`Bm$TSCGp&3^i1rl zj0ehLK@Z#Z2_N^vcG#OY=a=9|=A1cgjH+~QLv_9HZtmjX<8s{g zV~S-a1uZ2DyO=$Szt)Bw8r1e3SNv$w_e9PhqBuoM@qQ$^%uY#_xEJ7*@|{{r{^I* z6C}IfkacD*Dy<(OryM}=&qmrKI-KO-@cDVCVcb>-&k26 zg$N`|d>m;*vvq4%Mhg69cH(klz?YQm{|uVcBj;)G`TBkw_^79Ez|cp8@JI^TwC8L7 zHrGrTFJYaR@yH*Wxgf=l%tnVy8mMzwUM&0ropunSCjQ7;wB#`v9qUtM7y?ohkwdQ& zdF7Vdkl3&v{L%R>e5xm9-9<@Z{0Xq2)hh)LayGYDJB8ct*mE(vQazq#D9LUi0Od7? zW8t5vw-_gRv(Ytdrsg!P=Hw|28@^;rjI) zd$I%6ynPe6sm~Yx07u$0k!y^=WLMt>3gg>_Sgt)g%siQ7_h4g|)zjwGsipkI58g*~ z>RQR)$H1NhdxOmTD)AEh8yL)ATfyd+O#*j3sqVnShs6Bz(WaHfFXF-KYB6$fBcq;LLA+J(sIp8}M)0)B$Ky zc4t2RWesuBT^prlu+$8}zt(^D{jqPoO@|mUe*;@$b0Yj;Wf_JNVd28~K_>#H1Kxcq zX+3@upy}RqIL@-i17@Amb6B`GRR`+_TZ#|;YvyvWWgsA4ER9d^zi(8%H>%zvXf2dG z>5U}iF7uS@;Zx3x?<_Gql|c>|sG*0Tv2Vf0d@c4ricHd%D7EoKtV1Tyg8hlT85oR3 zSf$~3Bg@I0#St~Vpq+1DHY2|s&SK;+Q>=L^CAXl35BP8h;*7Cn;cc1A3VBgC5zja$ zgU4Yfu+fqQ8Uz+zn*vuRU^B&MhoS7HvLgrZ|Fx0{iqcBC!5ietYobQu(S`xmn>>(IyxNm z-uL6%6DcG9Hn>FR2=C5@oR{M7(Qr}|-i9hBbaZ(H`U({LhWS?}?x|G! zJbu-2yuks|iy!&*%E&0=GcnMc-ZE#Bu|kHZ^hhh?2u<&&7^%L*u%3f(Ec08*trlW^ zr?0#*f&E&Bc^jUX9O{1Z>t0&lAAdM4p+tNhQVhvVHu{ju43dV*K?ufIO~M`K4Wq5t z=&y){3Bpbx*QCBJ_6lZza(<-=mp^USeCa#gHOXo)62zRZz#iYbfd+;>n~7XT2yAZF zE(-@1+Ae#C?NaUkwI%xvQtihPam)5g#b2-=`FM)`|K7g+AXYo{_*uI0&+W$F%AJ+-o1;Oi4rhSZF40mpAys1@&yN-w-kPKjnZ?l~AbxfQv zL(NN?y(l_e$%`ae3{^S8-tN2N^xQe#X5fKm6Z;>GGZ{>$K#sqEyqhvVL6**sr1kJ$ zZ3q9scJTk&4*sF-;P0|{2%S-KKOl`o>r=X-WOD+~Y7<9hy)TWkH(~e|n8py-xpMw{ zHvBEYYL7t9GfF_9>4C0mHvxlA7>QA%Z^ilZ*G6o1j$BnNIT?Qf3pnG*RYlTAd^KLw z&d}f`xm?5G#s@S3(3l#27E5zCU;akBz%CynP3$4;#hlLH;*-e<+r4#-e?#5;%{}v( zz(iO!y~Tj`tpQ1E0i$XQBhpJ%?EY*C#Zq_J*S^JgB94mV`p#ZBy7Nh7>%w9xMbdb5mPgoDUr8r9l?{U~U<7}MdNLC}UV#j4-u3n9N z!snYjzulI{#}I93Y+S*L86{sN{3td{F0dDO#^`BuD|5kY_{s?LazXkxKQO}?a8Pku z^PI1jV6Z8hIw3DG8amXT2M=Mc@Zif+;D@1Q>KOX!(4xqJKPDQoZXfa8Gv5G4QUGo9A8!#l|>8-7}psRVb8& zibH_t?;h)nF~oyID7(48q&q#_FVoTFopfi2yE+}r|D6s`JeclW>qgU^ThrX1)0}(L zTzr@A(KPqXH0R%G?(=ER?X3)-V>-G2PILBg@#Bue-1pO*CPzk?sVr$ z_mOmGvb!wZnUUtMOLu0cxtr3-$Z;Cd4Tp?9P#)m+bOw2F7_ejA*NJ8w;?7KS2D+1+ zf2mEKk9VUK^kABE1?pc?^e!YGG6d`20>yM7ruT70v_ZO8+%pxZC7_$nlmTOeK^foXWON8N@f@Lo;0|jG|hQTNix}V zW}16h{elx(G>F%%BI*+IC9>3Pv zlq*Yi_U=2AoHgzF{6Ty7lSxipdl$98v?t|l86xAU z4EO2lo$oW;N3VCvI-EqYA9rx8u6KUvAZ+j0#l7_g=doS7HeT<%wTt`d^-g)F`|kD5 zW0@{6OEOuzI`ay)s|vZ#-r&3+av!_Fnb*;M;09+|M`B*?IGoi_baMZ=!CBR*YyAyQ zeJA&W8=NOQyKex~*#)M$GiyKUESx^ga{qm!)0pKxc%$>$uI}A8I-l-JOvA3r)5z-C z-Q8OzJ8$jYwc$qRx82>3Zgif@c3-*CS)0x0kFwpjZ*;!Rc2WC#HYuZfh^^1;;XXXs z`DqXLzR6B(PqFp0J>BJ#o!|EqTkr1T{yf=vvP;*`Cp+(SasM;fnU&*K0h8kb^K1@l zt8zrc*Sfk-OmRN!>dv0xEa>LWoZ>v+jhJ=a#MXc9<$gQGd1bGzA5L)^_HtjF;yjh> zz6eaN3(SUG)_$5RoW9uGeds1<>)vkpP0pM9xYKTOzSxJD#(myRr>&dzbJyME{IFl& zWj8rb@6YGT{l%L2{_a;dIS(J;esq)b+yN3D8xL@sZgRdnK&-j5yL{$&-Md!Z?35npF1guRb)Y-8dxyK5P<(T^Ah#WELgwWo+*k28t3EuU>v9yg^>rUb z@k(F!b`%>7^i^N??|^>m>yo6bpES6ypE%;her_F*xAb>EMsa_CamBa&-4aZPWk-rD z9z4>05zw+DyZ#f!Cr7#upqO`*dk2w6xkSEvl#u-AC{g>pquuX;tUcPTK~XWl-GHKM zfFR!)Ag*|Bpu22Zn)CL+u8*MDHqgBr#q-Cww-I@aOJvP4Lh{Wq!t?uM-LHV0mhWyv zu_@nOkK+4$L6#h6BI?UQ?wye|r)f~%CRDyKSfKTT#m>gT?$fAyD(pUr;+3$3)t0bZ z1?Y#c*g5wE_gz5CP7vtz6WsZ?qCZdQdIyR-PIP}q-5V#mpQG4ppzlv~zXMb{#3jj{ zL!`ljLxlA^L)@i6emg{%MNV>m1T^=guAiZ(I>~(t#jPj1FB5sPOXQO$3(2!5U(CU= z@Dz8(ZE4P`Q>6Jvr?|@iHJsu;f@0ZF_kI*_3>D<&p|{G^@xw588?ez+yMBdY$*Jx} z6pg34>xn$gCG!5$gygZ)M8v%X?w!-oJq03SeS!M|ppOdNMJOIQ-JOSG#p!~4^>icR zlQZ1XvNY$HGrE3<%7@Q%KSuHCneKm3{BovCP4#mSG-G8BYZloaBj$E8h*Tyb%=OQtAP5xP7rSeKZM?Ye(0*(8;Z zk8$4zcJ&xh=lwD6Y-B~>jp=$TiU-EJji}o^){Ue1VXRB!)Juirj!R|WeR!$+PauE4 zRGQyE&iw$;ljGdADC)<#D^Qe<7v!DekD@W3j(2CEXdLfuMctCi+BUDeOhRshJNzo=jmj$I_CaQRf^#6?5e6rzlrNJW}%-n4c_W-A_ zfrEKS+cjkmwZOY$S9c^b%_}AKFR{7Z?zm5Jh!|Cpp zU7Yd9zP$^Ray-7s*6*ctncK;ko9>opI!~v&f9&E^%JUoP9f6be@;zbpi6Z!*xqS&J(WtO{TM4o?mf^pV``dEaW`a+Px>_ zERpBct%)ydn`r-G`4jg>F6}c^Ne=HSG9BB?&y5n&RyNn`AVLD6#Uce-G+|N ztH{?nI`7DHP5W~}zc$0Yy_556hTGK9iFDv|d54v0sQ85;_f#k2-o5Z$dF$bC#y@8T%8U*OS++y6@@7(9S*U zwN~63{iBt0PuhuiZb)cUf2G&5RLvegP?NS7&{PVR)|C z#r>qK^TI9#cz!(7{i&<7ER)XbH41v=lafW zRX69?&Xo3JmivA;r*v15_U`U(T{maT?uDp$J=^`go3kXgf2{b=75}BeKPvpQ zmTeHe{IB6fQzri9`G=G5IJ5QaBT@7JKmEVqfeGU;zVwnyF1+T#(bpYzNbjDbCrofI zyzuHVmyW-tXw20Yj=lP#E5=+n{>rg`bA<4+Lwf%|?7a_QlvkDae-oyV7TVG_ZD||Z zRA@_E+9n}DXj7Sx1V{-aCgG25+F{5HWZKD0oSFQgqNNsFR8;gu7d7spM#UNxTUN26 zqNOe>uBhlzH?F9xi@Uh8ySU4{vgG$U_ugmbnaP9&xFjXQKp!qC!|1T_z-4r1J2bou&1k!F94dPyC}FRvpSN%%t%DYE|d z{t#LT`OVQ-qNaz^QdH^6 zU@RVrMnpFhS%1GPrGfspsrTD@mDWakB8mG|O>=`oHYGZPG0kWYV`>Smiv{EKu}pvc zr#6k~$T?!I!9=a;lwfRCC=!VFslNV&(f(E$8R=BDA=(ow?T$s)W1mXtAuOHQ*U{;Z z`4Y1_qFvo|TCB7$l;|w2z5DLcnuQIeFEl_kc*1dy;j}JfA?VrA;zc+JLtwv?gM^e-Hcq2bg_mgvTBrE0zQ+8^iCu@ zlCsjO%sdlVz8}krldm7z%mF9&Z1SnRMLs1twrqf$WnSeXyB@hNSNpQp+0-FID-$`& z%A6iAU-2I`v?)fueX3l|#Y@P)=c~8O-NZOr&9up<8pvJ7Gek^0l=vQg?1Qpma7)Rf zhnX&(N4%9EDmC|oC$-C_FL}3-SB&4jH_tPou`Yk2G~ONT2(1e-VFS@%JaR{(6mCan zsXw-!nTLBxZ0OdVHWQA}rMENL`xj|#>yYlRiLLh;`p!FLJ+PuV_}_RU*6WWUuYLa5 zW#C&)HLKfRcmMsp{%}t)y{M!!QzPQdjB^uz*4Iz%mP>WR;=xwomKOG`TZb>pNEsDZ zYWKUmY8fuKuQY~F4Rr-e*ZD)?o>=hy%T#IU%1|uP;}5^SCm7qH6M}(KdbRYnK6Nr|^g5hX~_HN=(6VZ-nxU|=L``ZHd z%1;)ZPiJk20i;zFsbxQ~O{ufLsZsqm)+qUvye)){H`Hj}4$Ip`SPD=672|GFQ6v}%Zq#uO0Nlrk}N1OL&4%@;Obo)0VGpu2Dmlo&w!%>1oh= zq07tq`Wx9~F7(Is+%7L?M$2x~G9=E9q~i%c%QO8|Ucp@H?q**Qj|xNL^}UHD{z!nO z-o(vb!DLWAdl{RmRF37d`}$>55sQYkfW+&2@hX>m86drHVRLUg@OwL^={t zz3mX*vS6gAArb77y36>M&!JwC4GVh`iD<;BJ3Ns|ZepyQ-oLn%MYlD<-0NU-0^;^TKjmgBVnw<8Fk^eb+VfdED46YgE2#!{L{;|`Czw{TN{>x ziD`pQsK8#W{U!U+XpmPbEjdWZG;A(ygc`cKdJ<+*i0O6X)#r(+YYN& zPSu5;)g!rRVSfOgR{W1*{i02Vo(CMKJ8UA2nTn;gX*8a zCy(RMCqbBei_!1=5^W)VR``ESdxmKT*#1e%{u%MNp^MC!FH+wh(N^Mvq!kmEfEi#G zsHVM@5`sFgnX(!Q+dw-wLVAEO3=*K5`fMcJ47N&~^zDQLU=R#}JzyU=AR%=NI1SDM&$E<69{b663Uo0jLZ^gq2ABmZK{coYji3#*gMfgs3=<~6Mz9$)AER!B z+rf!_)Q!CNg01vVKV==Ee+Eb!1Vi8eygh{bKq>SA!b9K)7zW3|NpKqMME5MA=Q-*N z+Q@eh1VAzI5-`UD z4uKBj{up`eFui8fF{Q-3$?*q_N;1vT8yb{70U>2wZ)u0YEf;P|& z0w4@FQtyO>U^CbX_I-_d&=;q-sC^!u^1LyA$ z{$tu_(0iV`u-`p>6!}+?0XojS+Xrtm$o4lZ{^zsw12{88|NJyv?gZ(x-cFzGrcPjx zKDZG7REcgi$n;Njk|%XOO#R&csZr#a|80bggzbdwgaHr+39u13{wW~&fD7?Y3FJ3| z3-wQ%(Q*9K9(>9{=Erd${%I>|9_Idb!U1rA`96jCAHzRwlzBv6o55D#_@_b286-Xg z#6JxY-vjN3kREPmMtQ({92{|FjdCH2;L&5#aVu!^Dq+ zli)Ns3o`wa=N;+(X$tW=d`2TEhHfJ)5g8DMP5{S0&4O16w!+&E-2SN=ex`q_gXi{7 zjl{?EPi@GEf13I{c8t9bL$|{_LwE!Ppu->mHqj?V%(EfFQo@;F2lQ0Jtbd$64!wXp zm)=%@d`=C5KLwliPoWJSw zw=rY>$=!g=zve$@4F?zJpP7Fb*U$5>pSjGx0oIF)^Dlk=4RC2JbM7d6rh`ECzz){@ zO^P0*?~0kLMPT3yhJVI}j=Y3J$en;c*54+|+VMs9IAA-nnSVP--w1!kOY8x_A9Ma^ zJKs0rYyP|LpEvP+&(8k2lJi$12mtr~xeb}^U;5+jpL0Fm57Bp<8LR&e`)B8Tf4=?m zsPjGbA8-FWg+1;cbN{@R{u*olyoa*>nEU6yu;y>!XitU4bFhm|3x$_GH`8z`T9(-JYJHR?{6d84xvpcW#oieZXX}PifIceAb z)x0KH1uoP-Rmyq}vfZEk-?sj5rX9}u|6jTPzqtOV?$7>jUjJntkNUgOoUixp=bjAw zG3VFC`)Pb0`+@PEpZ(u>KV8gOaJ>7ii|6an_tSE|R?N9GoUKp%7o4w4DQo*Xxu1W9 zH5oYbd+Q)|0|(gqyPuB_AUg=0=i@`rdqC#;xewkD_psA^PSQiE{<--60As~o?&A9c*c<1T zi|-F$51dv0Uw?n#c6`9J$MHd*PJe%(x-0wp1L8{xz=>0Q*!KfUm0J3PF8WU`z3I0F zYT5UUjL_4+Yv_aMwtpu@_gT6c{^AcBei(Z0$5ZrTOCNy$yKfu5(05ziHt3gj8h(}N z+I;px|N5Ze_d~aT#?YsrCl{yaYh3hAF8W`tOUbEk#eiAw~bnMgPZ*DgNap zDSFy#QgqeLDf&~lr0Df@tn{ta^XXet^m|{Mq6em@=zTL%bnzW2`o5Vd`gbn+(z{ap z8}3fgGx#AIr`)=GQuK@Wrs(*6Df(SyDf%PjDSB5$iat0yMVHP^(GSc^(ebJjJvcu_ z|Kk1>eRXw;ZhA09&-SM1H`S)-Z!b#GuP#o}A6t^5pJ+(YtACQBA9K;S{UF7^+tP;^ z7oVk1LjS?qiO?Ugb|UmtYbQeAZEZv7e{j*4++^|>{kp+EP!RJjjWn-Tu|YEt}Xto;c82iAUs{)M$4p`W+*BXr!_kI<7{_HdPp zzGaQcKY`54&l~zM^z}bX(W@=J1OByNH~b~gfB3MWcR_#ifT1@+KQ@%2qn6$TfB3V8 zFZ8U>8G1AH_g(a`i~fy^{#O^hJhTabS%P*$r-&wj7{$(FGe4($m^nU1< z?AjspUs$>lI=;im3%$ujzsu4C@L&15k=X;?a4D}-@{w>3AhHlwu=m7Lf zHXotCYw3N^*Vuj-g1*J-mQsg-YmDwR=w7=f3Vp-1DgId({h?_oe!{MOBL7M2--PC) z>8eyICG#ZLXzW4SN|C83I34ha_DgF!Aj|u<7 z){hDOJ?qDWzU$tUyvO=0;m@`HO6XgxzY_ZO)?W$TI47n1g7sa(|ND6<{)5(E34gWq zS3-a0ft1X*tnU*34c1=?{rrNI%zt=O^gl05(ch>`(XU&SqWi7yl6-2c?-Kg5hLp^H zpP^^Lf9bju{q5^h^p9;ni_9-!`C82+A z^B4M3YbQd_uxp&q9j{Vm()LO*2tR_Gtvc_;Kr+gCzAYWqj%?^^p8y2JL5(2H&V z9H;GkvxE|#Aau}7I4b4?UWa* zuZzFL#oy`TA9Uq^#6`EcvL+ZCR)3+cQ>P=qXl4=p!x}uZ!R2 z;#ax&oi6?%7k$9eXUTJoElcQ4E`F)ymz?B_q+T?(F%$ZbrB`DcKig^e+n|4G_iuI3 zzx$Bk3+?@|p-ZrpPLCU}j z=SJQs5;a#8y{&NJ<(CxpU-k+zHIt2umn6@N=SEm2Q!+hTqz(}^R{kZV2@)GdMlK^v z=D&!{Um0I-248E;Ql8R#L~1p@-u5}%MZ5LdbJIKV#*q=W5vlUpa?@)LkBqP#P3gTp zxAt|1MnouA3q|fB9;K}AjJz|s8@vUCIgN$rt+}J88mLe5?Vr1kALeIc2yve>@ z`^7Av{x4HYz zpWAq~QQnPiy_M(JD@9iNaSeJAw_Y=S{RY!LRpBXsh_`aUB!J+*FRRPoHjFJu<@8V`~1k=2l*FV2b>z9G5%zaC4?K{HyA?tdwUmnX%uab4(LVS^9L4s1Q2ebQ;hFPqJdRi4y(rm*#87(R1p0obq^Nl-AC!9NQWA zPv{;-H|_i?cLhFE@K|Hx^wBizF#U|4+q^zRnfciLwvPPHX?r=R-Q@1uRk@928|T-2 z{EwUq^J(8K^z!kOMf9hPNz(u1c#b)Vt>&W_D9>zPZvEMwXZt*qm(ME)w2@4e4h90dn7+S?>*VqG41>5 z=dsQl&VAL)l_MiTv5PpU=KM!M-S;{nXyqXK(L#`>^&g^m6T|?dbbutd_X?X*GViE;qm2hTWw<_sY#) zhaMam;T5ygJbP1adZ)0{eC{6(U{Be`bG&}y6*T?4Wg6u^2JKn>%hbGXe8%(agnk}m zf6IB4J??Xt1o`B1hhBnSwtL(0>hH{lx*JADwoCmxUG z`!wg7Y5Qs9M_-jW$bz+ub;W{YN9P4NxefcUcDSUn0e>O$a2c`-14iG9k0aC+gjzC zPZ{~>{oH-&`4m26G8!)*r=Ob1=S8W{0#`m_m!S)9+g|cIBzdiH<@FSKZON@pP9N>3 zzdtYegkAYaopzdh#`DRmZ_hr*$LkZP{Hkk4Mm{R#za^vm_vKdp4)*H0Ea%Ld<6X{1 z^s@P;Tb*X!{>)XrJl}jWwTT&T?5cRq zbvtcH?%merwk{Rtx!*j@KI1~p{iRe*`ePQhF8j=6J=v@EnQk6;4QfO;pSvOtdfCoI z0Gv%qtBO^yB*YjhS4a<7;N^X92 zC;C^q>%BC$yNtc;!SdOoZ@ewPJ^E3ex&CMN=z}xt9{snje#&EyuBd;ub#Od;+(r4> z?07u?=&WDI=8udF$vFRqtNt?1i3{Hgohcd_;gx&N^T$*BJjv^0=eLM&c#af&hytO{p0D(m>+A-zb-iawB)Az>bzU#{~}-cQ&f^RYi;K5ocuJ|@u5XK#NRJIKd=6nor!^aj{d z=QExO=2f=mK;zlZnLO=$N}lBnQ=T4k=bW75o!c7vGuxSGy!Mq+Uiy5@?Myc%&+*&8 zFypz_y4>bffP46S>RF9mKI1;b`>FYqw>?k2#ys^Z(Ocy9Q-$Uo?(xRG(vyAuHu#J6`!`c`kCRyWTl|mUSlflh3%E$kPrsPtNas&9Xf8hFJ&lc|Wu` z&+_uE=l(qFnQ!~1K(W=`}mDFugaJUvbWwEKR5CZOlZ#z*SvbzysJLp zJBv~;t0OD-BdbB(OXo%gCZKcWZ&PRdp}-#4s!>P&u90- z__lmLyEkKu=NjYHb2ZPa@@ZcYdim6IkTs*o-JdzW(?H9cOiUD zP|98&%T2Eey?pw!|EkP)wo>*h)fnr2CFh>H_BBbk{sws^{Tip4OXtO7a=c z9!hwQlTSYn^ZX+py{#9hS4taX9uL1J<1;l4+>f>8cHY>EZa!nQqa^cl*}3f>4|C^x zA?JUmjqTJ^d~?!g(Z*aq&sTj{es_6Oug>qC|1;RH)H_+%9KTCtfOl*1v71?x_kg>+ zzTC#5F3<9g-JPG!Ouat)*?PQrxc9pJ&YFW)=6A+9MZe_p4({PR^>*i}x8sWZ+E;>J z+ULsNlAFDR^X$(PJa^2eKM&@qw<}M*HF@@D=jHkJ=kUpMdHIQA&TO*QCH+fhZtHP1 zd*Xb2eWl8-r}vOlW32UfCTX&lo`(Kg2|d-W^;n+aEY1CS*Djvp+8A(Z@}>_wh{ZKU*Ef^UnjU&FTAJ z)5p2iU7itclDdD`Rd=zEM^bgqHLtRB=SCitygu*Bi}y6wrXIPEyYJgVd(!v2x%tN_ z=+#kna$XjCwc>dFx0}6`%#)fXzxXbIv%};JbFMa=(+y2+NuiV7`LHx7lcP=~E?^UjFzgDTw z7gD|P&RZqFJU8-)34E@px|Ia}vF5?`w}&-VF47?(zyB(E~fK-p|kF z^-Q&N@LbmQUHZ2g+)aA6edc)m@7USzKYnhciF`bjuKsV6dvSl7or!!KD5ktOi+r6+ z-n{R-%B>*so55P-Uw0|;Z7zAgzIVU=QrEq^)OQN^^WC4#++UdSl=NAoZzet4JQmwL z4c;y373gGo;g@tHrCxhU-}Z%bBfli{Tz@Ln2IlI7 z_Y@=>A^m$CnME(28~NKSk!^9wuG9VdtIJ&jB4vjQmHNXs$9_(@mGs|{p6%UA(H|oH z>X-9-PFTb{Tep)(vduYu=BkYKC7=6;y{u>X=&eRi&t=D-xSpd*^~dtFjz1g3Z@(2e z&(r^uHg<(?%x!-%3;q90`6bkUK+1owt9SQtJ&g>dlz+p@}$p_dO5zoKJ@STouxdyFPm+R8LLm7u~3vJ zdzfTBb9@HX&-v?Q`+k;7#NASI)S2d*HRfYy3q@_Yk~Y@Y-Fxs@D~&z3}$Bc!~N#Rs8oU zJ*R95>-fEuHDDvy1_r@Ca2Ol|r-A36`Arref3pbdKs$IGYy?}uPVfRa0FHq(;JSa| z_p-oTAb*PpSAumQ0iFfhzz*;+@Hy~BupfK}{16O-UxQQN3@AL!Z{UDwK>lVBR)IDf z4-@VH2fz_<98CQ^zdHlMU_00cj)STHN?Slb*ba7sqrmfT1*!~GgF4U-O9H@V%-k=R^1&6>1;Q0e(fCShH4uLaZ=2^xY z^n+dCFgOEd{Rd+Pwt_*h4;%$&LCLuS6#$#S5EuqCMraFY1Dn7QI0A}Qp=tzMz;18~ zOe-iDV{>*1)X3UI0Doqg{m5K zg6-fiI18#K7ODUk07t--NyvdP*Z~fLDMf{9CRhSG!4|L!90Dgn@uld1cCZl)fPLUN zI17p|D^zu$9}IyLVCrP*4wirb*aUWhBVg(j(!dfB00UqzI02?!PMtvl>;}W2_zGk} zKiCBhf|FqCm4&JjtN~lVesB^@nM!|xHjn_@!9n1;s!%n9?O+c$4vMd)?qCbp2Tpa~;uHi98=1e^gS*HLHC4~D=IP;@=*292N-Yy-Q% zUT_c$gQ98Zf-=w!wtyjU2%G}NH&8dw3ATdW;5ax7yf;!8kN{i2FgOK@ZYorzpb7-Q z7O)fS2S>nZP*Q?E=mcB9E^rW>1k+waAAwG=6%2vH;53+VGijg`Yz4c)5uk1%4J-j+ zunp`7C&1KF`Vq8)&0rTe0*Y>>4xkfk1N*^gF!Qyv3v2;9!Cr6}oB(P%^#j#l4cG{F zfc;FgOin-i{8~0gi%`p!g2T0&Bo#um>Ci zMKfs=SPeFTUEmNn4NC5$k3cur366l$yJ!>G40eNIP;@tWgKjVcPJyYj$QP^u+rY5I z?;#Ghf_>lwD7lw$2Ls?BQ1>z3APjbaV*n?kN=+yL9b9&i+#1vBQ7 z2Et$)*b9z<)1YV`bp&Og4y*=YunBAjyTCqh7#s&@L2(sx4%C5mkN{i3AlL_vfKy<~ zeA)n(fKIRl41s;%5Euq0!C5fne(C{cfojkQ)_@&g4>$ylfz!bA0QL!H0xwt%!eBEP z1P8$hpsJ}Wm;uT_9as&*U=!E@c7p>z{x0q`$m`h1U=XB|`pi=*ghE zPRHYmqOmT2qP3^HI~q#_14@+&zbzQ+3Pt=0+VXu>7Yqjz!P*$A9sY1@up=R5oK*FZ zL@<`gR@WEQ_lFYAv1msy9#@+S=%U(aSC>B$XbeSyHR_yj8vXG^eJmD@DRosL@nz9K zPdK;)p)ewhJ!ER~GqEMKYsP}u?!f}dO=@0h=)mJ?$LzLzZQ;!5~R_f~x=k-0o*aoHM zDW7kBFwy0wr()~lY8mlvpD!5c4aMjn)nwx9x}pL4v4dDVnDF_#yM2ib-EjVw@^u91 zE2SxhSg)Sc&q%C{oY7m5UYEx~wC7db2STG|i@#)9iZaXQSmF6QqF`a;@V)q2vhrF>rb z9$vn*b!|gmYofeds^d$<{Gmj=p|8Hx&p-8Zf?eH-4fT8(&nwf=ah!-r`E{atH&)-`lwj#)>2eQkww zx4QX4bn1-Gk1y5bRE45(A4LT#WeS_&Q-75$&9?amQ+yA%tz2GS=JR#*_xsm|ddth_ zL5KbExSmYf2z--44lKO_K4K2I1Y;Mybx+TC64!tasJJRl}C0WY`?VGqo(8 zOSM^oLd~tSec_g+<>h_-_}oyypNPgEZsZ1Gb zV?%C!Z6X#fFKdWMhc_gGUG-sI_g6;ARI)IL0R2-KvwgTM80ks%p|;VdGSh6| zz0=K??hwDnXh|0C3NT1AIwG+Yi_>0l$kAQSawp~CCz{=3*xc&FBR2Q8EM**JU>QsL z_=hRIL~w01`h=93GUY6GLl-6upLbLy+jhz>rzVkzxyI;j=D4;aNfTRerQMgAmUaA+ z1!b?tXwy{;j1I<=7Nk^p7TIL^GDhxhz{+QjmalAzG|0Xa&)UFd(b)RDEOMrF z;}BOg)N8x18Z9@+c&%ic>qBW%O{w`=bebYY%jx6{74={ion$*#g#wArczvjOsh-Dm zqhy&lIN`;k_{NUj=)I~9;+Hi>M^m4`4C$3q=)_aSs2N%G=ef!;!+}euiK&vAqoeia)n)|Ft{!nqwkUxS}NxyI*K3)3vdLgo%lvv48mA%i5qrINEZI;*B+_K(Wsxm! z33f#}k9UT`fqM1FzTFp$o^6 zV<)2JmSmHo&B@&-ElFF_sps-6I=Kaj`LTLn^Z!`Hy6i zZ;SaO@pVCc)@+NK;be3^l|{C~ExRZd?Mg~3^?VljIkFbW#fZI_cG+Y$(@`&s&SUnX zP(s_vS4XGKt&ix9gbcCzdX{wKo{jy#JUV?|9mW`o>gzuBO1AWkPKeUlD^FUM35_Tf0&tdEK7Q}J<2af%jrGJ-;U<%J<6ju$-ZK? zzA9pX^v=J$0%L0QuMLJX4`+NHCY$`6`gn)GJGd;udLIdOusXSq>bzH#r3@TBgijg&TKDbr&SY+`8RNs z(LJfuGuhIt;`>Xjo?bcZ?$@R*xz(oGr!V>rZ(dD&RV~-!*uc!!+H^U>$-RZ%?JD78Nd}x1>6m+V8C1#W(SZbTD9vMTvqMpYn%cxLeG}=uY+sBgYip%pF**YzX z)GPJq7;>|@5a`m+x6ECRbeCl``(s=_(yldQ=yIW9MfC$Q_H(0^{bT7m^@}I`k&YlW zWL}OTJIA?rUgQsj<)FZ|bBLQe^S@H-$IzQs+ZpV5Vqp(k_a^Hm9s0^og|q8a zlr=?0KV_qzyT+2YXWwSFw%lgxaTH(Kn_a$AhL2>mF?;&FWIpuAo3qPQ)rI2SejblW zK5rRA#$_VvsWD`nZgusi`d*o})8)}fQ(q()Fl%->=NrBIZSBNKQt)4nA!l4-3(wi2 z5hJVpsA15i_g2{a&3TeL4!wxU&8c&Nr*A%F4c(b8D~?=da^Sves4Q^6)}?{ceAZ z*41}PejCP?pI7UTWT^D2C(k2q_I$PBXgp}HwAqKeWo-E>Jz#Q5Pi=<9!pzn%$>Ukt zn0Zu`wFKYT!&Y$x-jgjX&0-kDUY{OYr@XZ@+P5l{=%nNt-csmg5fpEto*7f8QdIPL zW%HOab6Wf%9uzYO&yFd>jBV@m_aXG$m@@O^3YN{LE>3M3TaE|r+?44Om3sS_a-0qq z@$g@6AsFv>j4j8NPN>T%_?=_RF>F#r*|o_npU?|Ap@+47ZauGFTPPv7i>}??^NrZ6 zOqq0h6>~2yqoi#2OrIG~C(C`)3+K^cRC3uSs;`WlN5x#WL2`x7R?TUNK7TONxK%Pw zaODZ@SI5pH?acGF^T^o&pdV8g_Fo@c9s@|7bAG!_`kT9;)a7i_g<2P^PMt@_x?y#B zi{vAhycvGjjGW%AJ7<|I&MR9^bMQ1qSf3ZCo>zVj@>;kpYEF21?OEGq>(iPDnCna9 zg7k_vuO+D8W?}|(oKJ2(r$+0!Wc@ItQi1d7Sik!-KjpI}W6LQ|lAZUb>RUq1&GOv- zZ`|ptIH;}YPCl6Whg4ccc~d0$!cjxSy|du6^eMS{vO$QfkX@-#|D59MA^d$Ru7~SX z2X(_#

9Hl~BJ97@o{tn&6s}GN%`Ycwebo9-+(S#BW`)j{Y@4qc2bJoX4fZ!oz{< zN0;TZ@yD)5fN4)7mHJt_?0FxQ{XAWkwahGPvK{2b9R1AhSh~!po7Z2arE7n_s3#n5 z?Z7og>euQ#j;G1EpPq;n>8n=t>vWmsXq=&rMw~}{+7?fw$;@*e1CIId@Hgr5PM#@w za{X4}W-043 zzb+$vl|R<4-yz~)B6VGmp3d<~9vPS(kn2||!#hfbsU@?zE!3^wq_8xiYEg!)sc$mB zW?7bHFCemuGiABf*FC^2r)<66Eg4H@EhZrKL#ko4Ob?eEa>cEmBDAEZ&(`Bn7xVY& z+Y=Tc45Dqc%qT~?B148=sEb9r+jw=AdmeotNyTa3%F*)m{ahrOrvqX2aC$nAy6h9k zWnAvtz3U^RWLkKa*dOOIGbyt^Lnhn%9i166auAj`P?tpIB_iobrMl8(=J1wXv?Iiw zmpRR0nPZ)(iGDY+m-Gi74_^)(&x#xR#n_8CV{hK#d;k!$*;YxSco)tfFe zmwSiwiZOm0Gh`a0{=jk$FTFwgz!u-`TFBL#bCIV{-jX3F3rBF>DAQ0+Ws}p_^w##N zy51E{bM}pjtn{4IeK|dwv^vENt3TAGn*_^1+hJ`bh95w+5E@Sbz~F4$!6jDg|VJ^ zCx;vRP-V`Nx>}#lKBND5x(+WBMY~-OIg>@nn)JzZ*}3FTU-yIqJn$Q9&_11}lUkRb zPv`3~6NmblH2$2%XrH;E8cOFU&+DH{kR+J^t6_sz0k?LF8Wfsoa1)%nPKm!H2rABeGpJz zPLr9h-Jf-Q+LwMMJ)Qh(S&gF3GgnPB9O|2Ca%La0w~KuU*HoOB^ogPtJ#D_J+TxxNdaq=pC7bAa$3g7ADpc`Yd~S#MquaIdS|At8ZH#yKn9TgXL9tJ)Veh0uC(aoTy)) z6aV$SH=>(-q$Z|YDUWTLH)*dctD|Xh`UP`&$RU`X&yyNTj$A0%!=h|?)o*%q-Yj>imv-dI z8}&@x4q=D?kWG#OOUl`o{-l3C-RISV5i8sg5S7}=@kv&nGMXo%PMbG8Y}D}hqK z&*UH{l0#YLsGaMLmak-!sc7K!hj<5%ljM~dy_$VBn;fUI^xTyC>uhp!8sf4DMVcb) z@#S8jR}E*AV~HU@*Q+DaD@y%cHraVdiqmC6vDMP=eChi{zLmo+rW!5D0(Fud*CP$O{HFf>OQs(>IrdViwNFH;lf6AJd8Fwz{bUiZ5 zKb=j7rA1n)`^>rhFt?`aU$e?1ZL+W7P;|Hkq<|$$=o{A@vHV1?<}z z$-HTj+Wf4pW7Y?7WesurY{#7W-gX{Ye7y5KMhicCK3P^y-D&bdUbtC*Ch6_xk*_fF z#-4CJ?>LXFaea=V+et|~-!ryOMWxB#ecY&xGNI&&k>ga7E(sH!>ofmL|7{yPUs;mP z10=lVd&icu-bAi!ji-Fe78#Q<9x~yJ&6H}3TBq%}SM}65dPd&ybg901=6rhd8satK zKL3XF;Z;TN&~?Ppk~=5+U|Uwu3LaF)`J|AZiSlAy^4XFs9M8Q|>oVtLkr#daM7*J^ zt0y7CdNX6DR4W%N`h(fB;xxZo^z1_md525C5GXGxn)Rr~oo?Rqk?E^n?2~d^-RZJU z*=ZB+Ov$|cJyJ&Up}?q8-jSX@s+4!8r&m&n^HKT^p7csJ)Yfzv_YOvTaA!c@oi3NP z#_vg&5oeTTrQwI)KQ$$$ zKdUb<{k$tfezuu8j)HFEeebp9nQ@%g5$zJM&Wtke$v>2yKEJlBdpTEaT(KF6KX=m2 z`lk2(w9Q`A8K3c`3>iIGTtn-RBGJ`*v!%;Bq}F77FRwSa*F@}kw$J>puO7@>ib{PuGY@BZ<>SDz zt*Mu1DVkF1I~lTLxx?>{mdon?4rR*Gr*^{H!h6;CGG*9?M|*Ho3wyY7i?RRjRo~B$ z$vRmXpC4t&$s&>Q0*Q7KKTemcs7!9FGNtv^hWbgS{C{Fi`>Tw+g6Plx|sDveK*NVexOsmn&c%vps7wIdC3<7Rl!Gf zAF%H*Z#&3tL)O#@NxpeP>hOzFeEsmr;Ws4tCU0qlhDg=ZX+z1!wGNw=Wpxe4 zHJfQeeG?{4UqbYcGn}K?-6Sp?`0Vi&I@XvG{zhwP{)cMn&rp}#_xs|>Pd0U%(>+`;c zyWJn}C)*W~kZb`|OJ?V^&{*eN)Kt5oRZVqx zjZLlfzEus&>zY=H>}?LeY1y(Go|Z3M(bm?q+*jM!P`k9gj`uw?(iO;blFXA!# zQ1xNri~M2jG(IV`d~Jc#nbiNw@SK_Ku)hM2R|WLfI5@ml;c3%!80h{MUeZ&!_`gf? z#TTR!M&LW%Gs*otyw0HcG8KEGmwx5TqH{(#v{t_M%HIVI~> z%d0kmi+}&~dfwy2^EtcOkE7X19F+DNW%lA*FI;G??N$=oa zlfLyDuexL@zxVj6SHV=nKlG|w2seMg%l8HIIm{n<)ilE0-}CZ4!+dw~cfCq}zpRe< z6NEFq?^U-FDjOdCORtjOeLG0{AYque+{thGxzS75@F4QH!Cy`MM#2F?`4Y3zUwD=L zwqx6OOu1pg*~E7eihT74y=pq)OzJh6aMmkEu9)yT;%C2Q;%olImgj^!O?-0S=wF-m4h(wL9O8*jc-0ERS%lJ_cZq>G3o6(o@ju5|uup9lm2o>dC zC2{zozt85=PP~}-e&mFoKwru!B3<%tr+!ljr&+xLE4SB%jW+Bjy$HG8HhzG(Ku8+x&C(QhV{_RJuZ`b{B}`FsXB$!{B>@V(?C z;VdhE!16ut=ffX*-m4xW3==*`c-W5rRO0Q#1H=P_2MHw~g&z_5Vf3Y*8_D-B!ZWs< zBQ|VA&JVxwr)FG-zvfj7h_C*vv7-Qd(LXcfRgV!4z@JUHkx=aa_=mk}JK=u9TM5g) zXxdw6!@&by)eV0M`eG0L177vP0_^2ay{d=sF!?NpU-~)Ik6Q>|Bwqb_uR27y_0PQO z^MnEPrQFTT|Bn*R*kkhZ5(>Wrd+H_J{j%xzHGgCD)C*p9JN#niqx4G=q1eU17fk=0 zArw11L3jn>{y#T%f0X{ZoOrX1AGGl@;?nN$ely<1lq30`vFUr^dx@X0@g2lP{t*2k z_Hp`SCLH{>@i&_ZZ-L*q!>f)ERuf)Hxb^F19JWw@na?HETgG7~@y7@c5lXv<2qpg+ z2fb>DaLb=~)fWhh|H7-P2pb8XAlz%iq8ClSRoVVf#HId?#NSTnCA^HVAHL*YXTuqU zQqHW8nSQRLT{DTFrk>4&XMSe-)nof(iVY8uE^<3)zvS0XDEV~0WY(D(HuMk*f96L` z{ptuse(SfqDoR+k+whP6)YNw;q11B|;WWY`(q$Znh)X}0+W1Tx?tm}toJw5AIZP<| zHxf#@)jPdvF5%$EOgZ}rCH*Y@x`ps0p^VGmu(g+cCfxTmqko9@Oa5DIc*KUogeCBI zASdIujkuJ*hq%;tC-J)oH$T(X0X*N_g z-11Gct|bUXZ|^tEe4R;omk~b+zl^Yme8oPC$*+{K(uPxQK1aXgRS&?QY2#;qXz~j% zJ~IAwgf|m*B6kl%1c<+tu!?p``kDQv ze@blsbmNz0+}a5xeds0Q_e#E!%I8}6@+ax?_XId-$64Z3OaD3HOdB7xVaSHJ5ia?@ zS8XCZLA@R&-27cL?q0$=;w6ML2<1=uRsLq1K<_(+thRBfxBNNvlX)P2nc?Gxoy_mL zjCiSyi=UT2@w4*xH52G{LP)V^`E%-Xvx(|`-qt~5??-GXNpozv%s=_N(FAG@;n0i5 z4-6AZeUI4ixD8JdN_`FzUPc%=X6A92Q0lS!2WB61fKc*3WW#NY<5k3G{M^W$MgJP& z@;BQA+Af52`q^n$gH2y#!^IilJvQ!y3vJv9BR1}o=j7}3x9k(;&*=vz-RYkaldS!u zkeTU|ZMrk=r8e%A=Zw44PMLS|C;cIRPB~6kY}iS@Q=U`K)QogTFEbQ>CVvj!$;Z)` z@smF%blM*@Y`snid8ds#`cAu?e4Y8=LqGDWyWt-t6u*7O?i2b6 zpCUf(GiJYW=6U0{PapNF_rTxxs@V^1w&Cf&vV793NZ)M3{X4y?lX!TaS8XJ0B$R&H zK5XXIOyXi!1Ng~hgmuKF{?#_z4qxIWU-xQzUQH-=Sw(m=;UWC>9fZ3GWgebHUfOZ= zLtga=;f^8WA1goXRqrIe1^&H+dkMwg&m{B_uKA!n_e0+V6ZtI7uk52xQw6t%{DIQ=up44RIlz=4?S(H=M>Vd6Or-- zHbi`haGc-kA(O+Jl?d~}?*LzTr3NM;Vp0Rae)Tyg8kbtB{Z5omb*Udp#MdA8`PJV! zGBf_@}1k_zp$FvfC9ef02EnhvYR_HYJJJjP28Bk9_^1Em2`*i)niFknD{_?Gh z#yW!P6Qj~-ib?uTW>UN-YLlcRjFory73s78N;p0`)wez@Kl#OaS%xPn6USbvc^2K61_OC`?Ni82TO3F;9^^mTOi_}1}bJbdKdcJ<$k;=?e`xKPOi zjS3zehw5WnV&yos6Nb+!Ot3nW>?Mi#rWB}5I_DE3-U8$^1D4+zFy1A zeEkMvzn2*OAJr}OhkY`}arOHQuKXxKTs0%_^NAJejIP%i$;AHq2}(T(l1Z|z7pj|? z(^6&|(7m-xD6{+LH<3v_L2Vm6lB=(e7t!>vCOTt2e<0v{BDg`~J-&Leufm#E6zLpis+ZvYD^BMa36?IL%mioq~TAzM|5idfmwgHKvc&i`x}J4v4PuKL8tc_Th`LoxEp_V0Pz&GC zR!W+kt~wba+B(|DLH>?;{eUv1O!w))j=>ID7o z&}X2Voye8a>GiAm+=YH?t);$JO8;xP&7-)#PnT_OY9RBLR`mcjn-Wg4SHf;?SXQ&R zUWU_GThrLMu%>pYdbjpQ-TYeEdfz&{l={9fwD0NR^hffFl|VI`p1TWj3Ge>V!!bwF*WA{ko)PIRRs0HkUy5JX zK)B)g2G^;E}Z3@`ZSv z*VkLFUOc}*g(}80v*yrSLAx9`>iE5#aMtl_CqAkmsp@TjA9=Ul>?ASM6<5$|J(+HV z(9`Qa=@HFZ2vxhJrbV?wG&Z+l6(J~VE`5+bev_@GZAG*66pwLfLmK0TbcWPJ{VPa$ z$H$17ifn>%jQ3MQ%VhflgtpyFbq(}5U7xCgPpV+uT3gE-)JH^0-chYzF46sxT-(yn z*1!bgomAeGnx^wCh1?BR1@B2l8Yw!*6|%ZYQnQ7eF|~D(@9Nu&cvspP8qTUPS|2t z#bnX54!@-)yxnl7P4XqWy4OW`D_Tu>hsn5vy36^fNPD3P?|fNWP4g$m^xWLHR*`2v)p3MO-Zk}{ArV&Fa45l22c|_b(IUJ zmrSmWl`ZequagJW#E=yat0}Tokv+)et&BpGnvi8LGV$?AvU|BAxgR-HIPr-|e}%W# zeZf2E@?B1GH8E_nRg>#tWolxVje3ZdtBDaCEh1W>CPr;^3enkWVz-Ub-q>6<@r^c0 zLu2#Q#F&jvo4iN{td*ZFRukisq~g-J*5LZZl%LR26Q*x15Y8evJ(jagbL!#rTF!RO zse{vJIXem_*9SssV!w?K5RVhzVBs!Iee+? zo(rc>nY^4&ng-?jAMhf4pB-skHk+v_J@ex-`Uf4bwM)NCwF;*fQ+L@UPm%h_zF3?2 z6*Mum<+Z!!iBVk_cPo>d;i8G%m&!ibRQipVN=`E8#T8)weA%IP2C++JcV0N%qb8)X zPi8VPeyQxiCuG~QPWb$Zb=IE70AMP@_c;N9AD!dQw5I~Y(ioxb$gtJ`yuE~E-y+` zk^y`&V!|zaubLJO2U5ym8JTyZGtF;)1HPb3a{HBV^reAsU3ZTvh)6TuNw?8^Vq3Qs zWZW(lOeiP_Q~YLd6uDaqM&C6RTvbpY=>x!nGkk4B;k2bM&fa5JDs&y zk@#yD=lj-dQ%`-Bfw6DugVV>)>k-eQ#QkjEMYw?}o#OgVDiX76kY=2-~@ zRDst-?$k~CDAHF;{ncGdCGj-{pV#^x&wB~))D?V1WTX}9u6smgM!}D)%%2n9ZK_^4 zRcCw21jP%Un#XtDT&3%O$pjC)Tx)*bN~c#cy*jG#^B%UCa&og<%)9SqlR1}7rlp~+KpPfRg)glf7|$XHba)S51Vi~WBI@bK22Jo*^+wfne>4pU(?L=-RvcO z?A|zCJm6nVKYWAbEttJKGCWIcTy@?~Ul9Ddz= zUC&8TcFYTwnB<}&vtwS+VA7`$mmTwhhfI7b>UPJxV5wmh zlZDwaFK9HJX+^0W^MYk2;}SNd(iU29o15;A-X?kLb)&mVP*@8OfIa^CTNL>qv7 zZc={mU3l_!OT3b2`Dq`7q&hWC&#~jV)blR>y=;hoChLEsiiS$^)D+JPq+k9e{<-2G z>Gdg>e7Ruo18k!f@X*S0NrmUjoEN9Q`w9+am7c^^?5nQYh<_vU15_}c30HStt>cpW z)w3_v^gpjGP}dY}Gm*6KIH}^|B_@}~p?fgzuU>@6xT2TDEHy5aa$-Bu1T=YcN97A{wQ))dsRL5eU!d~a zq}pU@@%Tkr-Pf>7x)Ye1I=bJv>O8G%E7fGPs@bOx(Y4vfmcL!HKKLRh|2MVg>9_#n!k7?r`a^JTC;ErXw;{OeH} z>|?ney9Q}ZxQR9A4v^jl3z%DDX2ACYyPD9Ba%V;c*A|4jy2E4VP$|inyv)=I1%Hb6 zCz3g+>k4FsUoy{A@FkdElLRw|Y(kZ%;4sYLWWr=S@}Csbxj*~F)L%2AC-oHi<{FTk zn7c*oK~c+Maa7YzlR9*oMbB89HFa&S3&v2!T&*$>pA^qyGL*Hc){UX8O;vtVwz5OM zi&5@{wR@^Jmh&TW`*|wItBmn$+pQlXM{T=f=Xe_vrySS@+8nhpFB&69ZOmim*hg;9 zjLK14_u?^f)Yd(Aj^8G?ACJmWoBNV6a@4;7GMVMzJWr(- zy;YuXp_f|pR(U>6wr0^=mV;w<0uPTn{qXpw&NIPrX#x+AHvRDUXV5pn@o@qVk1_r5_$SiQ zSV-=Q;I|KtEdB8C0OQT*i^0*Cz{BH7KRo_vbrm=s2=JI`wI3ogyV8)5E`J3{I+m=e zV3=KLD2eV9ksBd$OG;4PQzz^jk>e3L#Y>2BQ_-pWMPvn1_O_|BR72vq_kh%c3(vtk zxSR(3xx~SP3)&&i!A+^*JQZ{2eh$z#J$THWiknk&@QlnH!!eMKM9}rk9GfWHv||{a zh@?FznT-=8Q(}~6({&)Z(k9iw04iN<){Mtl;SoRds6>p`i!)9_w#{3X;b01%xD3_V z-BL_Xypm$G9_*O-l~>5vo^dy2#7ju%MWaG)jtzEe8+}dNd~Rp&LXj@Ez}Y9g)u#n- z45O#kO{Jaf9%5+pnXL5RQK4Jz1A9b2%F-L>t=b#gS~^7ZQ)R zDd4)mfj- zWNbsPG7`~;WgA7yZLx9YRq8`onV2AH@y}_&-30eS; z)5h|8=pB7q%2mkw2*QXpq-<;@_LRy}C=FqSUz^Gz5&c|#m_!@wQ`xp=gRa|xhA)hhb5Q;);=0}PxJEYMuf7E9I@feSjsb5a7HB?E^NJhhX&_y)YuTLWOLHFya?(Ejr<%h|EW1k(Ur7MgzMzBI}WI zpiPyBqlt}_bE$hrFY}K=6SG6hQHC|7x3!JOa|w$kOxns@z+7R6{V#yr{f+ZJ2plW#&a-U7Enmi{@2pVGLeMGx5V9 zeU6B^H5iror0KS`Am#-&6K@Hh^RWniVz$MdYiSFdj^O$6G#bi-!F(LCb3cypD51}& z?pYglwHOCG&!qnZ^9Kp+ycoZ4ZP?Wk-LU6xhVc)3PN+P22@=lb?>@ zaSw9FOyuT?ley0Z@83M`wVOB-`FZ~QFInQh;Qp2PRhLUyBLUnTjco=w zw30l$+FRSP`w40_&86yl$LXO9x18Nn}PkkfCX5dSQEF9bZ1AY_oUaH0_Z zWW3EbLhORzFUcS$<3u6;Ir%sUI3q#GAm`*nA^xfPa|rlff{;N@&51(%Gjt6m(ftry zb76+&*>4BA9$mg$Bi+4EQyrGx2Vqpk`9E;y5w?#8X*E7=Tmq0K1Z^-z<%Hd0w=rr)j?p1HHBRakz zF#3|;00kkLAd247Pz=q;8JxRyx}32C+QXFFA#RMcmGHTbZn8Xl`s#YKv+1C= zkq&fwd&>Iabxrse7v$BFF3%^*OQ=a~tOkJpMCA2|y9Ghn-6VV;k&htqc`qS$tpodC zM81cV?`$ev@l)MTGyfEk(J_#N;M8c0@);SwMC4c`7uYQ1EaPMOEh5X2yr0d&y^Hc0 zmOmo01IdTmEOhqjW06sLI+8E9S(t^-XtlcOQF$wpAGKM?p~%M)jmp=M{E5xNER@ga zk`a|ZBYB;%UZH6eG^w$u+!QH0`cu{LsMW}d%H5D$5y--wL1$S%Di1(%Zy*Z|Tt0O- zj>_YZ=M0wp=27`LlK&aV;_9+xRDOnJdMbvlWRk%wK3zsf z<%Y7dWZ8QTYZ^KC-FYKXo|@qVh+iWQ_ByL8(4N>=Bh)AbDq-g}Rk5 zOG8xdf#g{>%X^LnjZwKDQWo0O*C=(Q#OvL9=gsb6w>S}99Q7U7WlwaIapX&s@+y$5 zBqAOx4F?7xx)2mSIzW-l6e<-AcRi0`@MECAavAtdU?O^+ADhR~0MRHUx7-QW5)ic5 z;qr0BMlTCM=HfNz^!_s*)~k_yuLQ{72;xmGc?Q9=$&tu?0wH+OgR;@>^3f~&LUIsk zMBIYRcUch{aHUU#&d&ngL*7rVfZ_6Cx{h*DR%5EgO>av$XiVZ@C-AqK!ARwDB%S40L~*?ZP6 zA|IEH7W6KJ5bAUC-^l;5E94$r^o_pY$K;YTF%Wc>M{c+a9R3l!vcHr8#EX8!0~3ho-l;}LM3DuXbd zK_{C8Sd{iEauQI*g!EapU?`-;e8@bbpIn6O2YC=NPToTmm*vl0j4R#UP*0wRoR`|% z!{s~cBliaWlF%zGdzxG673JKb)&TG_fy_8r)}!uN64Bv) zJIOku{%9Y|BpvVXP+fo@>8CIqY8x77#`sN;ThW9U`Yu)0TM67M!m8>WE*UZ2C)EI0 z-?xzY15X(G5TDUb_KU!=YpdeVoCr@21l?P&#rSD3QxY(33Nsz~D=dcYaWI&v2^if9 zdXfJKi@C{>Q{pQOtHvd{2H95;MAf6K+KeEiO;y$#$o`RqxSEv)A-aCEaxnBGxb-_y z;$=N_xNxw)IC&h6s)=LiBxeFuMMzUM?8|V7ACgNqYZ=6HTbUb)gz#J+ENXd2hvz^d=QFPq5?5?HPrn9xqovJ_ihNp35ke4AZP_F$L7Kb z48bd4=DI+vNJK0JV)9i$?1S7*7QwxaH;vKL{V3|@lmOs#vgMfoUEl)yFx65GobAWq z9cvU1*fX?9der=uI+>)J@`XxP6EHE} z&S}V+6cBBQ3k*ih54-T0LPPzG$s+o@56x!^lt6F$)!^3%Ol>>}Zs=%U*wVZh&zXwi z)+(nmvL{h(5RI3`;Tto_$2U?g>774WHOC=DV?7Nfn-pWSeF2?|plwFRR;Ad%56oBb z26hG@=Udp$azl)%(Q$sACz8&S@rpq1IJWq&0_YT9gLml%tm-Ojvc~cT%aDucu6}j1 zC)9VEM58n6MpPnrMQxv}Y*gpR=Bs#LzvK3GJiupq1Zqb;Qnt_4WA7Y4%_N-w@sCsM zd=$uMJT%nr)42WoxO^247>{Yc0+L?fXl-C~!(r}du`R6vcL%u4BQRRyVMIJsEDW%y zcnD|ga^Q~eu+X_zp$_$<@;KUPd?Nt2SNsL+RO{4tkoj{0=y?-b zV|wOe_|p?n?&PBIBc}+l6N1L`A%e!A6~sIH23{lY3)}*WA%6rR+Nkr99X{DcitaHCNgL`8UR>$@Hp$yS^`NEGO_J$wC$uvb zrlvDZGBOQ!4k2h8=q7m`HA&n^?a<{Hc6HGKmK|TG0ak9Ix?M7KgHWi~IF|!;tVQa^ z$;jbJklL85fO^0pbqi(WMxn^CX8I!tBGbJx>t@O%nEUXrV!zJUlN`mjxXFOXJ;`|%I83}y&k)e3M zu{G6v(DYdDIILnyNy z(zJq2py;+VG^Y7z#)i`PM>kEi5;XT&DjME=RO3RauruK1@EMT5STv1vKJ?C^=!F_R zwiri02-+Gn==sp&L(!%w%?Gm2qG|k7=#jFfyM@LS5uNB`n55&KvF3UZ++j)FIdGN_ zi&0OxRLHlH{WAw5@TaHE${<7+bb}Jie+asu_dAf9Af!!MwglO0EJUBQ@NirggtRM2 zAF>~9AwQ*A#byT~%M|1~WWU`)dSF9Smi>Z|#oq+dGz#rwnGS>f~F@qJ4he0@R91Qk{)SHl2xEUl4Rb zb!R(#7}f-b_~AH8ufyZO3e|FTt~!aI4d_BYJVr&uK2fImrkXY7PDXc-SnjJUp$r1m`6qFimVaze0X^O^PqW?N zXPazN{8VRv=8ABtikA7f*maYiCYNHdXk(X!=Ky@ug89`5w``3pYQZD(TV}L&<0(qf+x__LY$r8ty9ybFmDvw;?Us@|i#Ccv(#0(iy*ofV z!6t^W-U7yl!&wXGlf#};_P!viO>|h5qR~p+?SbI*YE&Epl!~kUWCdPQ0#yxY_Di5r zB@)qx{XF?9K7r(H5d1BHgoTReBYuuNj!vNXC*U6>P*gT8ZfU?C78g^VAR6z`u)s^f zQ6n#xH>(n26oOg^!5kX*R6smO1H?661waFV%mrwot?29{fzKogrY>CEZG$rd#*Y_#Vzcu|BPWBAKC~LwzY)CR+B|UM@T&mFVo!o8 zc`2~fgf}Ix?`~~}UxrV5E=6KeVgnzH+{bwm&6roy1fAdTp>h!CUPRXTyMcY);&}+; zE~bayz9>e(xBZ&u&S=H)h3%?y)ru)v1Gh2+r~R8*jal9aV+qb^G;+&oupDTa+!>9T z-VI~IY{uY|0le6P-Pw%6?}vdglQH;70N=1+cP3--hhbpMVhmnqPu%)~pbg;8VhsKy z3~U$HaX|O9aJ^V$vbH z75W$8htzt7)<;0h@pCvwie;RltODIWmQtSpG3Bp=q%^Dfzk%)sOQ~1$wJlw3P0jGr zks0nVHc7|xj9Qo7I9EA=eF*$7#9^<3u$Qpubd^oLxHyOhAgn`dcE2`BC#Zb^PxKS& zun0!bEk*C4>N_j&d~^|A&yUBIJ&KRlw6&^bLI;qCTl7C@nLrH?!z(TVDvn`(4qS=l zgm_K6CRqi7M=Z&0J`&ou0OyttllfmJw7g$tH?GAkio~&OO4ko z*KG7smjf)@gC5&(c`MdJ(M|m=3@J_$ch0eAw%*&iIOmWPX@ zE@vB#?TN#ig|jEnWnE2zCxG)5cP$8Fbf}6M<2~FnsQ3pfe{t9(BHm zj`xA{RWurVc5dG?-1pFq=bI}ZTOK5L+DnK@2)Y+Nhyy`Xjsoq4=fwHQaDGKH_EKwG zed5s$^kJ5PSIL`Sjpo0zynS7?k0KvMzEUAfA2!T=V2ZI8unB7mE%x+LOw#d23&#CS zI4gU&Y>z?qUOr%6VH;kQgtaku`t4`q`ELliQM$~h8>O0{}9ni{4q8p#+E|YBMK|cDtrFd_=*9k%ENmsXHjl-ZVJ9)wv7rsb- z+I;wVIzGC`0Q^^v0I(U|EeDC{iGENn#X4&jHRG%X?imlOT z9m(GSjLb3hDt1z9T!mZV$MG_kQV5p^%v6h`JtH1jB%-JKHO}McILubiLmBAtH|ho# z*$4E|#GvYyo`!@L_2dw=s51g2WgU3A8kt|sWj6zLpGA_j4f4!Tq+RVPf^Px--4f7} z5dr?4#0J_r;G&}wKs2g4sC^~Nf6B^5xVEBnxl+|_7nc(730{~dwK-I*cxLh=Heg%4hNjjjdVpCOkc`6Qc# zFA3@;_;oZ4JW1vBRtEV^_R2Gy>v;ot56~}I2A^XkJQ6qe#R7xc-me*afYG zq5len(%yx|PXTI%Me4l^BR@!j)EBUOfjYt>_2z|f&<6xcJ{f@qyhF7ry{3am(aZ-5*IvQf;4@a|QU$x14(W#D#M_1uwB5M1x^{~up-QSAt*yAsM}qYX%ghJ= zF7uGVGJ6-W-vsLymYGleUFKLQvwQmsE4XbFUPyqTi%ty2W1nkgWH?P=>R_sN&>R+^ z((?yXZ4*wVJKXg^-Wx#cS%lG}!_nGn=Tjhm4xsg1!szY8(YniynTz^IaE+j66h`kD ziVnLineG5*V;l^+zgkK=((2Zk9ZHGe2m5-(a4*nnEQ1}0HA7AkhCYwscc9mA_KIc4 zY0Z!q%HSUk=z&&TAPkIRKTGD0=(9&)D4r4^>!G)Z@yzE@&BB{1iiNjO8MgehPsw=C|Iz*J`^p-NF? z-4xFP>s6N7of4S&+`%$?Q^HqZ{lhZ5Qvx$z7|NV5CFCu@(g(pE4fP_IX|4^Y37Zm* z0L|$EDm^7I)%D?2dP=w-$marRJtZ*uhH$i=5`G0TYoWgwdP-pQKf=+v%T56jw|V%C zpr-^zuL?z{oDx=m?h;GsP6Ry%jTWVTmRuwl+*1@pnQXBeA1 zdrpaH{GAXApQV`W({M6x z0kd8^7C{KEGP>I^{@Y}DJtkHG+Ze#>uEY4BlHtu_=2U>M3J|z8#4PEtP@Ce2P*0$* zfZ_81OG3@87tWF}*=^f_r9Xme6RssaO`FM#&r0JWWWH1%%%Q@iaz?$CwuBe>Xn3mPG55dVh;@4oQSGg8wqPIe+T0sp{l+qT-Ne`CJ{l;gZh^b@ z;?xtt9SE4&ZGm48l+?_6$Aa;)FjlS~Jxs7ke;O#YsjYv5_Lneft}T~3J=2|4yb(Z3)2SP$o?4+p& zsqF_QO;4Om-VEZy!pQ9ms>#O=l$>W#CSMKWH^a!eez{eSldr6YQF<32d2UZ%Q&+qe zi+7pcheJRFU3j8JpZkvHf!zpW_h-5D$$d`sUK%yz9)ML7)b7sA%AE1j!tgXMsYhwb z!+<@@;=SR>M^X|_(q~8>2Epr=gbVHs>82!QVI(Q;{>Ayc)^XcqSpOmDGJ2;mJ{`*k zNskj5O@Ab)&$aa4k&KVNGMwIbDy8{e0N2Ns&pVd#@$DJLXBViNYRlz#^e=)gIZb3f zmi@z6{D(Z6X+Bu`EEBs$>S>4DNn;ojMn`UBR{(gc1=IPCPmQK9Fz#Dp@V^24j|H<^ zq${~O42;2(!CM`STfY&s0qAtcNPajI-J{hH&g%~Lj{^Yiv;^*)#sux51WpIJ6yVz| zK|%*%mhL1hUJv;Y48K^GgdV~yeW5G^>LT$&gxD7$Sr=jc<%8w7edH=|-(&d``UvwM zl7v63ll%a(%)fXFCv*}fJS>zjp_dear_OS@y@Y8_45bO}CM!U5iKTM82~+(wl*;sz zr-A&JMZ5ik(JMmHrlVvWiua5m=sI*e3ZqXAMVp>76Ueb!4&GpMj$m-a{Jb?bXU^`fQo6!X#jEj3+2bKc-^oc|)|(z?z0 zt_;-Vl>xqqT$63MlO<4*`+#JzC2}j0iLOpbWb5&AaICUCp3z`_=J|U+Jf<2y1II6x z$Fr8;ln|mdrCAYJtga8wi=H()&R4 ztR?eoXUOgt2$@%}v7@jFKuA`vtlwP&q4X;E0MPYXN>4#kxlDOa|C9+edn41fCl#muEdx zFLOOI04}d~KLybrmdsPkR0T6WHULJia(6oh`(FfYHcvet;}iWdCe-bj;JniEx^>GF z#QK5PUk2vV(3Qo<;QP^Xx+@Fj+#oflnN!Cfi$~ue=pwsI45k^Ln#Qa(mVn|oOXIFJ zm}aBYG^Tyr3yL+C#$9yq7`#b<#y?es+G+jcaMvG#E}&~Crrazgr7=?j80K3R*G$Z^ zMM@T9r3=BZ(z3W#VwSB^vKS-13x;nki)$nveMSaYLgs={OBEcCN1r2vSc-YK>7Un_ z>lo0SZK+&yG1ch)sf^8@1I@oJm1{Gm+P;4(W4O&v!1G@abOBw%@mMh?K$SSehT82Q zP#$Gz-4@2QJN8R!%yti0p0P}>*_dgkewmEbq9@}1M+99|*J{kPOTSFUXw_gj&@#D3 ztaB1BeIsZCcxOCDMBZA9AlDl{ zOK1iC!B&K~hczN5^(P{1AA3ItU$&&4Y0MPF_9^I(IhB31_GA7k#O??|1Nj8)w$_5| zR{t~zx!MZy%wz=d$hSv8kbhtgZA71d^C!#eSqkQ7%IW=3rm~T$;8`c*5CkD;A|s(_ zZ6$b3XeHS1vl2Yp7zri)NC;~|VgJNnAc{Ur$UH*+Pl3)sI{Jk_AVADxI# zQB8{O0Z=_{>8Lpyx?=}HXFS8#I}3;42-=QR4~Fi9Af4T}D^+Fzx0l7zw%uUQ3S#{` z1BE{c*mEqNHUBOY7Q^ch7`&9%o0;*c6NwJ(WTMH&t*+2;xp>SFsM{pHnX%|jKa5u8nK#{te#yZ zj*y6{$AfY>s;IiYzPeIHR#n&5m#XNx>IQt}th3{FiZ5P>FGN>ZQ6at|mXfmCcyWDM zbyZ_!VO=@mNU0)N2+v!J6nz~%>pa$|sJgZ!UfWm_pHbLQQSU}oRaeEu5l65bovW_C zu)eIAB8NhPi7czEso^;3E)3*Vai*U(_Ql@&A~%nR;4MA&uL`6$)fb5tg1dfGhQpmBJ{hizP7Atrbr`W z;CXEw^P0Nnm6d?vxFLsJ&ZRFaX)J80uWqcUX5$`l++l#4$Wl}rnf#_M70I#=Rp0C4 zHHEc>_0_e)Agbnw!&RClJARD%SzQA&oS=UcS5()<#fkb?ReZKMNq?^^+$%2r>ij6I z6DRARwQ)Q?qh74gKjV9s)r(W~kA|v}YH_NU5kF4TKT6{jHR5#rqXwp^7iYM?8tdZq zXpm=`xWbun9d(w8g5Wafc((psScKLn&e6Y!zp=O)Mz5-`6X&|IWmP5dy~TNMR9(EV zwzyQB@5U8ZS5_8QmDGs~+}N7h>f(4wLv6fHT0_t@t)KrVay42^He@U~E+(dg2|y*t)WP z;^NL=Z2g>?xVTHl&L~5_zT5ecE$(rCO%(S!zjDNV&aYf?zw;|kJmCDw7pt9Llf;A0 zugT&e=U0JvSpTXn7hmYF#f4}L72-?%v#_S7VvhJq|C$49f33e)Rf})*S3U6kNB^8r zT|2w5wnTiZe-{-Nmvh8-I>HR6-|OF%)wOZ)U;RV%u^;p=wCQ-g_@Dlz8+0Rg)E{*u zl&Y_$*7TE(sH#@Kf7ZXz2{9J@qJP!K>ucwTU-hrDnV34_;y05O4`lw`%UD^B5#|s5 z6CMAEv1))Sp~>h-{iC!R^?#K9f$jv2OU2RpH#Dme$LJrbj~}al6;)T1h~rEWx&x+; zHDJ=1GBAo>Kp+BQf&DYb(kyahey7YzmR|TyHF48}!iZ0gQ%c^Eni%ay6Sq+snP*Ys0e^|jPl}g6NmQKSXhVJETQT33OBkW?!{ke;%nj+6^+HE@#1oEm5Ia5Tpq74 zt*vgDSt_nJv2!p8S2kA0s~W`fI!+DpGiqsAdO^ogLvB=2FX|{XQw&i$@+Fh6aPP88 z8~w75rV-M{zG4|Log0c*4Mj;=<7~8|>e-Dm*ydj)CKSUKg(Yzjl2ngDv9Yweb|3L| zB90TeReY0}SXf(IScM5$4d?$!%z(LWFY#?+d{rD%|G)x`i#8J&PvLF*nvqDM5%$efk@k#_m6xY{Qi2HSf zS!I1aUPStq&4xErXCD@6au_YgvzM%o2Q$g7-o8?ZiS3Pe46s~6OSiPqB0Wb^qTEK_ zjfe*kMo=y(bo?0kBI4fm;?+abbmGqPYb5+)6Zui~Ix$;jUV--?A}Ge#2jCUCv?np@ z(W{B%B$D#_ikjmpmPLGVJRR`E3{*$&Wh52#erxZ+S;o5EcM%*__Z zT5DWcD2`7?SmeI}`@%xGOnGu-I<(o7c6 z-+fJ?F^iCUg-|swrT3CDNei%TmSmEw%>vm0iK^$8b+q=vTIupUWWSOiT)Q!%5tFf7 zrDrAxIX@AK9V3Bi|B$i=BBtyXiO$};bT(FuMQ6rp7_k@rPo=0v_?S~F z4{$~2#5y^72`6*c(dk9C4VZL`&WoMK8P4Gh9BCLzibc`ov43##ZJbTJ}xQFl}@MQQhdP zX}NFl@1gkJ$ttDg6FzP9x;c{6P8%a7es6*>q745en}AOpVshh6X`K!I)-Ws->VJ?LVzR6}u_4w^gZA=}c%37_a!7 zl{jN(Ihko!r8WK?H{1{-3MzA@l7Ef7159g3hg)wUt)IvL7@b=vt@G&(w<2vN^~w}S zh`Gd;gRJPM#2~XEYy^enG6Iv&pjX?9v>QOvFAH+UYj9;=RuVp-l@K$}9DyQyMe!&| zTKcv_@MQ*_zU9c>Gw{7so&@0O1iqV85vB6eDB@|5N+CQux463nZP7F|oO3~m)x_{F zxMGju8yg0EKV*XMz<&6$6(6@+E)a9=f}U@UY;wmDx?WDFsF5=7Np+N zhg%x|MYpc=H$|Suh9CdtdYHjm48=8rna2*~3ilA#LPo6>*dr@k*dGRf)&<*tR1cr5tC7<#?S}#{Bwi#s(6Hp>>(NUQ zlnSbe7vU4o0L(LR%!Ks|+>`&e;j z*Yaw1fJa|Qlc$2}LXS>$sB(I|Cgl@pAEoA%*os0j29i;o>?jTJJ@)j`O zMXbm0AYC?qLE5nJAPrX~`2+Anpmb0(fg^aHVx-*2OExo{9>b|H&KOSDHoP&s3ZMo8 z9!Z)GXbkU*hm7IS@pxjm3S6<1@onH^IQaZyxZ(N_ad~xMbZ(Pr{}5t51f_HAVn`d% z7~U86#_%gh*ID4$E5PH8;R}$^>m?3m4DX9OV>mZ}Rp7asxUMC!102Kq;&u%G9^hX* zWl-4u$8fZud1|C#74osp+8sgHvt~#=hFc1%P`MoNBZxwkVnAbfU!2BpdM7zk+za@_ zF2w-G@V+>Y;S zKSjb-ryIx^4gzNkk6!Q8KLD{Cg;eAd_swO4Njwe$m;ul zS+`**r#!UYR?F1i)H3z_A&C4#X0O7o0AU2>l(FIXuUgK=l5=E9S&!rcZ5CRWZ zW@J11O0k)omdTB2eO&RymTh0T_3dailuE4zM+yG=E5ZU?q#YnB1D!K8cVa&omZecr z%iCBIZCaC*7enZ^q$Bam*mn4DQk$i`2Pu!+)Los_`BJ`)ln-qxw-U{~K+69iB^?0G zjDb*y3MIEy$_;D^JE*`Ec>rWa#vviAoWJJL*MD(M^a#PEAZNusbrPv6#T$)h_-wI?5%UL}bjx+Yzo3$_~&M!a+=-m@Mf616L* zteCqw-%Ij-WO>SF+cC{1zAWEF!pAo8xL0iAEAmGqMDFkeybdwytgjg34=;hDugR^D zVVsvq&)WGoh2lk{h`xvJTJHL3P0~r2p`L;`c>usIL~t|;ATiV4yCKT=eh_A6HO8EQ z{O4N?iKDh6q8|of#z|wT7`qz6o(AYeKd_;L&csw>6H!_{`_#?OE$nf#Ky7+kxZLPY zyaW@$Q~N-w%TI!Ev!PLqd)#&85(T(5$$D-hA|g81wS zx{{F$(U%d!44^Ch3}{BJ^h%f?gZRmXJt_@gejmlr0G{Lr!}p}6yQ2w@JO4EZC-Ej3 z49}4GM}dCEGUQ2G2u1%0LV4vwep&JdWKO%=l-PLLF-p+j>1!o`wF-vq0!V>{;T(Zx z9)`&0l4A_+Imdg|4If6 zZ~sc>2vD4CX$H8G0gYYBJPi1A0SdcBz7@5c5qTf!S?_Qo`ij0%Y-U9Lr}l(p$?R^t zG!N(R)TGRL$eD6WXtcdQF=W|%lpOYevjWyvDM)i zf?~X^)Tt|^JP|2p+tks>gEzrorPSSoD+Hrbt1xAX=?LRQ+G*79CgK%FZi%eYSWRQ% zqJzNkq=$s!LlKl#!YlzDgZNH}&XVJiIk`og9(a_bi7`$_rY>lVLLJ%~_Y#(0J{)X$iN z%Zc>PsE*!vM|0;qn)xEd!(vafyB)a^z&op&{0189_-a2p&H3VuI}LgD`4Yo>)IwT4mwh?D6WWobu|j$zp>bgkl>T#D>B6!V35Z zRl)TX&Pv0BiA4<+GYf0W3aiA3U{Y~SZq6jJQDXGuya{6Cu;__mld$MKv1v$je!kc& zBzjV=*gP@10RF>Ughl6zEkmMn3&d6-(a?MA#OUmah#wgmpUqCeKHC@9Apb}0W1FgD&$+&HU7>>P{-M|OTuT0DngEQ3*=TnFz$Lp$R}t2qsh(7Q+z?EjBwO zWmaKLVHJD=#l(=r;!^mw!C$bputem9q?f~83B0)>N$^cBf&*S&NK$FMs1`20`5{R& zYvb{9F)1Xacup;x^uRbdB(WsES7B8l^-EC@l037awz8qTv`kD1O`Ju3)Ma9~ki_cR zvN?rfYDh{^ylN&~48`stY4wGbWffwNu#|X3!^{RTEhMSDu&S!Cq)@Q0y06Aje{icU zoP|&+9!!{&kX%<*Ra#ge`u<`gmAsA#|yt)F;AHb&IQmlfQT}EJJ-+5gd}M#8Y93mDN=9AzD z`;}GKpbb3}mP)>%wXkACtymM5zE@dcJ^3cXkk5vts}@hbZ_kA#*UhPfhpKo!ER9wp zMTKx-e<3WjzM;0f4DL8Dh9#nFqw%7|FNLL+!SxvK?I`lgVaYr!5crj_RAA}$i&w+a zW){Y&Grkss>xsZp9!g0Kuw%5aUu0#Th^7ccr4$BT9G70(L#ICU%z`0C=P z74gUEbNS-poXSNP-X@A>1LjbA0exs&+gyc+&Ee=dJqu!27>ypumJdWSzQ z-taX7Trz<_F0bH^E86+v$`$-^^{xD|@(upD5fuZ#P22FtErtBCY5{-TdK`b;b`^iz z{wROk@d1C_8F`&>cTM4syX*Pmp5^>;?U@$ek}cw`xW zJbDp-JoX@eJpK`XJR#mBz>_=h$5R#j@pKP=JaayOthtRpo_(G_p8J|Vo?ri8gnMCE z{&=wpANBEdWiNlm@`^ye@;BwXal z!iAj~7t!(3)FyiCEA2N8gA-#Bbu%ZO^f~mJIl1yn`Y7ncN5kBvp4R5Z9zOPC_|Buy zkfuzb^G=^$IzAw46r9}FtidJZx1mm?G$zyIRUfOJ<5rE8ja{O2n56S;HS~Wf*IHH8|7UF?eX1XcvKd>!W>ZZ&HvPzmN2R2f<9Mm8 zFou?&kWDpgC-dyq&Yngz?iToBzknxpvLEuSP111FAUTXScP{DZ6|+XBok(3OT~c(< z@{Z<~d5wLoy$jK~=e2frpCZILEtf~^W+#1u@{>9wVfPXuPO6=@R6a-3#y2#@3GcokHefvfEESF-(Yc$+x<^ zuD8HT&FyGvr-SRUt6`L#k#WRB#pE6;_J_TC#gsi7_o?g6DVKc)P? z&m%_c?u|d9az++Uhd--9JY5gs5gx?rs>Z$Y3=C7X)6e**>1gR|3=ESPRQ$2Jc2Vl7 z7}=Jzw{&9^R?`5=*4wh6rF$wqkzNxr^8L9ZPPh!d{Pib~uA!1lLk}Cob=ukueLR+j zSdUBg|5a-8I&JIhSYR(Isv1t7o6MiGiS#Ul_x~tGW16d8`c0;lUSoB^#yHIs2g+K!Sst)%6jx{;$v&R@{dOG#avvLB_;KODdBipg20_lRkS&}1NaM&N(6^e{Q; zkQF(*mN3&n%tWin7$vAoIvGTNA*7_M#xee`EXseNoZr_vuSHCoBsnK7M47|W zxKF=Ge%b=gKuc7Wf%wLgCVf54O&#;aw06!wi&uXJUFJhsQ(Dw2CFe>`fZ4^g!#M3g z**>=$j{0KSICcV%$5ZC9tMQL~0%G(NrlLhQXH{n2S>>gLv6@2z0Lt3KW6iY5s*o>J zR{96BH}H{V7<;;j5@s8(I5V+mR-F9JRf2>xNnHttv--3OkI^?(KApaw{mzee@%b?wg)l?^U;x*vY{>ACEjY&v{qwuu1n4Pva z3G6*xXo7E3ZA;qs1mW|0TielNp5qL=QU+F!TL*VCibK=N!$;O`+^?ZCx9fj1hPF>k zs?_b^(!R_3(3Z;TqpmS?kvq7|?{S%E!)2B7WulV3&rGx(3o=m+P#Z^w5Yr~AI{1e2 z@z#L9aVd01qr$LoHpoY;JMHVNY?a*GIZsTxdhEHdSFV>#-(UpNg*kdVF+}`*BxR!A zpyJ?6I(e~}_6ciFyF{zGL8C^mT!1z$Y4>Q+W}HI7dOMdO(^Wsg;*$23p_!J=?`{{< zKIO8|&eIA~b|e$^cA|;qscqyG&@xbbkltof1_%juV3v0U>NS+iNr9)a)QV>w*c zo1N`!<8cK!ze&Hnxw#f@nX>XNNFb`%iTEex$(Dme4SD_~A}6*Sgva6VYvp(saRNaJ zWuR@nqK3SFLZEHEKIp@K=+2Vf-&n&AJc(fM-JXHh~zmUp>IwZc9C$hTrO&cB_W|yAIXS!5=nMeEnq}AhiL-1>#bQSvbV>< zrTG=j4mL^O05;h)oFjW;Z)c-wS=fuAa$5zVG|rMdt7+#Njd|UmN7~O{86avnUPZ=HjBPy@Yf6-eKkc>{sr%I*PSR!J`iF< z?z_2?MzsyO^XBowe4(nl-NJN$_l)VjeYNmKl9}(Kpu`rNg@0lf?!ME)p{oD#3#iyq zrGzDz!BYP%PJ-nQY_Z4qvAP4#36^ieugL-NId^@J8*e8kL|#Hssl&g(`eIy ztHXh&1NW&8O!8#8!Kx0d&8IqWT@o7Af&2J%rTY@eX+%QboHCdWJUa=A>c9@kDVV2 zc8>3036uP!rg`&l<7-d6wpknv61mHV@P9=ZQA{etNPqZrGg3GYw zvtoM#9`IGO!Inz1$@)|b?^mrUU#gUQ3RG_r9ovUJIr%vSVv*cr2soSi;mn>euf?O~ zTe-LV2xB3FX5?+e{U|cZ{1O?krN1&yZf{!FNY`L6J1nCjcYtjPF|q-n*@;xQi~G^6 zfX{Kemo(EY=Dgmz9UPAbG-Laf$$2eUmUb=|RZII}ouqSuQ^+AO{%{1RsA5!OeHWjg zdSB4CX_1&2As@JmNE?cj8QBA{Ec%)eDKlTEM{!|B?z!J*h=@}f``}Vj-?NdMdJgQj zXES$z_pxT$a~^4*BM%&g?~CQx_;C@!2*OiS=lFJc1AgA+#mBzES0w%qHr%sEK80Ve zd5OBI@B1ql`4rfEftqw(DKqi|{%6tG*6UPvybaeg61yWU?{#;i9i!JB3qBEII>Lwt z0du_EaHUgDs-Bkm0Ix?`W%5G3+m{Ck4fUL+M_r2hcBqf3Sb zz*fYz?x*m}M9^&aD7FD~$G&)=JF;?fK(&bI*gk{pj<~yA*<>5fCF$iIOtf^w=N53D=(#og|x)=zP8>_t4PtgIQ^Z@}FV72$K8>4v6&J2$c)f|C!XcP&3X-BS@bm{@=x=1`X;J7F2_~dIHiSmNA3WsJCgQZ zciaxJoe)M4-sz50@w3#6j~$M$wmZ(julZi0+Z{<~?w4GKj0jyv%#0k1yjk>hNE?Q` zrD8(uE_8Ky=)9KZR=Qf-g)1t(qIOprg`xh?-i4h@dhl?|p2nV*E>Sx{#YcuN=g=V#iZ<8#`Ua?uyeBoa&G#K^sKQq%uiz z5#R#~_4?(xQYCX$*OnfQRGASWuYyQpA&&5H4XclybXzy-S~RNZ57P);Kj|A}Tm1ey zaDtdZU*S@J5v)?boBr_>ver-guS!{iTej+_tCW2UBe;2a^#waSb)V zb-vQ`!Sq7>j+8FGW0+L)L1}L@`ph;RD7z@#R8l7yX9w1*~? zbei2tmv+!s>Q1G7%jxU1EPOrqeH0~q2KL0If0_9bzSdLTL&@_Y{e&U-dRW7=cvw_H z3@VkLn*JD+Dm(~_!P3(=!B+?>+zUyiXQ*G1!tY4xnRLB2ZS+u4(pZbmkXd?8`cMBs zS3gBT!RvxZ!y_~IEG;zz;w)oj2o!PZdn4Hv0hu8XA7o3L=G>&Ydm?1{Jn+|u6F zyBwE?yReG+g)-*JJ4qvY%tpD*SlQUxg9i~cEpC~IjAU84|JOJRLKq>*2LN-^5yXFg z2(AYg5-jd)U@o$_Me-ob;-wEcdgZ_+1Z~X9lTRZ5^MqMLDq<{88xG844kk}yNEY4b z0WIYpK&VCJJ*D(CB#C3^x`aDiPv zLx6k4!9X49A@O7}WLW^kMCn@=I7vt1u%NC9OLE%ZF#1j)pY+h|_@caDc9yMZTn(es zEJ*<>v6&wegtrcBBEe=_7p+81&9tsn1dHUFVbGrLb~Kes+Rtn4LHnme7FI;aDZtJ^ zPzG1nadJL-TEqRkanenQ!D>-z!F>Q89RTOa|DZRQ{uU6-ehE0OTB-_uGf;PWNZf1o zKok-Wa*8_{+BW0h)YEm5LVoO_l(~2*FLsc_Hh|QTq%vAF8QiI*bh*v9LX1TiaWA(k zoqe<_p_dzLXsVWUS%a+mQTE3vJ70Rhlg~kl{v%4qXerdFREMH!NSDU}vBDK{`FhZ4 z7oEERxm)M9ENJb35$2*#r^`E#`F;yv39WPwc`4sRt_wmj9^^A*|Br=qkW$oLMQNTb z3`GEuE;s!Sw;v&>a==P*LufQrYs4mA*wVb1_Fv6{2BUOYj_mspge|3-)mV%&qEnWl zRg{)!a5iM9dK)F8k(J_stEZ*l7EM;+d#%M(LzU9u$;s;aYx9;$kMR>$Y8>GD1g!xuKwk}kLWuPF*mR5AKz=#N`VdeklR z=QhH`fARQf_KVR!!+%mTe&|QgJ3J_LHPy9r$xlfJCD}M^PnX|&V6Gyr+OhIyn#0e~ z;0bIte*PgfDOw<;%P~Jd6kD2yi%$6j4L7eU2-Qyel3qN24S+?+U4`895OnYNaH>gk z%8xPGH$0)`;SLY(fQ^`ssGFkw1A3MR7YC{N_$n{Ie=dHBhmMWqHN#Peo1}ai$QLcT zn-dyVN%;XHzwr{(NS&inug9Yhuy_cQFE6zO~IV)^#ZdW?@1TVMF+lGA0 zY+mlV!{y`D2KQEZ^W0HhUWB|?c=>T>FlTM1tQh~iyzO6Msr3Z%Jx6)fG=)2HX);)> zXmjj5Ape6*KUz|qaj7QNIhk^cpYSGV1Wh?yK1>tk;fgZ9+o*apiJyslRg{;fJ*dig zPtdG3^D@fZi;Rc3#21VJ@#%^<7aKC_4NQ9pa$V)pa?T4ht;x!yPa@m%F6lk%f%Gwx zRiDDqJR!eFwqM+=^w_%6XNlgp9mB+9533SRRS3R3z-0D|)mK_&`T9cVI*b}w5H zIoew@76;uRmbu8co0k_l>`C=ETI-O<`vv6VEx>`uw}A301=Q0LN+;^P{6vP?R3|4O z&sknRHD2n>#Y#Tst)RTOBHwDt>*zXC!l|ZoZ_PWg39ACZ#P1^GmjPmxd4=Ltd6_ut zSIqwiF0pzeQt3r1vwG{29x0|GYpKo7L#NJum6zQcDHb8;Qk#41YRoS>_l;g|JyM*5 ze3#q2dZf6H>TZUryZolEF6t;)j`xVqXxw@V`Cqjdtqo(;MWh}VgXxM<;y0Z7A?P9# z${FE@OUCoF0?>T5OcY6@_W-imqNz-#>Ihi+p(>aIRHNonV2<+RU<;PDxxakqDy-+< zk^3%-aJ$Pts6!5qa3d|~TGF!+9?n>qqK~}=z^4|(X3XOYqYdoVfD&mp2G5Gae#fI< z5FC3zGxCHeEm9&}46MkrzziVv^P_xLBz%K%DDY%jD;@*n*%s|ukp&;%7womDdx3ev zk2AV<_#v<&>-iOO|6vjLqY1{?D&yo7TB4t$VXYk)7<%LVtQ%{1T}Ol2j6YC*1Z_25 zI$HAKiu@5jIqgJsM>-bJb1a;Zy8W_RZ-gL?=^q5{Um;kZ{fVWaRkti%INEdQW*o(V z!4?QkzgLc#qzO#QN~A~C81BH8QHz1B4?!E?<^iCoj6;AqJ%CFv+D?A(fNG?fH;M91 zaDQR>xl;5>kDaE!3t}V0~7**#(4M-oQ&LWU5wZy!$7%qvk z;RIDPT9W~B(m@Dqh2?{we9=#C}X%$YbnK4(Jc_LCQOJsc5pS9tfeIEm}%3@ z)*BU-VmE|@BH2B-ZV**#DGk=E!_fdsI|Y)i4U@)oi`xTNlStq1y zUQ;x6*1VR|s_?|gGAbaQujFa5JrH?Hm{@xvvV&ZKElI=0Y7dZ|i<1I9el<2N!85oQ zp)t_1C|#}w(VLcx+kpMY9d-xVfJ5zu>oggiz8SKfruj4?a%WL|!-hz)6GFlOV0+70 zc?Vi^>9xux*^~L$1dq5Q2L)2EaUwQ2=;m}@txrX}!DxpNwL|Zb%{2C3z zApVvR{7B{E*zhuy3rh*yfyF+J7@2wN^9C^AP)XErB)3=3GT7U^vL2)lRYu9}>1*o3 zt6qA9++e5_TOnuzP(#6UnE1w4QTh>;8M5;DH}*JW6Y(&0n2)fi2KvPN%!yB)Sg&}Q>C1O~W`56CTt&0Pk}J{Cu%bp#Ay~q?bV zcwfI@Th44Ci$c(_56d0pmum{T5Rl~-<`(pBI@MmTh4bzP+{0xpMegb;^%;ctEMP3Y7#9Cq+7~rXGdW+YV zU)D`L7(xZRutrTOG&07y5crjr!!^!hbd`3z(Io5z>z-}i z1;e+N#kCEwG_)B_5?LJ6j9Cvi@ggKM4J%ZhTp`vLpseT-pl1^iJ< z;Tk4`2F=Au{T2;pYlS_O-eEDW5ttTU6N$^qp<`iK+t8kWpD{z2_?`aXaV|&WDH9A#M{-`MT*=>QY48i znng1r4}m<3>KIQ^<^SY2k{MC|-!X}JfGS7R|6VEvd2=k$`7$Cy;G~g7kc`OK=Lo(j zMUYF@^w*wckC^icJ&%}g5$$=zP`vesA+X~SLj>9*<~RDPJYt4^j<3oi=4M<2)E+V0 zyo|5PBZeL}p*>>6^NvT%KcSTNh}jWetw)UdmE0qyZeuCpmnn$xh*64bj~MczgG*5| zkC?e-eZd=0`r=sR_Qm7jzA`V6ReZiO1E2`;qPb#2DXv2p@fs2Oy=Vr?==ZPrFW7#y zjIMu8zl4dNH^sxHn1wLnM%K^uyy=%M%qQnea9!Z?S)ZK#`1zX(;s=z^9Q?n zuB5b$%zlhVGkz|M>-WJIR(32<{)9!rZFzo%3R0X`oprS%4zVH1GhYE8@mAF33j z*#YmWKE4v1E*FEf&oc7^4-&kqQt(o(t1}*T+grMP5^T<0<*a6c-&H@1?%jCla*NFq z8GRmEDcD?JExM&HUAB5`TsH;Siy3Dp<*Su~Ey0Vcub<=SI=FpJY{WTZKKVO+;EAT? zRgC@_(aMkO&lHVY8I|bCB)V}6V?$cb#ps_Atz5hYC7OzrL$tShv^3_bvCQZGH7HtA z8FMXs{U0cn)YsA>kC8 zcsEF`1KOoXxXqvFnAUSZdkk6LO_t3k?>YG`@(tbEXsMoyz_#U8kfwZ9ZiX!50@>Ug zZ^_+|x~I*-9Mr{?JnMkgj67XlzAy*06h>FBX}DtXD9zwEg63|ID#o_*`KG02q8Cpv z&kx{88|kwFcEanywXsxrt)=GCEK|V%$7$0*d3f{rv!!71yw_*~m&bu+g~tR{Tu--w zupCY-&w$}2Vo}Q`pATFL67BQGimX3Mij5I;6ZU(@_0Pd-)B(Q_aVRx>esd`(tdrc? zRG9O@aGBM_?@>1(nv;m;-=O%|RmSnPOTl7X>sXcTw!t+a1Z|Yz()Gd{00}G73W6>o zF;;Q?^HPy$&ple5$Qwa$n@i$)?xi3x_x$4?0;2gZD1LQmT%W!aG_JQF6Ya4r&J+-I zp?uzcDR?|TK-}tgB;aQdg<7I}qln)Ra3ExOekyog_j92yxgLTkcqTi(gIu^RMq?$2 z;1$mC9ZbRFdKDf3_#&dPh4XtArr@#uhF5~&dXEJqa{UccG59-3Hv~{ z!cXX|YkY2(1E7ZSH1BiZ{I})hB`pmp=roQ)=D;Y`Qem4hQtX1Di>~X#an&3E88$;) z>UQuPX1Uz4&T-;QLFl-5V(7dVR8Lqsu4LD}GX;_3#A)hy-LaVZ5&U)RczzC)4t30n ztbIXtke|?3$Bt9z0H|#puL9>Ime;Lg$6a(_luA>)mQrkh;ICsQQ;wtqAVVE5!^FAGbT=EYzgWA^Pq}UZf8-_`B=XV@a z2TW>O#u8AT7DDT59*)oIKm^$K@e-In4Y0e7#PMbwAhD|1op!>Ls1aOa=;44Vm7nWC zC{0^A6l`Y(7!xW^dB6^Uwx9En8r5L>1TXY|gxHLoy~1#t(X)i(9GgO_b(`g8Uka`{ zmXAw8|1j`6ZnORK4XhBV4EI9b6ILdl@%TJy|15EIq{LPmzl#*p5Ofn@t7)<9aj2Ya zQ;79^EBPX^>1M}*@&+hATBuBgG4or^ibfpl` z945r=d0Ypj%D_q|Z+)iyRq9= zbvVmrMYf#?*B^ugi*V1@6Cn2x<&*rUDuPZzgD)#`J(PGXOcAfMnD(LEmH$*ls^Fsy zPiB42U!YamBL}k{LV|7V$-mE)IfY7LPR?rEkS?!+h}Bk%9iMEY^f@`F5)Ij5dcY=>~ z3M$Y0nkq#;1fnNB_0R=e@9PvS#xI*mhU81J9)fo`l#C6aNU(j7g@zJj?nxwVQXpoIHPWGnoap zeJ!KyFiK0~0NyVn`XcjP0IusTANLSyb||&$8lHmBI*rrgVwv=75Q@p6#df{M`zNJx zYtCZu&9R(b!HqpxTkTIiFk+?ah2UHn!mAC?pgqm|r!*G*0%X5gLf4|M4|)p1pli9? zqGo|_Uj#i&&^Fmvz;#a_1iNG7Ye0OTB~P%i>$pBh3Ch?*r%16Gg4W&>0Asr@?StSp zR-X&j9?OhEcy@7}-BU69o!DKYKLFzAExBuS*AIT+PVSVFOpNcrdx!pB;{!}SuB80Scl3*O}2Pwg^ z{kb5&!3yx2qp>|nDb=p{YavBdz^@RHwYygePY5c2_#3p7{vi2HE$jp4#g^Tz1=oE) z6?>vfzFP_RfczONz-{}kXaC>?ICZea9%%mv$=bi`^FMeYstOJV|7jsIPzCPY0E449 zHSjMGe`(3x8gTCuNI~x0K|t@JljEo1{0Bjs#I6GUcMzmt^zIVinpA%I$AIxP%c>7p z-J1&rLTFBu*k}JW(0yqsJw?rNf_vY=AjmQ0GkyMa__`tl?O@kYrlY#IBn(6l+Mg0} zKIpIdf5d$UbREU{{m#?c*5f_d#u5e`z_d_Iv)l~?5VCBHo7}4;WLdVKt%f8wdJR3a z&}%{qHS|s()KEj{y%R!c22&l%|K4wAcXs#fKHK~cD~t}Su28# z6G|a;X0yWD&~Y1sA^b1M96Xfs(j25V+ZfiG+LXsg@YW}B>!Ofd3{*k+9^bX^m@{Cx zJD@<2Q^H|e+Pw_qB~-z*tFPP!kahL-0WUBsf!>vYI9-;3ymgl?pWHcbI=%-sS-w%~ zOdItFY%I{;p4Yhd5M8|5afa;Z=C&qh+T0)DS6+dgHLknyyVEe4_y*n_yPN-fw&M(F zu3K8)w4~8-|Gx9SvKygjppvM4)oggog)1Y5$L5OvHmU=Dhx`7StxzUj;I`^C1YBqX zH^=EgUf>SudIa2M16i70;7;lZ1iWMesi$7x&gvrstg?YJjy4I}MY)yA=?SM3npqH_ z-BoRcfL&}Lzdz*B?xyxa!2UMy^nlF%YBmBE*uXaffdkZX1RP@nKSB{vi`JgN8#?MF)lQP;@!JXv-1G*%?j?(}&ItRvTcyrCS#UH!N*{FxIA; z3cws6gcSC}YjF2~X#9nU&k9z@BJRn-_}x*;?%ZhnWr)u@SGOVVy}|e_J$GI-J~J=f z)rW}tX)yj-IEvP7jK*Jt_|y!wQI&EwgY!#x0XBNM^P};b5TDATst|WD}uhAZS|vPNCB1L zVmo&^Fjmv`TE9ByW$N{LKwLqT^d6Zv;pd@Ni_qTg&G(v=QY$MP|B79fG%QogQ*<1$52-vs1i!lbA4 zbSMF4I=l@>&;su6#V z#TZpcz!+6H2Jug`7^4aa7^4bzBL2e`V^kplV^rZ&#Q)x6j4C8xj4Et77SDgUuqq^A zj4Dh)`~xh;s6qn9sKW7x|3`~4s*r#&s&Fsj|HER8D(t;MzA7w`v#^aStODd03p1*a z05htv{WuI@;liqrfHA5t8}S!dj8TOIj8TPC5&r^&;<|BT)#TZpcz!+6H zAMvlW7^4aa7^4c$ApUC>V^kplV^kqkjaNT#MzxJ9Bw&mx3_<*TEyk!q0>-GqBE(;6 zF-8>8V0`BDOxlnPM=ikN`8P z@H@mGZ81g_5->&;4n+JzEyk!q0>-Gq6^MV6#TZpcz!+6{74bi`7^4aa7^4a$lQ8~+ z3#&o`#;8IC;*YZ!qY4QaqY6t9|4555s*r#&s&F;p-)1pJ6%sH;72ZVrk1fWif*Yd> z@?l0#70M; zF{+S&F{obDA^OselxEC+%MtAfBT$b*?2lbg1IOUVGjnyZ{^w z%xR<|^%Jmq_HB%s(yB3k4Pl08@2W*Ity83S!#qodU`NZ@FQ-xWhEV1GDN2X=!WI!K zML}U6@V*dmm>1v+ywn%CRLR_w2jn+&Y~ISt1A+J?hi;QaO46$5tS}S0B@&)>KLcgS zSGbJZ8K=~_?&Gu#m+>SP_bIONEZVx6A9-@zJ=D*L#e-bND~b9X_Ww^;&hBt!4|ZUR zBEtyy*mI?PTV`ZyyO~9G-$v@fGZN*4Bazm4w(zpa1ty`ZsvKrPJ%F_6RG7KL_aS*q zSaja};VOVuGZX({CSY*M{Ncy>$mks6&NDCwhVy|khxp%oV9Y$?Lf|@mtT&G+lQApv zN=qh!Z1p!Z;fz4j zjwQ+8#laS8*d#z|EzC4!f&CB%Tc%+r0CJXv4cE4?!2TBp%W2pHfIMYkrf(M*KO!Dh z1(fR|4f_s|qFH|4%%D$T{QgiLtV6?g1!Q*%Gd;Y(evX56YFHy62U?ivZ@F0j?i7TH@&y(cOV_y%ztwE5?W2a*!`IFi2 z0q}7UB$Le>#De@8=Z)tmXA3yLAY{fl6ASXEoyP(&IS7(zbNP;YUY`89^CJN`J_wSz z^O9Ie{sj8%0NfV@$pp82>^)DCKg<0I0AB?`GRwVTj3hhN-M21OK+=kdCr2hZ)0WYn8JJ zoX)I%S{DYIfov5(6D?4>yuv^;nmr7l6D?3Wy~026hb&OKy~02~0MJzyXu3a{9Zk?9GuC|ppm!|L z^nWs!76%N?llD9SufE_+HjM%n#zqxz3h)P54x@mDIE(_G0?>IDC{Nr{RS0Ml@KJ!C zvp}POg@8r@e*q{{?`PL2U?HGUz+nK5ut1}Lg@8r@4+Q8?3p5H?2xt`W8h~!MK%;<# zfJOn|2j~k6GzwSxtRZkGrC|Dun^EF;8cL>Ezl^S%;3c-U}$#sbl@(sSfhZ2 zu~7wl5%_m4hf%;n97X}VH{ksrT(}1;1T+db3ZTgrXcVvz&?w*$0G(`sMga=}jRM{U z&?6RT6tED`DBxEB{cM3o0Sf_*0`4>q^S^N695I7+5(LN z76KXtdw4CQNVuy^nwK%1uO(K3aIA89)>g7H40b=XcTaFfJR!NQNTh#qktU%9d3a}0Sf_* z0$vBuofc?%z(PQyfFA<%r3D%VECe(PxCK6AJcAxFWLkxDrS=>UAdOPvMZKlve<3pin0 zs;}cp}F&UTuD0-^y(*E+*YLEN=ZSf`i|*; zXZ=OAaZap;A`Srkf}n`&P^2?HposO#L|Ypq+{9!*2=>P*K^J4G5(%IDUr5L~R1>vr zn{xWYX$cwA&t1l{TDjh0nmMT_8950e&hW*c!bQS&{}&QWMm_`k555F1BRmIf#*Y*; zX1%He2c=CKlhc|t{XmTW;Iw9C?$8>{)4*mp{8GYt36g`ya=OqSfRLvsNNV2`bR(OJ zOF`?GrM4!?l4wD{cI6C#3uoz5YC-XOXQ_F#2ogOw6GASbpiq|BkIu-hf0pE7Vf5iA zNJ*n=f?4XT=HhdZ&WxVxFKQ5(pxx}hR1TLmUzY7rXZOIXNABtH`&i!x9XU%r>;4J2 z5_Ym__d4EcUwyrg9#x2zcKJ#$EiX8;a-WswK-PVSQ5aah16JFY%{-xF8Rly%+7~Qo zZfxnCvZ4*!H)dY+SSRK_;91A@|BjN|>egIKXJfmQl3(WaW>@(!x~0=e%iY*k>=X(8 z@_e?G@DZ&`<~B7t4`_CV8J{DxkjeNYbe%^AqUz3e=LlCzT-e&)>3J0grAefo;xn~n zaZBs67Uzf~QrwS%LjjiJ5nFoPZ_hscqaM_$(mQZS&EMqiuAU!ibJxqLhVtWeTqvDOrwxY4>K7O3Bf3yALWm?S6=I&$?&9r-X`{buZ;D6*TQ$ z%Uc~mU+=XZ5;piY8UN9D8i=#*o4maXgTAc$AKr3<*FF!+An=}DmV1N1`|Tj`0T#D> zux9$-@9_qK^pg%sGWkT(c)ac>8KN8s0SaAl+l z4Fb=H@0ET?<~oG0(;yIMwXAUzI1T)&XayF5!j;`2Nm!Rr;2LDeFmNtqEC7E?P{vvh z1J@*i-7t*C5FZ2BqAdhLl%r zri`Su9}KQZlpYO=DhxXW@4w)}nOf`7;2I>E;h<#d&yaEhC5c{p#kcmu!8M8EazCRK zC8(NB;ewf3r}5w#WO)NZ_GRiIT#odG+1}M3{`vi_?}G;b3qR}L3|t92+_ZZiZ?&(! zK0}ZF5iRXj;dzpE-=+s|)9!zH%ZZ7!`wed&mPZ7yX; z9{b5H!?Lap#jPH=kR{IKtGi0GkqxGLlW>d z?XL80v#$2Iv6L~o9gY~hO}o9k+pMcSHp9Rt?e0kLadNA#yWUUleds+`Zh6%?!zStp za~Cx>bRshtLE_uMt?eUQoLM`xAa>gQ8rQuBLYU%RZ^hfLIi*g+uXEtae!vB9iq&Br zkJELLQl0d@6_>yJam)u5CdfFXYX_&OhItro1oHGgSTXgH56L`_-;Q$_Wu#qlmVXY9 zO=k7G^Elj(gqd1AtL}>8nw?<1qUDC{H6=kI@=FDo~6pt~Gw0}T}omulHLSs_f6ca`hSL(!> zwU9M$j3&=}fHNzny?YiN-qEbT>+8&F>BX`tQXpt)T;A!-YNbbNn=*;iz>1!tmFqyN z2x1OY)X-VGshf_%FbA$|6H;WDgA66;3lpAU@|;0zIljs`v-Vb>0QJ3(G|gs+S-q>< zsxzx1U)u)grMo%?MqAP{haHWRK;X)@U@~B5jqVt+qNTn$*Wk>m%;OmDhXOB)w3Y*V zcn}Z!OHw<&z8N(jyZOU9J0KVXG|aiW9~6&9X!b>w_*CW2tdV)iDIW^1a5Rsw=P~#u zJe;4eXiU`TerrKEz$2^&*}^13q)v_?2;?UDQ%wwHV{>Bmc}nV2Vx>1CJ~`E3aJw-qu^jl|crMLC>VlcJ)A`+*n|;TdAg zduglvevc+5GZj?zF<_Z6-J=dd4yR!5h0W$?-9Nm zA{D7?BPeIjWy|-g?^r0kW!?DJd5w-ryBnj7f&ujV7m#W96I@Gvf%@S?xrmf@f20R* zv+j6YOL)Oh2*T2?j;F8N!bgBv;mAthQR*C=B2wJ-tHB6;=mg~)t?HJxb++%iY&lM0 zsBB##lZUIeaM86p3L7gL=;d@RQr-h*pfGg(Mja1^Q{l=g_P|g@&s%vMPFJqCm)B>W zS7W>E`S5^Syq@a1 zn$>_aB?6EUjUHqWqVHiL$0$B(Y)q%?FNy_{QZ zx5>cQ>2{8K2?^m~p|>Kc+cGp0NSFgxMmR^e60kz47I+@y2k4V_Oz6Q%os5ukZK(7% zCiGCHu0hD{HdH?JXhIKH>K_Ps!G_8-RbNhiBI`Qo&`rJ$@e8E9zYT>VFkh-W(z_8{ z+4qugeB1`-3UoUrg5>rV-8TZq={q$N(Z^Yw2p+$rc`nOWJ~tSUOSBFHnnkaU0rDh^ z){BF?og9&h5gq3yL)9rmiH89Frw`AtI-FTlNfXuScB)bzFoINvQA4zEDni=*86hRS z?SdX3)9oXdv@~F9xGtzoPPc2_?M`OwopBw`wzdy$GX4c2BPDz+t|hc6PEhkvGMjX} z#=Un3*ZK5Htg+xGt73RO`UlJg(y+iidyUO#Sm2(K&{1qw4I9z_>Gq;6fu9Xv?!}X* zaL*x_%q`;nE1-J)jD86BHzQ7OMLGDP-oUTV;L5&76qO;pTmn&kL2%boyeMXV5m>Lk zu?=ci$lCZDaB5EgD+&IY`~cJ1&|o&)7_1l?>8lWXIdF#u1+NV@=ky@1 zdtsKaS#wu}!RaBUD=3zq9`llOGD}J9H3|k_mr+T8WM#a*nQpG3-k<{M! zRSj3R6DuY|KDh*<%tdg{j}izt7@o)Z0hw}O3n^f%)w>n&>S0`-B+*bNqJSPoDMTIj zC8*nJI0OJr=VRR{a?XCF*dOp|KE;}}GMW@oPD#oc;5g6cK~gxsthFNCs$wWiQeFi7 zU7x~BN}`TNiNdP7HB@O=I9hm@%=3_;W`UueER7UYNTu>fL@(P2y95MR29uK1O_s-z`2YkfeG*R{64fe? zNpw?^v+J3dRD#omS4cPGNKA4%KybKE;w2|pJM*Z*`uQNJo*|u-bLL>=xS)RK(SM}IbK*7hNJtE5sQwwNp`zoae?1N;PeXH%*;`Q#HS|pUx}2#vgO!&d zpIib_Zb$Haew0AKKjHbhACNg0*h2a{V1S-UjMd^L;89zig-yV4WfwCs1++McN_6>Z zf)|6Pom7&qUq_X5{z|IbLGz$b<*87TMkggr^jebE^=ypV;QXZh3M!MdiJ+2yZ5-)I#P~R%?MiIEgf@>EA^Nohpu0p~tB26o!1S&^?(RCh zj2@?8jP;hWE_HVT+Q@9UvJx7g85$LV&}M|Ju%V^2_bm1#gj{GtDLk_Uqv$=)pf^UT z9awr6;kK0aB(N`Ays($btdfR`0d29tiKTv$+H=t&;mZ2+7o>gx<2e?}S*Ee|G9@L* zDxk&&kyrTx;fT;dqdOa$v9?5DhX8WC56dvakji(ku~Owjq%!$>8$f^0174#CZh3_? zq@t}2b3s+r{%a8}{(oTTcAj5XWQO?na5_v}QDe%W1Ivo?SSr9UZk<^cMH6#8D9*Dq zQu%9}qVgd@V}q7|l>Oge{?4+q^fQKiO{LaUy;K)hzwg_Z3oQYvRB% z9*?CB3`bfPnZ7qHn`%2Rul~yWk7(?yZ&=8TRaNI_RwwUqkiKY%nMKs*i+Y?M%h}Y} z*_g;Fmi6WrC}&4FlV!vCsIQ=r@#ugNjpWo#ET||#wg^N^EtwR5KXqS10tZ*MbMatY zcGaz5dB8H6WG(X*H`?Ynt&8c{i2APvL)Qzv=ANPX?wqL98fYd3W-@far+OAmq&2)h zxTaaYSvyJvY~we|NUDa6YvlY$C|y!cXMp2k%OmM3RnKVy9~Bwl89KUUUgPo!^MmDm zHMCN_29AGQ9#h`8=xK*Mg&4%CkE-gCx#}@X@qwZ$EP%WSTmRuqtgs*`BFvyfl)41-zi|Fj z@1cYiX}?cTLrV<@W*_3@Nsp{qTtu1$a{Q~>0a_6h$gj!A<<0vBy@>k@5PuDdv#5wT z4<^Fi2ISvXnEpU}oG@4g9emFfd@!laFGc;snI<4AvME)hRPpQenkOn=XqGyRtZD&n zB!M@ic;4?jBe3A7FNZtz^pY7ChqXTqi!tLX(v|{oXi%C(MWlH!5q3Qw zw_0KS)XSW+j~N%1_itO$v9M0(aMGB-a6o+t{7=4U-QxSH)d|nu;ccyLh6e9ScKNe% z2Emy^>ZkbC>NxpKedq@}cO8`F-X%;oi5c$4{<{ zPR8K9=47miP~U>5+htxxGVBO+$F^oxd>%Piocn-fqGhtZsQ&D7Z2HUxo+ph#3jJwi zBrU)z9omK9z20(jg3v257$EU^kLQjg`WujDF88x9#5U#q)H<>!6!bt8bRDEDiAb`g ztv}WqS4QUR!jU3!q*!hN-~C}ubV&Tl@Y*x+3)e#CT!9tLaHfmXWlZz3pV~XA<7jAV ztZzqCR=)#qgbxN}Q9|P%($UtGYv9SV;VPe8hlDUK>Uhvx=2OXJaE4Z$zLUA(UqkPx zcR~794Dqi`t)m9wZO3T1V3GMr1??UFyMS;Hh(}Cw>rxg%K+)BaU^*qh#>Y!Pb$miW z;`_-m-^kLx2dD>qq;s;ohPC#sqhwgB-twc^H(zZSoMhcAaZSs6rL^m!&P%8fS@(Qg zOL)n;eBF|EpGWtQb+==TpKzO&7g$+=q}|`qn<|}kH^Llz2`^cfGvaCYSPT@h?q6|D z%NvQbyO^>0K+n4O;99~<*5xc^+T9+bgRFZBV@$+tTBd}v0!h1<)0>am+!MQ6rW#H?If<%;6|}XrBD?AT=Z8dTDO}T2&AM=AHy4oNN2_Xu#~;j!m+Fc`8kx8KTg+2MN?C2gI+l}TUOGcU)d5x6P^B_(q%b5-3G{t>VTR0_bMrro|TsL65&^nrS55)a&MwVR2 zMYI>|nWbHx&T#rj22BwErCpkGJr=>#SFUsNlQ5e|DGGy}zec2yd6q9zuj!t>Fdykn{JzD? zdH@$)>6s63%l`d3=t0_j6~9V&n-!0&`(Mwa$0Ha#tJ#ZFTmO|R#9y;pQmXq6Py)Cz zdiyHWO8H~T2YM=0b>Ncvrmzli3?s8Q)j-#iVFxmZ8ijP}zyvN-9U8jk_#^OFSPq#Z zOdxaBV2SbWQOCGh57|b58DjztAw{% z@yNP+dmbeV5HIVp9D3{l`*@Stt5Q!RuuEsTgIn%&*nB>ha`M+41mFSPrE`EE*3pQ! zQSprAsb2Q##{Vsaos9e$&7eh*i6B>a7aTO}1d2n}5K0aIFSUoft|4MPEb znXZw$m+L!otu1v}u&!JuNAYCnqC3~53af|IQpQ4aUevrD!LR8k>6xX!-Hhj|VDTq_TTWs8s>&2c&k9#p= z*b^zb?;$MK;QFb}@T1{o>o95jd|7@t2w=Gndsw26(;ffhshskfRnU%01y zHiOBHP4g-FG9(NsUnt3VK?0F%BAM(PKzAD{Un4m>E-&CQc)sEXWXPI%2N!f1TvP-s zyRcuAr(t+wCA&<*FAs;^0@Dw!>=ULW^R1S}*PQXV95eNk20!I=U-L#gT}j2_`kKG#d;FpmuKQtCJ>$E+1ZQ676c)SEg^ z_0~)Ks0eo;?%x<+J**XhYyKGUEIi-!1GFMgji3lzI-)D*S0&n8|0gf>yHV_(w_*Js zoJqw0rG%WrMxrhT`!&7<{W4wFJt7|^NP<2TM`!BSX}6av*+WH9suxOpQ@FBHDO%aK z4GCM|*918r=l?>S?2M)qb*L3(mhXZ8wmU<$&eeACRo5f#t&G2|Buy{FW5A>Ee9jNZ zuH4ZhjG&yurlB5ykf(e>MnkwX z0mZ^zeZ4g#Dq0kU64f54q91(v;W5bQ(EH|qUva9-LJZ%rUKBP9sOZ zjK(n_qRDTe`mIDOG0~QHw%2phC!_L7j;IS{IXXSYO4RPDUV)*QtU5s$Q`yT5!8r13 zlegFiz$5I}^jv&@Zr^n$&7`DM$=!Hpim};Sai{@_sxSO@hbyaK9cPY4vNNM_o0-H* z4K8SBUgWWeIKxI>$WXm@<<}?P8%mK(T@UiRA_BJHO80dgs7T)a2d3hCyu6vo!M=*s zUN;|$;MZp)RNmjxaIO;NrWQk1yD!h_tF$&TEx)p6hiC=}pz5ioK>oZHpl7guJ^NsP zp8L1=;t&`(tp%u4zWvk0zv`(o$h;$@I;o>Td;-Z^Sj8acJHquK557qh0q=wSzd-?f zleiuN!tWa^?!zIFa3=Zst@C=Yn|IUFxnBg{%j3C!O-Ep;Wn}R^Xn!HKG;EYQU+Aw# zvilJIGN!y-U9zfLXtuSvL1E=j)y#CMb2OFCcu=%oKDat!aW(k3_|CTi2?ehhu# zOxL%9z1j90b(<;g*7o%rdY5ySHAGg03D9c1Ard>P%3(56>T0AR!y&8;W|0KWrmm;x zVID_SK%5@&mp04xyH|g)#^$8bDo=h#b{(ns#H0e{M>iclY9BoI!Z0CV$>(TyY zHyyPSJfXHE!!fnsLFIJ7=?)-I8*IX<1m-^!)StyZ9Uq~FpmRy#Fpxmy3vY}F&1WxZp5@n)_oiS zC7kQg9%=V*7|wXZDc659P3arQEd3shg}jj@}&aWZfCO<;W!KHt?2%-mJ@X;Ls6zXvC@T?y(w!Ue0a3{unn3 zV)=CzKQ?&8pFCwM?BwZrKy%hePo8qZb#ps;dYc3mdy}Wkgg1Hm&1a4?R*@d;>U)Hg zQJ~7ai)-d1TpXv0sp4!ym*#pb9G>UTjdHs5AjO0dtaeA@s^Q8$69#tH8Rk2~9OHq? zb|{8i?dUGd|n!yx`dn}i-r0;Xa0Hu*KBLSlvL zTe9_x<&(NWV`KT@Fi^R^g)2G+xi5uUV4FVxCE8;HB%ov&^cQo|h%s^5@_loiXa@R> z(Bl5rK8Z6z;W>KBxQgn!DKo39>PC;BRxx(;2q)^l@3?BLp$*4{U)`jt$rGkds;qKS zk-)@&(UlXNqDW-;Std6USUGi)(6Y(TH=<%ng;N|2s2+!dPs16T zQ9Vva-XIb%W%S6A*by3z4bM2gkv4(Zd_;2KDA1Ke0PwSb?vcRi@uQp``FT!KMUv`4IEuL#o0K<(?T|hghFe^JDbLM zT1cNrXvO%^&Tk@qtkcaRep5zOIGacO##K~86(>)aiji!#I6GU8V;ex@_P zDc=e!dWwrbJnA{jUo3ZuKfW4|Bs{Q+SNQktKqZkrRqvq1egs#>*gTC({6ACQ!!P}m z=P&bydh&3v;>m=?U*b{2_itDeEGG;y;AA|ICXo{NLF4;9sQq zS0U~%HohEbYxwy&P?H|;oA}#2t(@K9bP;AueBtN0BsTp`ntw9l*4g+AP(oh%zqj$h zZ{i<~xTogD7k-{xV)>gj|1F4nzm3l&7#=^5EwSl0@!v<>FY@9GKff$$`R8f=(r1*@ z7tZ8&2OOy9@$-|Smfys$MBE9H_@i+=Z^M*Ur_Vh52wC{?lT+UJNAoiCQL1ofQ-1 z+Ccb7H9rQxD;5;2=A$rdFD?zp8wSwy+`P_(=s<9m90ogZrh-k`U(REV$^w|-!0gwU zTd)w~95on#iU_Dwt%g}q+?${=CVAXqsU?~7iCO?!Lg2wKus1GM5AsNqje{5-4`U=5 z&}9Hz69i3Vjy4T~%7={Z7`ddWX~pEW#)jPdT;n{<3Cnt%cL4mzg8QihF;FkwJdm~W za^!79V6;}B_RQinf25qjxKvnVDp2m{<{`bh7Gx_>2j?NB zuFuayhALd(HvoFKg-f+f(4(&l^5CIb7x>2!D2o2~D1@xJ79$@e+JiNMMdxTHS+}qC z)*k)o!P~UUw%Ow`OiuJHzA#nyp{}%DivrEk`{8cBjD4yz!RNL74E zN}U4{7sHj2ll3YAf5zMwJfHLfY|FKGUgRs#{t@wW8<{n%tJ&~fnZk~FEd7Ghf+SS8 z1{3A#l-dTtyV)pG=w4^|S7|J0T9a_nZ>Tez3B&(lG&SayX zlE>-o0U4pk=>$Zb&FE5ZdQ4)Ta(4#hq^_b^ngq7x?ky&{>vl zL=r;V$tnI@FcE>{MEPt}hXHqNM81aIACNs1dO}}_^*V8NCr}Stsip(3rBU_wki^j) zRn2XkE4=Z*N?^XT5+$Ky8|PzO^-u_gr!>922le)^VJ9e@Q3HWZX>D_g9}c4ULJPhg zXbu1k5zxM^ot>@CPVpl_9JN6Qc+hhIyEp=!#Nn4y{AdtKeHDKX_$)v#TcFga&fhA98e18i2!T}U@RAa+5k8t4&)Wg zlK~tx-joZV*8+A&1nO0U&f?R=Qb*Vu$r~9+HPtBk{yE^^kV1D#e(g(&pUFcL%5gmm4z#nTl0(zs<@m4TP zGGmPX=hW7+;9KpKOgBcd^XK~<2hK~UL zWXoYPEb!0c;HIqa0OTPHGZ_}x7oiLX(kvNX36Od(Cc`_a3G?T7aDwIAfZQS4Q|4H9 z0&Gu0quI4k`BohH!LANwISQ6+e+1wvg5|-N5pZ9AVwK}W#ZUd2R1X%vfY`0xSI#bQCf8wPNb}Q#i$21=Bjr^B01gBbAkg zKJ|eM8 zOJ4MEEP&_!buL8sqmnSCu1Dm%$p0E%x2w!MeCdu)sR7sRR#!cVke6+!3}of|F+2y_ z>2{~9jzp;X3YTB}XlB`~Ib!Y}9x;bHA;MdE`w3-jkMs5cg z)mW4qp@c$cgV$aMa6{Uiq*c5v>7!14hckSK2Lx=me|HJV)Omi$K9A^JeF`6wt6hQcb7g)M&ec}nlw9$~ z+2`Mya_|56l)UvR&5y#Ar-Mi+dE=DuTK)_QuxHJRfBl4y2=TmGx8|!oKEX$X`1$9W zZ|GgLj62k7zLu?r73X41QS;Lr$cCCP&JKr0$29ABtd^FuqL&3G_r?*iBn5JaY&Gc+fZuc4HkFV7EFxnG5LYw z?*YSuWYOiJ_n6ek3$3+b$vGdBMg12&5}ao7o-#cDvqs)lt&vJj5tRgu1AP-RIcGC+_4^E;C4tjio?eQIZ?z7D(p)5Q z2ZQV=pU`VATdy0JN!(-LdEV#p5+{vj8xpQ+u6!wsl4 z!su+c3D$Phv@5QeMawAK@KzjaR?}gAyG0w0g(+6EL_STz&yHayRsE9AR-V}C)GSjR zX!5gTZe?q8TYY;YKVTsfz%_>rL&ZhC2RJoHO@hCCuCC@IX`9?VTJzr~`f}4?%_r@= zl@|Kxp}ggex|+|9<}J?#uK9v#9jN%gtNFdE`U3h7S9Ss-@W~|pI7*}zo{RhdT`dN6 zx;hvEC)+?WX0k6^-ZRui2)!W^W96$h#vj%F2z@pZgP#@l(ss6b7onfo7^fnISk1w` z?KS5@F(Tc&H|RT`8QE;5a&~~z*~$o1KC2dZKshz%s}YDZ(T`_FW{cEtmf6MmG6s7S z(&|uvj`4xcB6SbT_R<(grFZ1kjfj3n5Ymh-*EN5Rfygm0Si_^=%u0&gB54y zYp#ib4vkFEpAOWyLF7PY@VXe};E=?}fq6EF;~C5~H|A&1JA!%4;i%$YfXIC1Wl@*S zU?tEyVnljaews(^0o*8JC66AK%bsiQ&KE1EH;c>;U=GidDY$!LWC~COUI);fc>=eh z!29wA4l*ZHi_DJz``nj_1|X=1V#Ikck+{Vw7{PFUH9k%S)t@^Lk7%&C3CGaF5cC%r z&z-ARMa%_$xzC|bL}8be;RPSMs+c#=sd*+p{R0sYn2b=NIL0jG#ynAt%pZf z*F2lg{w1ycY5rre$iL%ctW@5UqM3*#`t;Es04EwADMa>5>Sp7UU*wnXp{{|C!>Q;;=Nro4UC*>ixBW6bsJjF)Ykx{l_6n&Ux&0aAh8t;rw98BpKgQHFX z%f)d_rHTin*6b6*vu4M;7mK$yCG}#jS=T> zMf?f%qxJ%(ia7n?H@M0;c|MS(rNpnfKw(kEnE)Q(gFW2DxFYaR6c$}P1EBK=)I$e-8f(p@7=hk~ z8e0lzPIA8OOTd5X3)h8U+oe95wr0v&QEEmh+MQS9(_e6b^6(lu=L2h|tra1@3);U9 z2mCqe5OAFl;jQFZVrcAH;GEl1hXHx4kA{-)5b>JXaap!VP7@={r*jPuIimE#gEfURevS|3`WUEx26>=-F9rOjFh%Ao-4J;QLCxIQq<3(&%u+{G z<2|51CWEIMFA~=fBY#lGvii2FNnGu`F{W>}fio$mIpnmeNi-9i;*g_Ta-CIku{X0C zpg9&OgNhc}vhCC~$04~nRNfx|dFC5Oo^A!&CPdgw5E zr`^5aG5l(b*=SS;4*W~IGrSNQ)){_SR4%}<25}@Vyi0hS6_2cVq}>sc*0UI>Z+T6- zS6UC=_ShJ`dA8uCCHmyybGHLYqQFRrQCRNOo z-D<|8dcx@OQ>rGxZa-VO(Ac7#5&t-a&)8yaTG5z3PStaIm~+t7A!oz>9E|*+{)Qi% zufTi+70#(yZKo{GWOMvQQ8uS6a8el`K2KngEPM`yD`UD<=2{Y((0?g)Dnc%`q1=4eeW}B~BN4jNx~2?c%q3rg-*GQ{PcQ;87d+IagZz%#{{;VyE_4WR%CFdMO%@QxcapB9F_RzfjCKz^ard zRFfO%aY@h>8rmPQJuP%s8fyl6ViI)Hm~t$aoElDzraK%|Ct121XgC_WlalCi8hSTi zk6I{uAP;&<612(WA23zq=YIhU*GN4z3A)JSAFw?w)NBUhbXx7{Nzi5uT@2VV3zdCa zF#qxO^93zV?S--EAv(Bfo~F0~@VhOAY|WDI!S9!IYA*xBnEYxVjJc`(a%dY=6SWfP z@5peM=$nAYwmP*}CWFVe0!;N%ySOQ55S$OLnA_ph>RGKkbsLC40NE7Oe1MwsfY-)m z+2BM`F9zlc;&kg6tolOVYHx^7D?2#($~C02y{I>Ud_O34N~><4H^mbS(cyqoBh+uY zpy9%q+zwW^!nc-FTQQ|PY)b2h)+KYBIP74xsF^?>5JcaIA#&}5@#r=l$c%A^6xNwQ zUE(7%59>C;Jp#241D;>Q-s%Y{vVQ^jCec!49$JJymMDC=mR!;`%ms#Oe|@PlQJ?vr@Y%CD)JF3MqO1 zACTQP@bhfYx3MYw5-+@!NBel))b4QZ<&+ zWeGz}-Wyv3;_m|Xkv#D;F@C7k>lX4JNR1N$;=c#f&4e?rUxL@l7T6f^&B0E37_cLV z59OY#N@}w*WX;b$@J+hjmH>F91U^4$#J3JW&Exf8us_Q2-n z8@u*J<0S3Z0eUClp?nKmcEiTP&5-Xuzhsy^7d*1U($OFZyRdLid~0Df-4 zMmXOM)atFg`NbA`Kd^Q8l(Q>bIOl@jA)&^BH^S=xTx`KcxXV`FF9Fx zwH9x3F8H03@kaO?0RG2ZMhiB=@8yGR zKmr(pM^Sdk`FX%zVeuyCf*+KOH^N^6@I4DQ!uRImY)ArlK~Q*a+N^NloC|(fGTsQE z3}BrF8{tDSXHqM#f?~8Cqh~#RgTVtAWT{3sV|!I?LY5Au(tVN(MJ+@LmA!Z^5Rj1$;;{c##Gl4B&AVET*(c_F>83W(~d* zz=thZjA;WtA{mUCh`gRs^A(q(2tWP3i+$4r?TZ?%-7Wm2JcvrMX z;Iys(PzY|V>yrRI!@^6ov3o`mIB4!tU(}PpK4^tk}HkU(eL zsF@h4?<7d~%v4FhpMkx>CcxmuOw}(MVmb!TP?ZF{5AYXx2@pf|!-NEQmZ~IRn@v;B zZg62e7fba&NhRQ!s*-?t0Jo7KR01<;s>D%{5&ZEp0O$k z_z>VPNf1ha5Ueaf(5yR}ZTcYpa0dMY((M>?^Q(mN^Y&&*@*99{B|emV?&qrgIvH=C z^Opj6odp}=VsCzzlyuKvmAtlu_>k#33*h;dz=#(DIh-j@JP3^VbAi0vqD}6__Ux4; zK4iSU3h;-Pz=#)uvH}D~{Ki|PoNeI3xfknG4tR=9e9(fN0q{IaV8jc-CQ0I9{~GaU z1Nmo*Hn|u3vriH_WWc@*@cWj)h!=v*3J@6a8)59Z6{mgw8WzXMv#JCkdIb zzfB^E+OX$aJl;O@-9^@1Z$(H68+qEjpSL>f3GX)TGAwJZjFEN;$-1903Iodz;Ew*f zE$X(yFm4uJxzruofyE9qhzH2`aoU}_-*0>veO$b+X6(A{RR1k82!bmkOg3-Qe;2hM z{AT+8dKt)u0At?&ajdkj+e`W@u1sj*K0BNe->`HpMr1PAaV&r*6Wl1IgVmv!m#f=1 z57NT(XasQwV*f3OIDi=#m4|3picfh0#7&m!Qvg;4LHFW4MqNWJXc<8KdZ*e7N!kI< zr0o#dI#G8#Ks+DJ&yBZ$pbb%r)Fc9=gfdHU%LN2qNgXW4uM*y3$%|YM@jTqhan&QI zj<0mmqqe~GMHhVJP!>Yq=wN)FLT*uL(^_-$ob*0L!w{v%1(?aoruQz|de@ZRLxX!R z{$Ul$9vc6**+XOEazTo0O~dcgAV1ZU`(N;52N0!tat924>?%LF@dZDI%MWgS!4Hwq zTTwiIsmiS}2>@5NHzM(@E-zpXJQw-_nJNT3+C~SA1&DQC(ad?}SWT{r0KPgxAm96m zq9h$pBIYZ8Y_koepKL=(mS;DkY_JVV5zb_zpKL}c0Q9${R06br5Xdbl1%Up>ltTbI z-UsSs_gG-bS?F72Q;W`joF>ZF7BKR=8ApQP43cOq5)#tm%OuRQ0>wG=EQFD2Y;lFXBLCuU^OG|kT%Mm&7lY>tp9`hN_4&!*&?0@USMLD*sn3ux8RP2pWSYPd_LSOc zC(M7rnc`u2BOP4Bo=o5`WY=k!2l$dOMP{YeC4LtznaAHVq-*LraNOeapo470*TR$0 z-i92}=l=lrg~e_s-+R;8*jD9>X4R$C_B-PxFq~;pLD8{rBU^*#0l3(LTNPK}C#Tyk z!%wM80K1k@{dC}{5IYF=_8(qx{gnM~;hR8y;G;1v;jX7bnQjaomHC@p@U9TfeL;;@%;H4JaqIUrlC^dg8!1F-8O{5){aSimRe%|8> zZ75GVZfb47W&#>mMQS4yb&vrj44=(umztY)2O+qGw^_MOySK>w7<%hlUeoRz>p^&& zS%ZV4oOERohb%o#fyJ1`kIi@YOq$AwN#p(YOd1{6nlzO~3n0>%G?hi$fXJ9Ml|?(s z4>4&fiw4OLF=-5EZ$Qf$Twg10AQ34(nAqrzQiH}rpr>m4)vj)=_05x(NyM{Ew=!8H^CF7a*Wv$ zD>7iR-~iQ60s6_8DhbX|Sv-R!UVhYA8Bm^bhQk^0##o8Rh7FY_pgJtl7%K5d&q$er zJf_r@K;7&kbx|85B_1vYipIVN+~;{%F)HG*#yH7&rpYz~u=EK|XIM%sWSSIa!SI{| zt8(m=)<#J?sE&@%1&xw;x;%p<7a-Q=$de#_BaYaZBSIY2E-^)N9PMu~Fy;IPPPYM_ z&7dJt0G^kWY2aB9;R>1{v0R?{krU(NJiz}Np$HE7#rTNlF`Mjj$kf|l_$17N(TkWJ zvDk>ovBe;~`hhcL5|l3{M=VE-xiKFc?UpBKZp2bpQ-c;Q@^KZwcUpos(P}fiATwfU z6lB2wnq~0`@IP9P@5u3Aau^dsIP$xnfO$bkN(~&Ga(0I^9e^Q!buiQJBY&+zx^V!z3sP8UM%PeRCpb%HlhKONN9~AqB#xqGw91fr_Q7 z^Hc?|2XIU^y6w<|5hz0wG0wtdW;Dupu<{>he!Fnu%KTg**@lW7i z@;N*cAr@(d=o;5;Sjy=Rr*j>WnAakFk*u1O+840VgzCq!F%3jy9@NOxz=Hug$^wm9 z5S!MZNpLeDeb09vY;ZqQ{8xA87&VT0f8|8XXm6yk$ z89)V44FE0*g2fDo7wee-0=y8Q%Pi0{0b+AG$RGYc2gF-M>GF|Bm^b{7h2{8jTr*aQVvHW!iPlQJe?CwLC^12PK{?2Y|L$nbh_VC>JFd4vBB66Jtg5mwl`^{7*pH@&BHvJ2;bPGyYFN`3AtAKsbH-8&e)F$UGC^b|CK~dWF=HF#+O*25f*P?0*DG{m#p&wgIR#o(+(Yf~XNN z6cl@rMkl}+0SVx+70?dY3JWz>Kmyb=1CBvHQ|fxa?((4_Gavykc7O)|7r<}xz+wj^ zfQ=#0pAi7n7XTcG#eSo#8|+k?)jbfo5`L?L`$Vj4iy86gWA1My^@HP9s1z*WHC z7vTtw#`{8p(RtCxk2W6fJwy}o^*Jb3hiNcA5py6O9I*#>-6!Sj4QI+Fm;$i};z?o* zf@L5%+7bl~f_M&V5j1Iw;4Yw_unezK8F@i|#3Cq6gJCes<7W``sPOZ%iX{Igi7^R; zB&I(K*aXC+)F_aQCz0+Cj7<=q2G1yHqEYaaz<&?uIW`^i%!ucSi?g%3<5X05nbv^rZgplXTG3Da7ps(MV5HVm!= z$-NPxV2z7m5TD1eW$*<^zV(SvI${~bBSWSEwPokZlrs#@l#a=Xm-zW%1Yi3ko^=opHA8g`+-?NMzi>L| zo_Qd^{MO+MWeug&T;OuV>ZW1rg9NORs*x80b(uvP10g=SK?~spVBR54MsOJpzdQ>e z9&Am79#tu)H=N0+d1H`}*szVTKVTCH^@apuBP2kBMglcK9S`KGL9`eN324ts5afeE zJ#LYnl@Om(YbN{vNEeihDI|Hid1gX9HqTBN7J*~T&oKd3q{($Uf=hUtb$Oe1kCOWq zdh1(W@hy7mL3qGUkX27IC;q*>9_V7$NZGYf!5EK;kf(8#q#V7|;sOgZ+REDFdOW;OBWZ^tS+GT*`IYz{*mM{$^De3MJv3`hLKx zmvDKLMEym+-czDE$_rA+>GFFJwCR2+XG=Jfg>|CHIXjVJ4&V!Y3U7xBcU!DYQskg) zNy_EmxYp-EiL3*KNy7R%CjS zvPX7kgvcBx52l4 z5sChG68b7g-tp6gByn36GRq-ypa~Qp!p1)mZ9N9BzTr&a&~!;u!6Y`(Wl2^Wn3nl$ zzlO*p>n@Ny;uEbwqm$AV*6S=3ZWB0N_8F<@M7>U;3~Tr72%S^KxgqGQ>cwv(P)E^o z_LB9d-xnQ(^6n+eProlZg7-Xu9dSWaR_xNL#ZN-kbCh=u zq9{iuSAx$6^(g{>@FSRcl~TRdFTZ~k)A=G&Z96XI^oJ|EjK8$mU8+|Z=EFRTU68dv zEy_dk>}jouV}h#aojfhbbAh_VM?z1;P|p_^ep#pf3CKG>EW^UpCi+f#HC>+md+)zg zdVI?11E)(*BV|y~Pp_KGLwW`(UstJ7K-R>d{YfFNbIGF!S(oZ0;4ics*0}7aSK8&l zJsJtL)oN>m>}o@=hXMsc_d&?S zaA@XF;V2CV?zB-(Kxl>+Bv35XyaZZXSKfZ9%K^Ua*AU3d9`!z;Ut2gcpp&SNN6xPn z?`Y(+5L0bcjrH$vrd0(oVeOq;-@sGznRzu0fEt3N#Xx(-M#;;lZz&u~&`AKC5tL*> zAxS=pg{b}x#6ND6@;VR8{93!M_IVzr%Py zd3te-bnob5sq(T(coPoiSGfg+vgw~&EOov=5EF^gb*|4X&KKp+Ip|lB>PSG&@rCJZ z231t19P;vNS@;%G^7{lxUXKt-OI&kG%nL|WG8wy`;7ms#MILOfGR=^emf#G7ss?OsIB_o1j_iwf12JwTYl zLiGb6&J@48^Z~;8!n{*}Mbs{cKZqEu|3OShlm`+)a{+%)`r`&T8S@N{p5|VaX>7m2uopzZ-yhWoo zZ?oc&6_2$0k;vr(E$v>-DB6S9sHLo5Agz|SX$hvX7iE>Crf7cB*Yv6WXBZHX|?R$g7C zj>69q;K~j`B;Qs>zyELn<2l6IIG3nVz>g<~&cwQLWJnnZoraLPHuN@t1EHM=Il_iMz|dsXO)|8^W?luk>S1s_NxnxVk%`Ke#3X9` zFJimT!uc<7CcD3eDd*fnrWs(F=QDZwlc0!62@{o;gk1%y8+^KCl`g+(R~K>SxVK^lpN2AbnS-YeT-n!%611GX$A#J+p@aMwVbeLL z1&i&xnq&t6)I^}uh+iSwIR@t$&jP&wu&eT*Vm!w|&F7v3`VwGo`cSBgSkE!2@GEZB zy(Z=Kg)^z;BQ0b;3q$Ck;Jq_eqXC;msFYzwph5dNAL<#rZ0P-foMO?>qT~V!HXkAFHuNLt zb|CZ^gq#%)&Ac9tautH_v{4>HXoeThhR#o+HKOH(vHBS3)xU~C-i@jq>#*t*&J+)` zpc82<;`|hNX7oQ1RV@Ux)rUhP`DG%sJ(<-Hj#NAp3qV~A#1%wI>j6qk;(SrQNqh%U zF97j+P?klF2`R4+V^ONi0q7s#d|_fq$0RXmO0yhP6;NX>(%8lM$uxGcByt7fA3==n zbAp&~A`M8Acmn{pSwY4U&KKla)AEX4#Qg`TAAE5c`pE@lD%NxX4o3)ftWPjoZF977vgz3sRW^BGN-xk(y@zwLdeC&(USq-YI zSk#4R_yS+@y!l*AeZd9ttaW}Z(qi7-t9sy!=g}RoFz_YFM;Q`~i!6Gvl2%tpV-BHR}xu#tfLe`}fRMLRkw0kCRwXgQLoE|Tu zfU_=d(=JOO>vF7F;$ZBX6-e5BiXJ+Q-f5RD*;M`ybo%s} zUNr?mrdLg#0wI<7!7G0B=u?Fsyy8dCKI0~jk?ROZt$`&8jR-KP@w z-TGAGz8LYRAj{)$->pwI?z{A<#(md5`{BN*PsJGgbMX&;UHVkiO~=oT@ShC7!GE%D zEdOz{NuTkv@NZN6L-am8cx1f6VU(&=I ze3;hvt(e!^Cf@~i5BTrOMH0VmYRlr5)@1~YYOkBzSx>%stkm|pil+LG#r3RL-p{RX z$+#9i&V}IA z(zF1iX9eYm-@c7a3vx@Ebqe-f(zE~&lLYTqUAboUe-AKF6T5DpMpxFi)Xy{6%7wX> z;H}3Y{&j|+@}S0sg{>V;txM|{L#PzOh{l%Y`u4@t^7gu_1uNP*spz=v=xk+4LEGEw zM&??YP^46O{AjOlX=tR{d-o{Tj(T{Z1^8ZLTQS{|YiVS2@I7&0P<_YTRu&~%M|<6< z#`fm=mKC}N%#XUt`p$)|O}PeL2%c|s`x1O$#T26TZ0&69Ky7#G%s@rzJ5ht3kgRhA zpGKrivb5h4Eah%rp|do)y-~6x`5xU_-?T!@+aDikG-@QbUP0;~rXckXQw?M5JC`D1 zLNqqlxp0YSm`EJEWO-w=XpR))_{PqKjqMcMq0<}isKdJdmR!pM=$VQX!C>;EI|JK)?KeBHMDT zc*sa{7Enue@hd-p5b;h)dv z-pF_Ne4ppJdv346HF)}pv0M7tpG~&~k8h8xLQTkjCHs*dMk*&&inE!dI{JsL^hym- z0y9NwO>QM*X3I#e$qyf>1yu#n@^EFVEoxiKpqYzYMA9RJ`{zbg3bm%9O6H}gB!<+_ z>tQc zy)ih9vSx(FVm0_n+dGm$TWfGuYXdUEh~>nHp_ojXrIT)hStS2tv1wC3ss)I+R(?$) zE@l&}%e6z4CRBVyv!VloP`HV6Xz@rNAW-okQ==oRkhZDZ0LojU1Lvz}HTSPZnX1io zpa{kLR<|kW60zyhTyFnb0>~UJYkI&X1spL8;oy=U$$`t#+)8jsca0z+VISa<%9802 zjzJD-o3p*Sx}NMRq<7|6*-u#H)mArx$FHRN9c^!i+={Ca_Y`>(#ns7-T4Qi%SV^!l zJF5SbB$o9jCZzAdZRWwu@8z+**t{}?3xhH@N;*e^DH2TJQE28ODc=V2hj3wP$7N_U z>U`+XOQrlCAwL1V4(0o+ca}}r{c|F7Ip5ESts=E}{w1C7%`KqMivGS_B}Kpu_awEf z0eOfCaY;E|AWC$04&{bL=2~^Rq#yl4GaA-#h*Taq5P0{k_3vy+}ooxkpn^*=&^m z{*(UuC!6&m<1>HeGEuZm$!4QD7+U6DN&8-kwkgBq>B9$#%v+pgMcae}Td!gIziIkS z0-KZknfs;Orw@~$aN$|3nDT!}$|3k2Vfu%*L}+LZKDci?K7`lk>uJ^K~058egjI0B-Y~b1_>?{ zLn8B{55_`VN2J2>XpCF{%370JO(QZyZFUp@ulNAW2LOc<`5KV^)(RNra(KhKqG^LxxV4$Y}M-e zSz8f}RFY6u6vA6z^fT1~c3F7d>>?9)Xfx zbHJ~KW~&!dPgg7-GB{9cs&Y5hx2h*U)Mewrx2QUJP^;jMHx8=@d|2taLuoSGlU!ur zwkX74zELsyY4h`8XhZd7$fJ!7Mr5{db1IKm`q*NQ%kXR`LsUh;iT1Yiss}<)w{GKR z#iiC3C1yQ{O=pGVzX;NLewJ#P+Eh9^Fo4lQ7Ektx%p4D7l`9Sx6RV!%Qc^PlTq?q< z!sBvWOHDj`-B6$u%F1JFdmtce@isWqwx!(%i!xow>k}o3U9V?Wd$<)ZLMetirlQ6#rS#Ko@2R`oFkZF`a27j#_~Z4C*z1+}c{eqEJ|%%D3NBjxr8 zNX~sJ*{%f4^(K@;5t%h^;tJ5BW6C72A^JZ|5|zBnU1SdOrAs!H5BDnCnQL+N6`aa5 z?xGny4=zB9$^#v(n4(_-+IEYcvq$qj%$I(pqB{{Z=UQ}}ahmQ3U%FxZQ>2fA>~9vS zU(RTx5R5u@_HQARcmREv#tI^Hx|`KPGtkJ{!+?IY$pNX1 zN`l(yL?5OWGG`NjqaPfhTEvK8l(vTe@Fan>ld-6*Qf>bQZVBeYL?{UDHJgb%5YwJ; zT0`!Ua6)log)x*HfX-8^_SH%;1=R?cWfl~rlM)4uyvSVQ2AT&Tgv4|P9t+AdEow?? zMP1JWn9JPcqzDBW^pAn|B|rM6d>{JMB6EcsVIgV4fd(=)(UpDBiQE zxNg-{H~LW7ivF};wGC41wR4GeJpCL;HUd_Cm`OJLMMyY+~c>?~1iY*-@mx`!bRC2Ua& zvST&7!0O~>bYBFec$r)s$VQa z17!|&1}q*W4$J>PkNnEGbp)gNcpF$BS_11<6=Ik`-+9F(>$PxLuI&!T1~51+mxZGi z+8~k|(TmK+=1Em^x`FO*am7Q=g`Y`36qrYuxT;!PT-z)%n|NU65lU^dL3t;r{^Fu+ z>R*}f%;#3Rn2F409<*|TD-hpcvDt622^LN%t%Pe@Tq`o0d*B#tajikQ6jZ-*Q6{s) z+1flhB3pT=d45ud8xZG${9-#qx}Q3g2Vw$RWGa0itZ+Od3d=R;t7Gk|Ypfpzi+adN$zNcHX`8o*nEWzoZy~vV4)2I8fk}ooQ`7uX`!TebezUj-H$)mo^ z6+JVb_B-_d;I#UhIVCO&udgk$O(xFQVTJ%n5dVq*JldNeF}Dzrh>pi#BSfv6Iw2QRM!`7I^{x*t3(+vy?X z>cp6rbqm93@+D9LM;j{HgrRC*Wbz)!`H+ReJi0Ml9iWnaaNHV-OpgbTbmKq|AT@dN zEP!3=2gq$-zXy)0Uj%u;sGX931JHk5V7luz=s|KU6}i)n7mg~9!6is=wt7f44Qik| z;(?^JjL2PmSbLe+KKGhE$d1`bB5BFlzw7eO^ANg&de&~m6^&?SNd!Z`;ZAGD4 zWg-2k#+<$>wD>p-ec^Np(HPKHt`wFo4##-;8T0aqPE{%7bEXG1f*Es1Bm$4jH@%GAS1~oZS_>XW zSbS*z777u%xYS70CQ>4EKCy6gLTJ@d2jihQZX^B-h{p*=k1&Cv%3^egK`!*jNfi$V zqEh`D(PhyI*!>5mG`56jN-a%|4pFZKdjUMM&|raNv0}mgB6tI1IVR+rXsuNVm;rJR zp(_D-4tPhJlZXykq?lLo$jM^`d!$&46JFj0*oRHQL%ry4xusW`b;P9#dd1+{v888xxAdccJ(8eRH-V*}A*=8ZS!SIF4kjBrU?kgpkZU`tMMYJD&n(F{qibY>p+;5y#-+!dsCPI6Gv3zwN^ zy_9yHhCy|xhmxM=%)IQObUf;LJ*e*VP|~xOnK!+ZcAg)A>N5`|9ec~X=b==m+qAK5 zeJTzCz}bugdeSqhng8=psxxewa$it&xhS{bdq^^$dMNoIl`ETg*mnsKuD4J)hcwFP zgko49KtneNfXv=$SCbvYmOR}qFv5iJH=%PbFuJCB`E?*ryjf2g0jH7bb2ibc-ECBSgb zv|(%6+>K;fizy6441@eo4+LAw79PD!YcVl6ZEgqs1BBsCo1c3y>>8o>a11JW`89|q zon-=W^EpGZ5ZieGSO|l%0#v{BQ0Ax-u5zb9q^c!5IFw6vb}T3_Ck;@R@!X=?%hJ+} zvy+iZtSk-2t$PVM-deu0c4F-Q8CaS`^7WXHG7SemVGvrI?<7RgEaEdS|yx7>A zr5eNJf*Vw+&omaZNoV7%6P%qHbEH&pu#K({+p$=#1-lddSlX4WpD?$vSiJ`}pSf5u zmn|eGSv-}XoDrF%hh4cnf;~}HChc(!b_c-O(hdC_gGTjqmK6roT^%#06zHh{yr3W` z_I|eGcm%4mUwMG%8Jvwsc8NO_`hS2d&vl3#+KP7G%#h2dx?bf$tzcA2A-B9^CHgY( z$h-LHtUV33oau4%S!lAcOFb5gy8!=$KZ^_vBL@6gtdAC(pNFdt;cOXf6o*@>yssq| zj)s4pw*b0l3vPq{axGAgA!Jp5acR?=pcR5L$%8x$B6F>93}G4UK>$5rg24n;6lH+F zDG0$Sp&_Q6k4^xblE;KF%f_KzlnTW~M=@mC8z9Skfs{DE9Sg`V(YXM**cZq!Z;?65 z3pU@B%7_;0P^t7UfPHBK8dlHs_GyG;?=g*8Zwq?5TkiUM^#9>(wqaDx=X&7Ashkz! z@j$!8iKre@*Nqbw&`Ukog$t;HeGiZyJ7FOxm%^*vVE(00;p}(;-oJozD$GUgCVw2x zPFqu?aE5?!hzn;2$@%b*8^otrGI$YoJ;B*@_hLUc9`+hHHYqQ$e@v{lu*uJcy@P6WUh-gjY}%eU zLf#6*`z%zQ>0CZu84t_M@^1k!`C^CKMl1O0_#isN_X0qp1)?*Y3)kyz5J%y1Ds&Ve zPP1UNLJaff7%-+T4}A)Bkl$dacIfOYjIVoHhZi2AA!({poetcB;_~h!qx$ zR)b;Q9RtQx<3!M&Ytd^pnEt&n>9rb9f%Y|vp4HGBfBzYjA0p%{D|Er_JlC@Ybw@rT zbVox@^Tar9G?GKZM(1MW4wvFkIGk=F`FIN@0&klBk6SukM$*AR;N`n>q}&>BS!X_V zN9KjTUbV}*22#nLRK#xzM{J?Jx*Ycb$P}VqVlAfFuybvAWipEcp(0Z}$*okR+#UmR z(R5-!N_6wJS~v(#$J&a68^Nzs{iNl~l3>-VxH+A@i-YA9NZ!Rk8jY2(M@cYF-p%;z zZl4F2B^;?Z*hRqzof_Q{l zsR(P@#cnYz(hNnu6=e5WQZT8WplWoJ@&pxlGU3M{`qo3p(^5?yd3jpOkaqj4upJW4 zE-h{`qN&T2hthAtr5nVn{Q+9tGP~$m^s7O8E75b7sN|XZHSs67Gb+>WChlznOQw1f6t8-y=uXT`hezPv9z)XL)wneg&ME2j zv>uW7kj-n(t)h7>UA*@K-6E3~_KRSV+21W=1-wDRQVt>dfkdLpmY#I$b(8d&1eYQ5 zH5LKNNIS~@0UpsR;BUzF3gW*-G@Sa7D?Zdr$IvqeddTLfAW-t++VpF1JRMF`&XB*M z^!v4ktZ&$$s734si-LHy^bh5^t;rnXA*4k!Biw*E3gjm`Avm3>xyl^w3t@yC5RZZU zSqnn1r5@>_^<7Jyd@cHaaM}cPU4)fQw3^1+=P@2A>P}cORLS8qTmqwOJ=jL!y|BT_B5Fxd717U z52a(7t`$^S4<#?tUErm(^E?Su=Xof3neI{#rDK`yQBb|;q2y({t2~sBWxBxinEHWp z>iH6#rN7BTNnKUd#;#W9n4_SqH>pw35J9#0>paA?5sF)VP5T?r9pR$Yy^ue8h>5f6 ziD>G(KzW~w+Uz6U=Aq{vxzSP55Z{A*(hY{{N_ewhcZWZO(Ye#Zf+)!AT@beZA}Xr8 zyg0N^&>jh8n8z3=0_Y42Y=-1n#)murKC_H!j_YXyQWJcqPrkUZC@KHRYOn?imoxvw~)y-IMA2cc)g2J#PJ^#DoG$-N~4SNr_UUpQ*5 z46k?tJtUwxR2mXgveD%Qi7P+DhbhtVhrh`D#2sCCH97XqlC522O5L$^S5u=dzR5x* z3S6h)CNR318khHZAx);ax#rqa84~ye04f(PXOk` z0T~;W8H$es^FMLYlz+Kg$&fXPs~lx=|Mw8QX9{Cp3!HNIg> zI};?Am}H@~NI0Y$(=H@h8t)s0+al!*dlqDG5~->um{bpqc5{;&Ln9{q$se%^3eHK$ zL!;e2@)|=UmbMmDEkwx~tfbXLqnL-%HMC)XBSCzMKfofl%r1Hs{Xx(^OY{z%d1w@O z(;Gu0Cj1^mKe^RS$U~#W9?6YGa29z1sA`GQp)-#eQXWcc%)qq6pgYKW7xK@ zo1LAQxi*7v1rb|~6o`4)_A56r58IgP2vD5tq2gg%n@3M!&^8p;r>zhXTo? zM0=Cuxb+j?V(vne1P`Vt<7jdU8@!1n0m4RuPKF!V} zO}9*^2XQx<`dOFl8B)K6h-{4xLol@0fp=hF`ZX45LrI!OrjAI3q_9wp{2Ux4!ow6v zhIpE}N>a*&>Zx|Ylwo>wAQ#6Cb;ER?Y|xQ<6(IVhMSUoKihX%QT&T&H+L402E=r^W zDU9bn5Ku}#&y#rMv2Tw%7h=I{s{p*tm%VLBo_Up` zecP#L3I4kWgSOiK5xUu=ea`7`D9_H3zK)jRLDBy6a!uA-XLxP50A5Ur+qX1+`}UVZ zpx?{0k!0;V{_=8x-}90D?K>StkuQ+NK@#agIi*&t#E&U$Z3CTsqJ6uA@CQ;Gf3M8- zV1=%IJIbV@ZGz$=*@v(ZxG;SrR6h3;dnI{3 zd^hgPl5ML8h6jIPr4D71_;m9i@sp=ZCXn)Z#D5DeOhhvD!mb#{zq+pRnYK zeOPVrWNRuZ4lPoDEag9>`ePaWajy|=QL;sBsbkUaG98J2Pf@>V$+l!HTC3RFJeMZp>6kdw6*aajR?`Z97DdHgluWd?C=8Ox?x`?H zI=iodL6Rze$P`N=bx97A+2N}|NPLI4fua(qHdYsHZA^<>1BjPutBohy46h#oV)r#` z<9)cy4h!|UY_Gr~5T*H2`1WS8I3<6Fzb=;*sGtw1FFNe+xQebwiYw%=G3&VP)Qg4> zk>bkxibRZlQ0Xr3ZR?}Uxu*VwcDxjAGo=VVxLP6CM|moy-lx37MT_QQl630*8$CjF zTNa^KAV?|y5Bxrd3xEDL)e1YST7kl*UM4sAGt31}1){cK|I6j(@T)Za)xtGz)ggEh zr_l^wv^Dh_*mq?9nzf5+iI)6kGk!z|*`I@!W`QhH|0LPcJ?#0tLa5}+Q<9Rf@qcpyOOSF&5 zItE4nlu|Mah%SQpFS1h#Mlcl+Q*SH)!@~(Po&k0}fd1$LQ`+5B0Efmktlnxt8p!{E z`b!JpN-0l<2~NGmKXK;O(9OW8#c9A{fHsBv66z(nAy{zpcIA-lUas#vAh#w*IgAlUC;^0^KmXeHz%lEKh zaFeOC@7^vbo_!nZ{PZC0l&P~Xd=STEpM)7tolV}+Q7m^&o&7O>qE3poP)(!%3I^x_ zsj0I!ydM1Eg3yht_{6JJYXyxDW`H(;+6B~fgTgVJD9tJ-RHJzxo ze*ll*!YNVL6C*D+A&g$okD3^260VA0C!3Hk{2UYub1OjZF@YcqJuEd*3@ywzpx*%b ztJ9u3sQ{u0^NA&|>eU<1c-I3dO=z6Nq0OK!1_d;vm>8NGVHjwqx{ySKUPXpM9{h-( zL2d(XmO-k&f_5vU7oeuj-X77knX+LkgM1UbDkR~lIKLKciyQg8|IP|iHU!8ZIH*vMZ2 zDMx61#BU;o=K*6Y9wqW?0CclmwDD?j@1vIh%Zoz@>?;5TA2akcjAdNo^N7cm%;GHu z+TJGOL@e14OpA9GfG#z`4DrUdWe=p&n*R;RZwQw2BXk5(VnWvJfpyw*6lQCO6cKtR z684;X%FmvU1zXFW)n7jL%!NVK+$V!V+w)oARl(0t!k+(xKb|J$=TV-92#P;ejJFZ` zz8S;v{|bJ=CrrwVK{*j)FZ6aHoBSoflzRho83A8OB2B>L3qi8s-%KEv0qz=8*8e}q zytthpzXV+1NmKm^R^x?4Q5HyUXi{lK0 z+d%y%JHnMlkG{~bz<64d1^5D_Mb8)ls5d67^9*@ljag7JyYVKwhPRx0@ za~n@KVKD2RH&7{a5-`srT$RVf3(52DIGJR;q*)mEePDk2qj9?n1Ml@LT0J-|Fq_u; zN?nfB@EyuE_pi(qW_=gfK4Gz@j16hl6U~U87HyG}pTpG`a9R!8I~<}pea@_d#<^7kZBt`FP@ud;=GNwhvmITedaPy4lu;J*7w~z~;zg+dp<;Qv_QTJ|+Yp(HjzxUdhs1Fj2BCpeIF=Ch*~LMB~9u=>Rc zSR1}-h!bL)8zq~ol6P%5PLHgTfmaJ6l63%SA|Rtf_q@?C6w+%!Z+2~)9pV^}pKOQN z+ep|#5LLO}fnhom!&Td(pnb+pPfrt%l`-aH!$*(?Q(nU+U^p{pO!9*4JMr=$bx5g{ z%6iZyh~7v6df$31_QpHc%>8$uJi*T0q%OqWAZO+eg7gWCdAEGIWNhY8Lz3+oqp~MOE5m7O#zGFz|m(oom^C1 zsp@&+=y++}U*#)+dz*!AH4ash8i29%HeSb8w=fuMBN){Aa^fmF{AQ8(8JyOHrm~Gx z{*l}YDs~9ioZ(_+Y1jQ@wJVTK709Mfz-{thoyu8xRmHENkCmSKX?0g}3Qo8t;4XJ! zqbjBM)z=>p*VZV|O8~ge3942Xy5%p&tKvF()b?fhJ^=o0g23Rr$Elv?4bkgRv~Z8K zG?&z9#{>i;+2pQoVZj5=ZY4BJz`_|4{&+S*o(J%2eHi@zN-yN+Kn-DN?JGLqZ!;Fb z3e^UKx!!)P2Nx(rvK81_3t!iqM~{=_c&41^(b1&Hvp{`;iQvCV%xk@pM-1|QGp?~x z+%m?PT43|qEc676gS7fv5^Ut(WWvS4Qk3>m`dJc;;#*3ek{~T#;w^F1X(hp3D8_U6 zSsbK-Q^F+0!8-C*9v{HFls?$>!i2}7?^P1K3E$!%b%ct8!xWo-mIUv{x0F65L7JK` z4z55)t0Z_P#W)NF4sCQYJzJX_niET#dDoKZ8Le)pkFJPEn|WiC zlQ@pdtir82kZ6@+bj>t{*(PX>^&&k>mlF@4TP4@b=MH?5z zlJO{Sy0V}-ep_|4J{gTS4M)$_6xZZ%piDWIrE%6CzXk8kO z)5Gars>T@9Of=&ib)T?!_3|hlRriK8C6bAnngs7FbE}nTf)vwyi1v=Ak@nMlLQ>Jz z+IU-(k|m0l;l2D)t)yDmFJw6-??yf$>DK0W3Sl#S!djy-yx8vLvpm)mYsM4qju3c} z9c=3GO{Jnuu~ZBXyxZfZ(yb7u8Lz_IeU~>uHStr2-wK$8*v#YARs($+8(~eGd&3%| zX_(6v_JHbWs=gtfKHWpi=)*r z!fRvAD4z}DKHtz-9Qk#>Z_r{`J~Br<;2YW;TiUiFM#fny9`udTQXg-Ox3rM0iidn- zq!O*^`Zj8yz~*7!DCuOpIbMrxv5)u$uZTBQN2`~{#G}sOR7)%wZB8e|V=jLbQZjIs)OtW!Mc^ouW!i>F*(&1{BGJAIR}W*Fi#KAxs2KI<3AnN2c2=NH=8 zx-5p8qBXflJnt9Ygo2HR>jl5C)Y5n=C0=v|Q6thUUUK+Sm03gHFFUso}Z4$5h1vbV};M2IdJ{?1|@P=;`D>dHq3s)Nd)ftqG#Tvw0 z9=~QXWi(80J40I0wxOwb$K|^i-s!k_*Wq0cZKL8nr(di(8Cxp;=Jbq%mH4~UuNBoN zw-*0!_{VF|w6SFGJN=t$6DV4gwja0x(y?SCD&y9u_`d=nG4W4VNNYnno^DNxf4Tiz z;?V~2q0=`>b>>G-KQvS%%fJ16+f+t;>XhXgD-02xhqDF&ZeBtztH!qJSQ8T0Z{n8bZTvQ)lA-;0^ zwo=x9?etAFL;Se-#^sCs{>|cBr)O)jmJ;`W4&TNoP6$xye&_P04%7E;zaT>!V%1&G8oWPsK4V?*#mgb@`$6 z*2l$hPTxdhZIl!5c$a_kq68cF2@e0}Xlt@noapq6wNQ8SBp*+0j3+yT63L}8s#Dvl z6Ae&ToKhgTd3iHBOAX>wM>s|)adc(HX-?l{90QxvU4DtCggC?D*Mi7Vo=_DA zc$UjEnQmRwN{N1UfdFJF#-p4}=QyLZHZR7=2geBQ=7Ao34U7-R=ZL&JjqyWRt&ldc%Uq_X0m(bmC|b8pGK*I}}DYadDB`D*=>?U7pR0>J!+K?<8(c zHQ;m*PKr6aYtV^nTNFh%uSQ(v42mT&^lQc$8wY{%wZ=qO_y((C(UqRCL|cu~QNGF* zm_l`t5Pxv_>MrKhPH*)0qv9H;AF551j%(eX_0dMMfa_fT=((aPyx!^Cm{@{#;|7nX z8f@O^^hd^`(A?zoMC(9?c(b1`2GfgT&8YpNVx4bzHLMs#S={0qT7#}>O#IP5EYX-~ zqJjFY1%mNfeH-^!Z}W{%n`lCp6}>nd=W1!KCS$nWHzE#_MQamDafg3sV;kzlB^={U z-xz4$@wyGw>Ua5uBV%h@lhKs8+c&r=wv2~f_xOhO&9N1&=w^wB zoZ)E8RbS*`r~lF@jcvpuF5eh8-H-bDw$;VCgDD+oF~YeW*h=k!CZR44xC@LN`&z;NU54!`B`S~kLeIDHez*6OHu-{HA}`hJVV2Tsq{ z##Zrv4lh0;i^HU7MQg=BCC+1Edt-3gf^EOWp?*4%K5fCC_=&Bgfo4fz(-s8e6V_6!E6s*5k6Ft?dcflp(ht`EZ*4W*$Se7+~{k( z{{d%58q|EGtU$;wtxys~RMIi>Lg0{2c7b5TB+`o%af$s2xnYElBVHB9!+yAm0$hZA z6yPtK3_>hbm0ZSYH4Zu!Ua(Gvjby6@k;(8kMPfFbQXeaLbc%;%Y#1vIc@OwL1keiN zeF-2-e*xf2ECG%WFhIKrSb{S_{Cf*vo?K<5(wGudAesU3D#+iqAg-43MQrI9H>E3w z)?^20nNP@#A-uK#G7CXRntijFmXpXbi-WYbQW9*z?^5+seO2Hp!#nZ`Hk@rcZO_Da zMFOXo2k41*F|CdMB#VYuZ*FJ^%QZt{TKgdn&_U)P`L68m&F1^Wv<`jNnfwO)3|dUv zpBNWyvt?kVRJ*t6t-88AmV>6zodtAiv}tHn4Q^2H>0cG8bjHEDo_SiCmO4o=Iro29 zGvsrd^bvMkzKDHV6oa*Qw$gQmD$_~=OTy-Boyu;2^alC{$p0oygN0}p^ z9In+#&;n)+PA%x-Boz!3;Aauu0Kj{2;Z{-rW_U8zHK(QgN!61qb}72WS}>PegSY}t zp{OLF8!FI&m6VawmK4cq3eON1B`Zv!hYeyM_?4=k^o{%r``*LThHei8DCc{yEGMSj zrm~YWz6WQ0ao@+Z+lwa!kbfnd#VV)7v^$AI(Kcnc$b@jFt(Jd+$AfU;sfaFBEtDS2 z_6?z42fEOs^RHYRF6fQcOm+*1g9Hl?{??zrv>cX{hFDyALnCof%oWko`+ z@m{bOf%S$dD-1t`6r6UvAHZAyXci8+7-usP5Zl8!b!M;=)&tCuB@Db8phr1@L!3AB zaJ>qf!Addh48LSuV1#?6eH?%<6R0f}b(ufg4~i-bZ>gsWk1|)zk^!+JoDELpjK(~7 zy)e5?+(BTT;DZ~YO2x=~h*qDYQl#!rnRcNcGk#mw7R{a{#EvV$cfjhuKI~9~gGp=O zu&yq0u%p2|)kl|um&ckTzLD)5RjMV60$K@lz22vu*&lr1A`!}DAG;&5$wC718~|Mn zXR|$(I0wm^uKxa^oKeWpnKN1izBI#Co0V4-h;EnNNUeY@L(RMuoDW;t4sVu5b#H}> zS0rMKW5*X%a|dKEfJX`3W}zo>4cpw`msms3I!#;Wn_Q^jj3`{?2BfNQabwEm*j5vq z_GfBRsHU`TNwU{Dx@tA-5)rA?*CF{3PP<|Veyh%V{TK27mdjb=2-lBogq z36W~Qa)?xmA$LtZ=xrh`PGA?_+kGH=CW#9u^PIB z#Ee{m6G@v)|FnBb0CUHiOrIeGMU&Bn;P$hXBfY(&5ivb1G5WE5%Ek1JBpo!^2CoG{ z4w6CNeKoyI5>DV~zR+y?#_}}?gvrEf@Lv(%6Gz;pep_SjE9;wsAl`AWkyLaV#J`II3yC^QT>4#4uX@ z$3gy_1+k-S%+Z}L)3FQLpE-vU^GQ&z1WxHGHkPdaY#ESSz;{P8By<>lVr_-T)YE4d zz%|Cw$0Kq;?&qQw!}Q!7C8)aSZDW)&GKiAkQSd8OKj}O51q>R4(|;j*iUV}7a0fDR z2$?9R@4;S_Q!^z+njVqz5fHyXtkxj53>|>4*qjFCt`u+V@7SL*WWJQ&BKj1>QzSaa zHdctggzmEu_H#2l^c#XSbpIvkPNQ*Ocq0Xl21!7+xiCa2Nms&J$O|Z;4?F3^P%2Rm%{LEof1^&V;vlBNkVFuGrD$<>mqb&})Qb2L=D1_{} z6c+=@cc%sbOh0FCFE4@jABgBMd?a7h)YZRcX@0mXhPxqA9%e3rEn>jF6!m}qnn)#X z(6?ZgA1{l&uluu zVvQdg9@N(_g#pgw?!Jjn2cF5FDmPT(@+wf@KnV9#=~SQye9M}cc@`w2DGQYX)UQ_| zATGWF#DTJ7B+Kz{AbjpX!3~7Nm{b{3GCc19iqQRpW@xNs9z|-?k6Q=I{-`jkO9P@A zPDvIbX*u<>L+Sqho^*a-NX&fMi5sc60*%@`Pxn0VqwDVtJDp;FDr&~Sfm z^{VPY99J*uET0_;$a< zs1+P*sj9E7X=|e)Kab)9WsfV|*oJ8P;1q7?VlWMDIUS`#ae(!W^sH>tR|(;*h3 znEAAWVfg|uEEwBLsV5ZMyTS5)ldWckq>cA=<v|;_xJBFW`5#OB~l4=Tey*UM#?>h4%GhCQHGBh$1 z&17*fjXzq6qb`brxb*-bNH^F)2c|b!7BS}*rvD_6k_Pw?7!pvBMj^o)ub(@VUp7W%|=bKGVcvL4RG=?Ob0Ju0B|6*GR} z!)SqKWQ&LaqEofw!Q^a<(Is_ANvJdrUbr|v+nMVbwTmle1pF9zBf1s(41i*~N1+Sh zy`zzIEjX5n*#J)XAu(e+FQ@XkS{O%EvcEL2_c8HFg~|BqW=R*g+arq^n|Wa)Rjyd} zWWw3vV$S{B0C>O2B4i|0yW+F=NRHm^_;orT z9s~Fe^0`U44?OAxosYJn56y85w?Q_g+Ui!Db{lI!HLdz1JX<;h=(8<&DoG*!P_rM} z07We{qY>wb0!^qvHN)mH8IH~GJ@5*IO?Ad&wPY+-V{>W(moAHwpFT6>cDOEO#=c%A zxRN11g!3yCN<^*-?gp!OEOuijq-NYTF5@v(WWJRf1wv#@E5Pk9 z7DvB?T_aSw6RtoI!5NnZdm%E0PVw5tSX&ED@WfInoKR}y;fTZ28kI>=V{3}j*;RB^ zGB8=GJ%M^w$tD58_ZZK(oU&TYNfcqNX~ys6O<;HrTzDQLNv=3B!QYQ{h#8kqI?>E6 zBT+jK@OUI*gO7FK!iGH3Yt79xRqO%LD z@jfsQxVVgJ9z(-Vcn-x6Uv!G({*XF}qm5L@_8`Fnvu?_7jWAuy7kSd;t~1u5`4 zD{vOVO6jLpf#wd2fa{^?=7m*w4>%vgg_DRhAr;Vs*f}_rCF{ zYMc+I#lc@8w3L2&)lk=0nfVaJOLT?u;VPiq0vDcvNE1?m#*}g zj8q`jq=H333UnY&ac~QSmC{eI0`b25aBZIU&{)>=G@=*Mq7PvQ!iC?%XF^(_=i*}G zROMa(JxqxIM~I{f@h4SWWTelw2r3SKjBhFZ^y*X7gN0Q~l{2<1tja=!#o)r1;WHss zkRcYnQ;XjL;{*b`n!rd4Voh3D6r{jstiX*3E2W=a1$d&o;nn(58Qw$J|gw;h@BtCH_@l67f{*Pc;9PC3-DgE?HPsjI-YM6`lCR-O);7;H? z1Q*^OktUP@DAslk&MYVfN*P>u7lI=lh&daQO)64j2u$&K6GBVrr&o=p?Am;Vawpc_uw;8O&lzonw$@qO9}6O!Xr(HJ89w|B29t_z(Z2_ zmeNm*Qd$Qv4$QU2^+=_rc&lxBq|(|_i#_~pt#QwA#TzpAn8n~=CC(#YQ7D`92pfS5 zZ}hW?Cys-KGjZ+!*1d!`oA4-ch&zp497IAAKN`P`aDv2XJ$5yg&1RfaOt)NO6k`Q) zTFp4O_$efz8s1Q%6$iHhL@E9BT1aYisHVTCe`FB*8Szx|N-Htm1W}>HSdFm5;lf|y zGZ9OnrAA*?&O~_%a4!<>cZ5rcLQ-%=Rt&KyK24hH5xL;C5}kjED_*@5Aa!JJ3Q z2SHaz$$b#E3NHLEJ`++BLx)1#oO<30#Cr((1A-L62g6qa7js$!mXr9Od5X5 z8a{()rS#LQA*~wsWJf*uc=UFK_1gwvyTFAn!e>JIp{4X;fwY+ zx{(Z|n-3}Jb`!)X4jzq2rS#LQ8z!s=N1JnNG`(e*)jJec?hW9502kf|ktU=Zx@dl! zoZ3|YZCAK(mS9Oc5`whzqb2oDfDpyORftteKM}JzyE>1xK&&}ggJ!SR-dNl#s@$=# z&d-3!8*pKYG$Ebm`bp!|_-DY{2`;=Vfs)2Oq;YZZxA?C(xEFj&>F2F7j57u@hre%= z>bKmF=*S0+O>r=WA0@#9@I@lj^!N5+1)DRI9!NV{dDr!KjOgVtc>W%qXw-Ot)*kW= z{7>Os+-ikT%y@V*&;Mb>;EGHO;wlm*{&=DBzaS1D&l9B(N9@GnM6m|AnqIr2T94$R zK6puxRNZ^c+Bz(S*JXS2SV;@6nzO;q+?l9LG^guuuC;Nwxr>Xh-EjEVB^$M? zHf%wBqTuZBl0j`ECuHcRJP>qirebb`4uVQ*@cS zUBl*Q+;#ONZ#W@6Q^TV;DG^*%*>9BRNlSZJY41h~RJT_Us`ka%(^_?3h0T+A zy~zcWloB$s4pYcwU2_*z@acojp6se2(RDnnUld_86%HiqpBt^AneDCR8rj$qj=+R=ftNrSYa5yMvHQR6{2`_}yZiPK}iQ5!|oo&H^Q1rUtA zSqBi+_n`X8E~d0B0l(@ex|#~mS74fw*7*2IxTaj+NSsna)&r%(LSk*L59`gqCPi0k z0S0`W!H9+%r%^u{e+hX5Slnf?VcaVD2@3Pt;X3=9S zX@4@iM(^(LI=B!{Bw~vPS#E<-$t}tQVh)^{!E}zyfZ`K0ewi}Yisje^&*}{V?qGsc zHN>@GBMy?$A#8CO%D4B7@_r4>9vJ5lx_(zk;_|A3R9NF9`2+H8aQe_xKrQyImRP79 z%5mKyx{d+7U$KeIwPM+|XvyO`bT&M(X%bO=tbY9vroPwD9Isau5u zX9NGBvw>6S?`r(LQvLl?YOYm#za%@D&w?}<&ePUDEL1MVJqwAYZAO_B!EcP!rCA<3g%OzKR*~P^wc#0|=|l?)=0{nbNT(A`>e*f!X$qTJVnrWvl(r#Q)cr`z zQrhB(uA<6%x}aZ3+8Be!rO{^btF7T@$=K1$x8nFeQCn2D$s>T2Tg=0rAh__hv#_eJ z8dz)%MWw6op5wCV1k`~>6;f2TDMNJw<^Y2Fs+<<+dFQ9oO2fQO(kWhK9^f3&fJbIa9Xq-Wes}3gTT0uFq{zc zR9Gx8`tDRk*eIA3diXpkfpeDY3S28XQP*{$;GnL-@w(VQH1U=Du<`r|GR*kg!Xh7Jn*CBo*j z!j{o;Eoo2>% z@5l!Wd1pqx6R3ajAlnm6gx~O!mP!<+!mS*(kbl9*;avk_Hk=~`s&hlbOY(!mXrNTO z25C2{J6)-->56HgM;Lt&$fFMQ_z<-WeInfG136!Z0*1Q}MP&;a<_wp1kmBT!I zqaH7sL?6Tm3F(RnbTu1XwmYM5yjwtQ4yTh~3yF=w3UPlQ#Q8cDl>*Dy3d*cWt@h}^ zj^aZ}yls@HV}9WtADGBoD~6@+9xTvRfV;tj)TJohmBZWOeYDXTkcSbZ%ZjQ{Ozp8~ z?^99PUJUsy;3n^G)&wLu?axKx*eNiHS|s~kWP%%p@b_W>%eumdG?9oXlRUyWU}mT+~9`8n|Z=fM0G zbPr$chgoUIq<$@iK<)k6K}_2if-Yjv#d~0$1Ws$LYSNl)A8RGT_Y$}(cj(}{5kuun zJwu%Vn2QNYH7uMnNWuM!A>{WyLPk(XRB?{ta3atC;1wkF%$1cJayBvee*yfxDQAef zVLIEb&4b}~+BN5rwj5b*MuGOnE2sfv!tc{T&P+6?Z~>Qh8m z&-Ov*j*O0h^x5qPn9K7(dCf%9WxE!qZ_?SpRk+L}JZ+NKI3m#l+%8rzb`Wqk~L#V2lymh8(flwtn z2}QWW2YtRLo?#(@vfn8Ne+Bs8O$Jm1*HA@&zE1*_&qXH$$CPv#Q3Z*n%(z7ll%1du z=hg(od^js1AVqa9F)}=a<8oFdjMXwfCguLIEjA}AHfMpw1r{3!ejZi+m-%2u76KCw zYxazCvt8Xkh-)J(z>^f<2LSun0#xmM6FMWRiAZ!}J`U$eF|CVp48tfqs6V}FUcu9C zV^D!FFE9kkPF8#~wdnuC>EaJXR^pU)_+}qP$|G?cnH#Vceazc@bQYYVAlCuzPJ&dm z)E!bL`pizyM_!>9n!(h@2FlK0m?HTtFeb+g(Ny8aF8bPjlmzO-S{-EcTQ=}1G7Ej8 zA^~_v87R9SK0L}JE*>f}Di0b4LYd1omq)T7E zab2M7Y|ZBk;-TsV8lDQwpj`#8QaUkE%;yF$VHWS)y))Z0l-p@b45|6Er;yodu?Qz# zrlGOT)?I#kk+@UBrdM)7#@bzaa3sg6w`IDHX!*AwuwHudCqInH^bU=_uwZu^a(>@M z26DdhSxB>GI-;S<6^wTcGoA0H;)phq*DgN*K%os2$0O`)xbTOvu35ec zjJFBwI|8FMOJYsSjur(^QNkDdKkAXRq}<`M2A5=Qms?hKv=kRKVS1l_6m26U7JY^e zG*5$z+(l&Pn0+ilKwsTp7I&73+{JW>4X&sn&vxtVW$tn{H5o3dVEo)Zaugg7g$pm~ zA^9+=M0b>kT!w6hCY8;D?zs*uNexy~a4Z?Y-idhMzzE&klgsuRUE885fRaz*^Qws% z%Kiye%{+CcmqyGIQ1xze{&I3{6phMMwRC6=bY?fb)O@OWE#lx=-)&#ErXGcZu zq(a~{Bf)V1splbz8S+BFUE>RB>wM`>f9}*m@I1Wm5P%nzN+i-xm{+JVAU1}xi_f#P zW>Hl6tnTIVDMmbJ<%n#whMj=zHKDPjwFIXqb7vNkH$poWtRaQc4_GYj&A_?C!eR+I z^J{R-dsyW7G626CguA#_OyH3*%OVu&VmPHXE;dFFz^pPZC?q1<{;?~KqOuUBYLRRQ zcAtr_YLDajb_g@6YE>17S2(E_lP6Pbt`?0eB5*D()j!Aw(s12Xo40o4x6rybdJL4s z!DsMYNd1Gq;YY~)AcQKg;^2SqEhScm%X3lLZ_>Td-P;94q$hxTR&nRVltVbGu1p|{%7j$p5K{F`cKp(YxBa%E&!9VwyEl@n@yAhc;dVrjAp+))72|A#UTDUE#n2t3-5XJM&>fQQq$B;!SNixO z(iI?cw`;d_PV@d5%q{|_vLbXFW$r}G+l}h9@-;3* z5jh&wEUbK=C4N?Z54c)ZuKsdZxvGe{h^X54eo*Mz_et=oz$IgB<)7h?NolC_DCK8} zp!j3On2FHM%or0hWW2S_&v(++Fy64mru+(c$sC}12<~ZOI3e@%ps_K(M)d1|bf;ao zaVzzRA6j0#O=z+ejUk*)j0u~w2a*k%1xy2O9}{vy2JMHY1-t}E*PCeTVbUHk`kVb4rJng1R5S-~B5*!-UX_c%d5K$~hpr=JaKGw=-rd|(AW zhA39x*9iE@3X_u9hF+u?YX9t*y;5Tj?d!y}8X(OU5Ob-L*Ws3zak`Q;XwMJQDI4() z0UZaBQwivO`U`S=jEyv7W6+qqF>66Q1@af|5LX)g{eq*0O4`4Rp)iZE;Xc@t2B$;_ zQKCSOlevBws~?+R3j`jqwSanG55m>l0R=*#VaGg7;N!+6Z1?pUS1Z}B2I{SZtg@Q8 zLAG}>kRCIy=EeJla)Y?2y)bfcUmX2}`;o}qV?eh7cEEyWD_d{L%aJDjL%wFr435G5 zO>q9V#htP^q`6NxM|xVcdG4@ZKzLorB3#bmsql_4g0_0obshdiOt5cCS+z$N!K%OBWRWk}Il-+VYfc#Sc+q?n3 zRW=}YhST7*BqFXtwi#_xL5rTN9#v6AhfZ& z^%h484DpAmhY)A)pwa%zdlB(jEAruJ)kBx!V>R^eBJ@)$#($|2qz}sf!{eoOReNq# zz7GvFP4CH_+R@vFQ%PZ`FKA;X0L{3_UJwrxfNJ6ANi=z5XLbcOikkg3puE{)Z=){A zUXwHPe}eQAi+Pp2f4n+l-k_mA4XWji9ass0Gu1Z%3i7uQX#663K|M?eMi1v)Y_A#H z*s-~W5`YD`7NqO!0+{3l1*p{Y%>F~rermDrmd}ls{}}2GVec>&hkWZbx#vpk`hwGi zgRSobvc4*L7fwQs-Fd5IXzXzUfjk;0ClQj7G|wANMnT;c^k&z#*)g5~{quH=y^Z88 zh*6d69T+zE2bSg_069a5Hlq?PRLZM52eDlO8FV%&(FEEw(Q`$FL@?ZW9RuiT$fEFiev-CHy2&-r+@Bq)3FM?)>`~% zdR#SA-9MUNVdY)`qkp=XSsMO$jsUPEq^&~wby|M18I|)+zSYcOcdCD_jond zJlsH$@9?OKC-;maDyIYQ1{0IlQbIJnQxA^ex4c}-dJ z5JPT*0;_D>Sdzv@qQYZx+E_FlT}IrwQcq_eYxy^s9qzvZR4M%|2`@>U-2!@HC|B|%z~Dh{5E4q8d@HhhbN)K|iFArzZ_ zmIUv^x0F65L7MW%O%&*il?1<}7$@Rqage%4B}`Hr+^`qk%Hte(m(r&sNVBB4sFn_Q z3fYW5s-^yG_5vBj-(m8UA(|GTiypScU!GNa<7gc#m^$WT5tTNR_kZY zxdoU!$vA5cotWm;`dM?{0tHX3&zkccBB<5+S##vJeF0Gg7ydgU$PfYZ$BI#h&?Ykm zDkoa_oHd6=h;$#VU2n6&;N_tyAd*Mma~x6si||2B3pz|)keFw~zo6)U0pTM=%)(8S zI4}N3#NUJPC;bMoF_TQ|k7Kjv`E6#u7pNDR2w*=UV$J?HAUwt-HrUf@7n0;cZEVvCPPg!KSziQ8j`-MHsBddSc{76=9qV`twZ;!z2nCm0K7# zOcv%5QRS8vqryPdAd&O!o)U8baeF4(&>rHI|vW(VW)$ zM;DS_J)bovJK|@pdx4u}t?Dm_wbJUjHg#HZnKh>l6uLGH4Ux6d>N%{n9Dmfng&Qfq zLIlMhD@Gcj`)v<79uGASTR0D=r?8z%RjUl`#<3{<&mHq({>9jc(Mim5e(#hKwC+O$B`^! z*_#WL&#ltw~Q=|Tp_hN{*1` zGHy!JsxqhFp#V6=0&%Y@`zNBYS%6oQ8RlU?ykfz)SCf5VT&u{wYAYds_G=6_;cS{B zlWFz1Ah2!K*dLge7Y_#Lu^wPrE%pa?uM*pHo&lc**y|P`XNOuH_60Ooh5coeoBsw| zzu}yU(~>R2c?P$Y#wxH~FwUSZpbQfdR}Uc?+8e9CV?jBV2^k2hzL$bn-T|-&EkN6< zuRoq`wbw2jr`n{0vHuOuE}UBB^~bWU?%J`a9hG|nXFm%|=fFCs%UJHJ#k-n&Du^#2 z09B*dR&&Pzz$&gy8nt@+7J&X`fu$+g_3EuJTI5Hp+ER8D$@n4Y>%eKT{a0;uQzlm< z;!#%Q7PMTU1MsmLw6hWV2P?*PRJqUxvk`juS(Ma&mY;&^8#_x{M)hTBte!H%9S%b!2&WaSk|vplp+U#&+Ch^iI@K{y ztFFH6Fr+Y6TWy9chmE_W@D6osd>TeHAxXQ9DW4(?D}B(J4z(tEdGS8mp&Nc;pix zdfv{EmOp(N8mpenFYsGT0>YX6OfqlA>LovBw;O1xiO$GaS}pZu=UF9nWbbc*agxbj z)dOmE)ECUTDta#nA2x~gs%QZ^TB$WxLmeV)a0ISCfm2Cfh(N2LzEF~Ww=__znCrt~jMd9Yzr%%JaCSYcq*qIgzN`wYT5{s7 z0AQyR6crY&TCN8atyVJHRY1DKiKeD|)oP_bq`6A@4^Vz&QtMSdUz+h(C(Dn*SRc-w zSTuxWaSWMgb#guNg*+apzxP4@(eeuU7T`Yjfi&c#)kuFiZL5%WyO$BHo9qGStSV^r z(HFevIaA(K?EI@;HUgygQRJjIM;tTx^%PX-4`OHU`@fGl&9w^KCk6o)pCA_JCn z7$53&s-1FJM!Ofip_1T#@huLLEfohp0bwcqED64kZz+9Bg0v!39NZFBPDyYEigM{9 z{45SqK`3F8;@}SCtvvRFcPV{Jf;4eo9DEABl9J%(_!bAL!%!StMzQH9u2>(!Px_Pu zX+9P2CZZ=&5gxRe=iGZy zViKh!B8UM5L`1NJ5r{L4Ae1S?RVxOF5D5t;VX1Z1I%z9@U9Gk*+`B67Stnwmf_q|J zwbj}>s%_QZ>wV5=+!aQDe?0e|&pFTYex5Tv=X3Va-ruICnic%TM`Jr5)4fC|>ZZm_ zo;GgQlrfuR{HL?w8-Cr)W6{|pSM-B1*Ah~GJm2}E?j;xe4!6d>Q{7A6T*(mr$+*3D za+!Agb}tcoa@55Pcyuor09K-pS*Ahs?j@HXoLr`{_3kA-2;rb`L3J;&D|k8?D!l?0 zOT#ztUxnZABmY9d#eui*bYC2JEuz#Z8eZ>S;wBqe3Z0!U?F_L`1IB*OPlt0b;*ZV< zKlA9I+yZM(_9XL1Kt770VcO)oHHn`&fOryk=LpkfK%vXfBiszr)?j81b+%>U`Js18 z@5bLmrT0&ybiUI2F|u*#ZFO9}^s4AndbQV#(mUc;Y=VVKHz>;}pn?BX{C*nw7YZ&8 ztS4Z39QZn-ao|}5To4C-puo))?e+#~-3a{lfZ2EW`B12Tb#`v1Shk1fiuu<<`0=lk zrUDA${lDX}xlwp0fpt!V7nZ3_7SZ;MbVc47xdFg8BGAnh`Ay&jM)sxL42FE9LnAj` z@Y_SpEdD2gdbW^V_7u7jvAN>k9&+YOuptZ8RNt{{LPguU;rO7=)^QBoOa4nvB((#+ zdr9IFm2y|ci{;c@Q}>b*Iki2ldr9Bk3CgTK4ZH>Wotrdcq0(+JSToF?m|OjduNI!B zh%fl2`+ZgIzvgbVx~G6wBYK;}chgfiTCG9y_NBvtatH7M!vDwt*maXN*RWt;nFHvz zicJ8Jw}2A$j7yC6J~_kP?uTISL;MkOH(1PZ2SwTCpq&*V7U~P?PavCMN6nk(BbM(Q zG?dW%z{ha@5rA(C)a8c1cd3;RG;@zwmchpW-0!@k*%!(N_IYuPO;B02 zi#~F?ThKPDrCLzO0&{8%CG$d*o*dWIE=q+*$sc!Pp9XS646F1c)^}{mu6XFtFI>%v zH(d|5fAso4uKt07m_H-jxcn0^Ar9P?A{GZ8PQb#JS|vpn{Bujq`nI7U;aO^qt&%p;GF+(KIiWIM4Et89HUg42*YZljVo}9K9D3xqIvdyu)A0llxy@F9vXZAHn-7HC8(WXh} z)f|XAqc$VCF=!*UyT6LW%DLgH1z0-*7g@A&5lrz!+wyZUB%%-`24BG8^lg8W9NXk zT$sL6c8Qh#(rBdUe^lW$xGZ@Z4Xgt5;yhA~{jgVTGibfp%4glLJoiNr;`Et~e6St2mT(YVSwxu~_Xf_T{iqlJ6VO zKn%M!SXa(B_$#C=jlLi=-FM5+OSEfs+T28DAKNu*=>GzDSSDoQC#n)|u=XXkg0%}g zta(|@;%Zj^vj;4eA52S6%cv{Jog(*JkWzvbrPN0*J_2V!>K-}0ulIOH9$%6rMJYK8 zQc9CY_npht8bMMRW&FnOr?( zW^^H+?$gN%imuYh@*jiT^lEpxPo9wK>G?wZ4LHeMB|n)pW9Ec$6RO8@`OgkN&{%)B zteZ_do;1A|&GuK%mHpW&T69X1__fr`X*Oja+KC;sAG7VA;QdfJt$HyYZ*&n;_s&1uSW*4-OSC`!?{OCx6{n zRoyVRaULmY%KmLfKO!ZI6*RZ8-mCu3`ZHl$0^iYWWHe>pJKtoVGi``7L$~>q{orDd z>~m@C?=ER9Gl^|@`;vVwj{<^A70YZw*Ec5ntd2s0`zy;5i5>$La$OWMi&EC41mj&x zCbTFb+2^JxR2QBta{8>v-Zi$lIA(6RPUEHK|l{{ z-@)^*Q9xlwg2T|B)_zAw*ULk(!Us7G)c;iQVifA_Fazv##t6!Ga~Je55fD~D+$B(_ zeXn*D^5Y{6!`(@Zi&vPk{y75jXH%0Z`X%t663KY02H5TCqL%HMEf=oRvo|2HCQGQq zoiSLpm%rvF%{)KM5}Z!>K2o1cvP7xl)%CR1$4;wh(t*{cY*>zbWfba6p5u=>9CQVZ zASeyUciP=S{d#fY%NtDDi0r78YvEre7wFuYB){P5m~(-@LMmKN7P^d3#Ye*OiBReX zr@fZ#odd6{DPsc*~)#aRs5EieRxl%Re;My)@hhIhi2MS`V{PClL4sLq-2k3wtJY9;A z#=;5f_LpF-%Ea@QsbKi}Z&VJBE{_Sr1+o@^m)irG*2ppD9%*zAlJ4>nQp6;FqNOe^c>YXLp^>p_D5H9^dS_)Tc3~^HLmB~?Q$w#VTmo*RZ9X2dHf3! z+?8n;0NE15-UMn4oBs7VFvnmOXaUDuy2s#Nz@CT!^~s6>vp!hkvoX2sB23t{FPmUH zUBme|P!Ro0*!Yt^AsaD@e#ld;m3}fi^kDo0+~qO08U?Y*;kWrGImls#d5$Q<0s;FN zh^;ZIzU(ooDVv;)7bYk96IH!-+iUp}LP4~%s@Uv{;g80EPgxd(} z>Vq+P8UY7oLk)3vLFI5}7NVPhzc*G97b3o7LF+YcC``|*B9}8$MW^e?e<(7Rg}B1g-;gNj~7%qKuaJ%xnciRB`5IR{ioc&>Ld-_>-9}5jNUq<>2cD z&d)6cdtA>ZSSU!CSiz+92LHj?sO}D&G!{X%0CRFasL|;?ghTQ|rLhR=VPKwZA1drp z9GZir$a*Z#Be0?ycnP4KD0)WKbf)aE94Nh?F-K;u&jxN`3>g-W-*bVuG=_=mU!{K35j-*nNH0eki?Z_#U_OeW;u0J41r^)u95~f% zS!{ybiwxWw$|)h-f0WkUJSqoI#VwsjV8;V=Mi!XA@hYvAJ4aBiAt!UxymrU<>%q5I z>4T`XX`cbsNm0SB`E&5Zv-0f@6EZi<%8%SF@G77+)wsCjB< z0dYhYjGCmTtTqQheFE29U24=KwX2Z3I7>EeewwnQa}ZpD#4H%0Z;yQ)kd1kO(#B2Z z=VIw94PixGA>1CfFbNK&Crw&2)|4&C1seuf8czt-0?hF-REfL4zN{`sKKhS`VyhIRw$>D4I-Z^Z%vvi zC_O!-Dr|Tz%Ei$YYcUJ~$eKyh==lr`jng?-7G4M0^Fc0FLP=K;)W6gK8y zx@$sQa;1-Vgs=l{V;vZj9pSLOYswbqAeQTzP$7m)fbotp{|C^CdBCE^V%f3ngN00h zsSRl#2k6;6U}2N8DHkftil9x&yEl-mD88NOiF_Rrl`m7)k^>Q@KSpTy*G@ogT9$0S zmfzAGq#?RgEtfS+sw)A#J_|T*ro`>NW%+?~SwrCe0Gjw?W^6MWaHec|4yL=~C4(!3 z`w377Wx>VDGun15a$tw3s>sU?ynAi02l}?nz=v(S<8tv;5VP?;d#GT$J7@$z>6xF_ za5H5mNK7ZY8Eg@WI_1`Pteo-d48xgo)GGCV6M#z6}Ih8%*9e*ha}e~2Q}Zp5Ox(r94wN1j7uRsq{TDe1F5lC5!pxcs%*=M7 zg2vWqxp4Z>#c;ky8D!bzz+IaKnck2xWxveDQ~swjh4y_4(3k08y2LeVNtv=UbD@T2 zumI#fF%@gbe<(^bxUvTbP1#wwaFux&L(p>oJTD70YFe4HvvbjgfEICtFfRh}S{96N ze4Uenpo_bM#+QrN_6*+d2&Km>ZdsYKl{pCIN}!k}G~y6Ij?4l|YYCaMU*#g{O5HS` z5b8=`uFrzfD-<>~ew~XXn_`v_=&ykMGY^n&J^dyZraXWNT2C&82H(RXU?@F>q$(Oa zG-c=JBIz#Q46e|olYlxsA6z(gXv$V?0xskV;aY)uDG%I)mKx5SGiB%HVufktSwf(l z?&T5yC_9>QYs-}VUoM!t2%gl4*+RetfHmd;%xaXKpMyC>H&!d{GB`unhk<-D4{X@p zGG!O!AeIjg5JRRAtmr;2fq=3j9k#bj*@f+cg-jvXVE`SK2P|xqU6czIW<}H}b0zLd zK(5aNWXdkife6z-G~#XKKFE^IZUqE0y}!!=b9Y?lMR9m?G00QX%1?+s*yCF5b7_$e4YiB+vqf9zt2G&qIw{gH3T000E-}@ z{D}~^Pydh~I8K0&H3Yr{&?~cmGg_Xe?6Mq8cWrnER|xkBQ2)q+OKWhMvdeS9l$L2c zA=K~(Sq=-ON7=K5jj}6pv6S2COd;5*0G*W=OrK^`c4aPN$H?H&{wgW=x(Q|F7)j0^N*nL~dNlrm(R+eNmyv4Lij(oX3;SooeBo_d2 zX%W7`+Djw z`}BsEDZ4HgPZ^)i6qez z8-9KBrdK8L9aQ`VL(JR%4Rb#ssg zb9Z_L#&gOe&B({nttlMf=tJw$0JGoC0j|u$ z=d#TopX^iiU1@Md&LxU|%#XD7CMVk z*Uw7ep|FC~V0jPcXMZkNH&*yvtej=nChS2x9SW6BtkXGI54xLfGs;rgbqOnJyF6kG zZ72$CP|D=FN*(iOHPyStmF_b25l^&#nfhyhe;@_pVcMe0)Pph~YRJ4!y+0^FhDxUh zPS>eRxw}q1%_^OHd>%>*QZorH_RiTj*Vwfzg0+v~9O|4sy4d4+xB)6Xj2~Ort24Wo zkH3=Qrje1t-*SI-p>Do+m3!ekq^1inyDn;;J-41MAQKvnZZzQha1zrWR_S$c?cA2e zrhPfRYQ`aI1L0`FAP?HH>(P4WmWt*KwaRqvH+?1^}A0emv4ZDf_F>(Ol^t32a0oDaDjy>_TQ znq3koIp#?&0f0&`AW&N-o&OqpDt^C?{0ns_a~SxLy^Mg{G6M@QK|bVo(mqP)b1}yi z3UnLMd}5f&XYKn0|07}v%Gxn*S#ss`d?i^X<(Vf;E9VmQti-DhS140)*&jc}B~Xx$ zMBz=+=1(A~d}Z@U!=nQUmVt3%gad@uZdZP=d5H97+z$ZobOhp4&M)Jx{C4w5(TZ+k zJ3P(MCltiWWx)VlP%K zU(-l=Qu(pJ0OL2paW6)pE5QTYu87LsTL6}^L&EJi*#OwPF`#>223YOTs2s8dfEl|r zdaX^G-J#&E?P+UTOy!6zNvt6?Z1^)$ZfgNJRv<3>d_na{`)z?e9_Tj$x&@H?B5OQQ ziMyY#(yc?=T%mG%N}WmHfRcJP)1&~&EkoN(>2yHOq)~uO7Ld<9_wG=b*sxUT)}C$7 zq-X<@GwU}XT^O0gEVcu3i_JD;QH0~HdIgNPBdfsC{<=!Hwrq0-g?sIsJw4YYO+P3q z;||BIC) zwSX;+01MSn2tNqgFIeg3L^lH+?o3n-=RofR@X1V2%DKZkehXrphR0EX96`|MWan{ z>{z865!nn_#f$|_bqx!tm6P@xU{XXah-zS1_3{=S+gEw>79?PWXRZ$RIVSBy;Eu?I z^tnu9S#3vJ#mDfM+dNgPc^ArWLF#P6i{&f|Jk($=a^6L$YZd4{^vnLQ&|})N<(i{t zQhS%xH^Gy!pS(bRa!-c~G`oLf?cWjk8Y)$gWz0pJ?RQ_0qCrB-&+!F2>{)9ZW7dBL z_nwH1fV^y>{6c_+TmbUokh+F>jmzlxP2<7eY-t?Q5>PIPkxnVh=@Ax|OyZqeWxahZ zx$rY)=fQm@#_YF({zBBj^e%@q!QZI6J6Y&=HSkH*mTf)sj#Zu*(V> zySR_WO}As0X?&Za$5dyXx5DELkUQ&qA>2x}&N`L?caz+@MFRJg@N}`#!-HMN(_2vK za#(Dk;N4Fe%ijt9I%46y*4aVGszF*lJS!D4uPV6(G5h27oPPq9o+uT_>#7nj-@dpz zKIJS4G$6V};?BY?7q2tFk@(Gs-W!R#Oa()yrEJawcQ}eO;UCC<6Jcz*cwoX{@^W7& zNMn^SFm&$zW>YcqfRYt}{8B(JB@53derBrM&a+vd%rjIT$^tPv=qMAFyYpVjU+#W~ zuC#LJA5$4+P3N9~a5XD0kbxq;e-cFxjy@&4NmAR3;S)&;6va%qO@ZVo3`) z8PAnbK;iAklAfC?mD$%~xWj(>0b$qivtC$t%MM^RQ8=?vTp4{#a-CmJnr@Jf%~qq- znWu$vCkPUIm>VJ}4BAA2&w~;e^cN7micms>HdS!5u`ff)2J91zDm^$mA<14EEJymNn_cI;NcO=<_ap~0`A7K*>&uItYKuz71d8sYL@|W zqJTb-uFVv(92{3zKbPdgU_6;_(58xfKAbx9wQ#n5jj3-ah#}JLn`d z72EM|@_)`+p&y{F^T9n?MT;{0&H#L};Obo2sST!^|xB zAA$LWa9ySp4pT;Ny0&f)H?#QfLm^Mcj4wdxtdVxgr-s@ynifGl+?{K^I|qe(SKM7( z`&kA0|G>OVxC8MHZb6AdZztrzICKa+X|ipEyc>r~dEtIyDRJAYfv2UL(^B>0k+aPh zDeU||`oFIrfJD#{Z0&(X*P`TtT?x=F{|gv5qvWD}4w8L0GR+l`t*~zhh*`ZP ze>+O9ro+*)FxI4m@qOkJy9LRr3sPKKUID}(V;C({C_Lb5*X!59Oi$(+xET4URMeR*azFUH@YpQ9ZT(G&<=|cgA~wpDeb+0!@>(w zGR$-N^*eyB5tx4?HQZp%ws!Mq8F!{Qs6?maDD~by1@7Az(%qR-V*5ldd2Z#Dc56z8 zg)Ra2{WG6FDBZ#m`=TG3$XipUU7V5ur}m~j2dIl;xFA37AlmJepZEa7iAxz4<+Q?9 z#9tSLuXxwt?Jcz3EJnzdG_ByuIs#f5v zMmEUHr8Oj2IBjW0-sp}Lo9L8K-X!eV=(#%9mG1(T4%q6vj**?NHkBN@h98!1Li*xrHSX)lh*w9I+aS`j4QW8)!#q zFdN`ho`=kDW0mQ0xhexer|?;XUW^r%SWP3eqcEO0P~XsQ^C6>nP!!ErG*@RVs|LCq zjobvuh97%PI<%uUoMv!Jk4Na#bfvLiuF_D_sk{rR2V#|es=6JO^k14albroEwEqU6 z@S`x!g{}nsOeM(AQhT^OhB&mPM*Mbvl-KPeAe)>C&2sZclIK z-1FUtJ(Mo0dBS#5AetGhlga-;>KjSADuT$ylDQ^FqI$LLz>itI0!6XaWMDf=!|6Y# zvlgLx35Ggj!Cal8q*HkrQrE;P2Uy*)(vHgV!Hadni9Zcex2LDqXG{hF^L1o|uPg@G zf4BeX&%9`5kH4`06$;|uC+OR;Bx6cG+m0qcPK1{B20y9Z7RMlTM0l zM{o=7TloQ~PM?IaDpWn<>&Ptvc8A1frt&nvYGS~+UM{hkMQqQ~_Agz@fOi$-9l$>x ztI&{Xn2KAkB2N@b{>p_%kk4s1B#kt_;GuuhDb}nCW zY4i$^f6j#ERoCR`R)J};ro-F)Z%H!}N>39vRz1KTu!)){TJJO;*@j4-Vyg>M+A(GG zSFqd#((@6T&mr|)y(_Tmf6~DDKcBM74N8xxu>U`7Huh)$Psju6$NL9ZUBl8|YBagi zvsvVsU6I@m;8QVZP&#!JOFK~Mcd>kf;17{-a2HGF9H4b=X4`}J%O^&-N^^}pi5WLH zeK*ND(Pyj0=33kSGM3$!kRR?x4cX{2mZNA@6{Iv_Sd_ZZxmCUjQm4UGEGM(Q{1)q{ zD5Y7ig4CqoQW{h{)h0-d zqWUg-^U(93N%OEx)$e>14?9npI(x$8Q3qC=lMI_kmo9E;+Do&-Eej?r;!u!g^F@!8 zM)2*BMYHSVwDNo(DM{j+6N(Pu5in3 z(^S;=wX(~8%+FU5UyzemSsf~uhCe`ENe;w{0o(~C5eF@L>f`9qwcZ{4fuD2!8|2L> zR16F!**7oS%#;xFft{Z?B4*9&J^PyE1j5m#6C$CHO~$j*1~Gz%fDx<|BM#8c_uaJluKdW**$Tf zZPOwSR16=-ENN=e;jK0Zo<>`|nTT-!u7qcgt!u8CQ;$b7@EF%PcZnEM!Sm#r<)(wF z?o{UAlcCOvK_l!MR6Zyhf5#hSDQOgAmfSC@S!@RFqqs4ZKdNEH=p`*JjScF2x)Tf? z0~IN&F+8&h^Vqs3R!!G6HgNvY7aaWtdDOzo!7dzf5nXdv+(P_OE_-tnki(vXOu123 z9S%~B(AH(!IH_h%ZM_-fayJ{tofqYS99z1%tbYXWzDx_#BOj*kpvn2tz@5~=p+yA*fN28eZ~C`Pn1cc2Q^2+6g$y&p3_3JFrpDhrX2=?r;9b5>nm$mjol#~TmLD^= zGt8~P&PL#t=7kKh=-dfO;Z^JI3xvZ)lLK-Ev;6xCBkEX_`-m!yV;m z{>9RFD9GQ{7c#u8AC}}htl8L;Q=6o{NgLW`>;ZD9yB*Z<}wJU4?>FaFyP*jPsEW zgO16YBb*?)pm9n4ya^2pYMbhUv$&dr&!bIt`ju5v$j%1zXaT2Hg8qB!>%fM*2J1w* zm@D&zydAU$V^dX0`?PQZQQ|h{1=cZS>3psTB)`Qzk^7jq-1kKw?ZxD@cD@pPnFcM% zn;hbKdsxjVzBagO=t;jElVE3*l}D>Yf-b?b8=3HiMSujeJ}T zLe9*TE&Mn2Ka^h8rNIZ*uE>iW*P-6nw2!Q@^8j6(1zcgr>P&+Ljmv^6^jG;)VQ2`oGx8HBQv8DX}CIXtWXfeDpa7;nUkH~4$$8M`Lj4Capj(=C#)6CpzHD~ zs!UT9@@Chd$xiPCN~eFv(JxSXT^gp`s3k26g2w%z8}ldIaF=W`V^~&`o!$);+yVG~ zQjnQuv4|OTQ{H$0O@hUII&U)BX=4Dp9gX@fP++%unr4b`aNnA={RtTshrXg}_j>Dk z6N7Her!DMIya#8}&PTL4OMIp4t7f#Am~hco!=4whhGPzNs)wAl&kydRi*d6iO`0)g zdUf@b*;A%+*Tt;Sqo&ix;JD%RfSFULPEtT3J7C7lQ8Q=FP*5s6X!O+SW4Y#{W48a8 zQPXBlm^#ID$_yAaX_D#5;J_&CVh#GtM(#GKTWqY>qtn4z!kI|ywawkgI*Ja*QaV8K zPSymMWE?n{p_`Jc-`l~hk5U((nZ%Oc+p9po6)F|e7VTtJ+b3N`=2q4(!1}lFItou) zSn(679$9 z15o|}m5OPL;^huSr!Vf(Ei+<6z^H^u4-}XpcBapW%h6Dfnv8F;oVgZ{Sx{F$FU#!j zU~jMBUrN|@P^p-<$ZYm-WGTtC{WE~R5@IqL*#3KO`*b`DQU&-H%URWO4d3<3@=sDJ zbCVyHF_lB9=D4C@T9bQswGzhfU!7dLXzJqT$&E`jmeI}@VvX8VPt$O^Z9z$)fX#UO zH@Yj875_W#i0QR8_4SQ&9W=Kq3f8_8DscNF{U%8wftibFaO#-0Wi{L>R9X4I>n$Ii zh2{+4qA)I(IuaXP{QQsGSrn^hvx(QPp|<}qHOq$u+5@mOQ zZ+zdDCo1}DKx_H-DO0VVU^JGSm6oXPt{C*i4t&1)yqZif7%Sg#bsR)evDcJExaK(^IoZR0WM>F4(y16<>VK|UOKX5^xyPy_4Lt#@fOs5GX`+S)tRbeWI zd!cV!$lN(IY8EZ7ubs|kNLo!rMZ=F|{(oI?Y!D~cL>7(k7M+|@YQz>*nu?Jg`!p9K zgQhP>&{R}eOvV0Q<)tntOg0IdiUYi%muE|n*i~L?wF^o&)Ju-?c3$U#V~f($ZL~M(rY!K0 zfRFLux4EFeq?tbL#(I`RJE77#|34Y z!wYEtK&3mN&lc{;uexhf8^Wd;z@|b2edR+Z*VHwPYvNpbja8Qq&ETn??mBeo?Kw5u zPfdJIU6-(rqT;z&&A;_Mb85!aH#XNgP*b6yzicf-rZ&VZDB+Xup4}&38h#OI=(AnQ z{1^%%(pil~r=fZ|13#RrxX@H+957o!`P7Dp1N^Mkoiv;lX!s@Qt6~icqd3I%p&zm^ z6&fGRRy1;ILpCG&(wk;d_RB!oKT-63tZbB8WiC3d%JOwxsO_hDv{g!5^mcpBJnBvl z)YTNG%pxdAy;xmbX@%O3$fJ$8Wi#ecc1EDA6-BS-SC(b5srXqQZN$x0KIy5DN8Oo$ zy8Vh$W&)HGx8NlV3Wpny%_`%=NJamqIwq9lDIaGAdag#t?XjM?e7JXW)RK91jXDHu zQ7!w7_;9*0+f02!DDC-}^Xol3&|6lVGJ8Nl{9?WSwa-!PMs%|j^D^h<&ae5LK=Vat zy(*vPsS9(o*5uE~9M1e2R|XmjX#MO6rN?!stI9?c*?t5}i~~1$RFOOKDWgI&Blfpe zwUs?4!U+5IT#@PPDEkpDtzm4|_K(9$?IkcjA%U9Tr~=)jQhzkkm9%na95S=1rlGl> zcFkmF8<|x%!&Lz38aU+g$~-L9(<)kO=1-n+pg%w>+36{()}ZZyO#`67gbC+ThkGTA z>bZIxB@Ii=@P%lY7^$d0MFs;%_&F~iTx zKpPwf#e+d7CtP#YJ_5*7G0;ie$=J*_f{ZWJ#zPRp|KQduC#*&(WUw2TC`c*E$$)8U zz_OT;HsxqCz&{S`X1n51D&O?P&pMatCTdUhT)zbh@F_vFS6lkWBDu!CqPr;6{`r-4 z?w*I*#ln@>CUE2Pt^hy8A-%`aKl_14l6%)ud!LZxm5wBnvX9RS&Ah@P&0?{gRTF8b zm9t+DyDbk@P^o-30j7o8h0B-ap33!@br&V}yF@SJU^kF4r*U-S^6;L-q&+%t(p!)t(=2*vgh*fusG$r2}9gIh&Yh~^@BC1tm$nx@g3`aFG7^=7M|P?)x5D> zf7m$RbG!DD^6hhi`Q?2rN_D5zQY>dd>Wo5;oTPY6saaY#p90p{P~G5W?>W$6N@K&+ zWn7-=PdwP)9AO7sp?=lXJJGMwkNQ;yPUeWX0sp>-$7G*%iNsL*XU;?$aE(0;CFek; zB|8&m!^Bf9Ysvzq`xmU!IHzq^Ny;1n#oCUf+zfbR>$D9^ z*EX)HanZ!u6>}Qty2t7cbm|^Q)w8j>$E`h0k$VDlTbz6&IS9kmKCHiS>u7Xzg<7Lp z<1^8z*|RidMnFEB3j30h23@0+tLwH#S9p|TyZR9M+CG__ZN6<>@b%?7;{RcL^rseh^I|<=V15RGlH)T|RR?DI4cBl&( zaGL!yaGwaWuAi#c39NZ*m{(t$RRYX_GZZmC9%Go8ZECF7AKlX0CY}GCN1)vzV`p|{ z=o|713tfqcwFbrJ%yzWtLItnQm-_62V*d#8LovE5Co#JJ0*$S0CJHOefV0}s62~b+ zAh+`C#ooYyP!Jba>A6X9ZCMSXqN(eA3Fp>MMts#e1;}gT`~x6=5yR>PAc~)|a=@yj zy?~G_;?PF&Eh>Ji+EEtHw;cy_(!PT9pR*ABd|P(z8mkYowH+usK9XV6ydb3_S&-^U zNU?W5JzT3^`ou^XQQBJGH5fMM9?BE%(cB@eq#0ZdZ~6h243=wE1tf6)3d}yQj=4Q0aCo zXSDuM;pmKE*%7RzNxMV3e0;mnEN3sFTY3|->#LLOw9;#P4NdP#n@d3TFvd2Hsjua5 zVV{80+D9Ie&KWi~lAT_3zzI8)WZN4`M<&gELI>!x+DET&v(RbWx%rgrv_8;v8G3Gv zbQQWB^W`|R`R7|IE}3mx#2=RAqLe~7vWnlL)ZYm&_RfFH`2#;Rhl7Gb16T}eY^y3| zEeRUxoLzUc1If3cQ0c51GEg}dOjnw&{fRFv)!^mQf!c1OH1DX!2CMa~_Beh{kI)L; z-~vtGQnpFGjCrO=q6(r%r7c+f=j6bloq* zK=pxrNcA)}TDzAc+396(SP60N3HfLi?l_0HBm|pWThqLxsn&GeKLf9Phyx5l(=lK1 znDfDFjxi?-GowD@=3z{?c4fpAW*!v~YXVsRPe`#=)~>N#s4cBlKhUR?yVDT+xa1BR zr+gu!UlgwwdnwRf5mkM_Dp+1;XPe4?XzvE6RbC4gTEm5P0fx<%0krv2Vf zHGuXje*P=ugG1!a^@d{PE$IX~C5QK-{R_oPHrEMCQ?ey}AE)IJG&~h+*?iw8RZdNCiP=F3>sR`g(JKbIfjr`VY8zC|9^;VR^#`47KXr z*t__7Oqwq_fu}&TYn4mPby|Xk_JHAhr-o>1E2~o@edT&@vLrHE>1Dey{ttOQg|3x8 z+z(ET)fc*5;Jjl|3qfiU+EG7OeLmc}O7|z50@`e4`!IM()|o zp*s{9I?m2oJdfdYrZIvJ&&*9F>aa|1HA-s)=JHAfL;a1S)DrxPy;HxB*tvYU=h^<< z)p7V8M~J5F`cY8-9`+_g?hurMqYq;LT@_o+k!rxZZZ3naE_3td0po!ugJA!~&-a45 zJcPQ8r8xb21C@j1?g4X?3X5I;Ain4@Y#S#!&2y-ecTbs_P+%YpL@oYxYlRt>Z1N+l z{rAXkul9&#@m5b$A3}}#H>^`|3*Zk+fit`CH`}qZQa>hGy4+uDl=7s-CYLS?IbjP( zh)z(DB2!#`^dFwIz46>j0WQ@GZ^RkaEB*C$<)l~Gky`_2($?_1C`;V8{4%o3_z2pS z5o_H3lYs@PYX~WpvnX|Y?3BkE+l!TxRwrt8an5Z&vi3E2J`h-sQRH{w`4=++JJl9* zKEt-3T9--;cuk^tr^2GNck2nr(c56OC191FC`qlw)3Fdmson7_mXigaFUn)2Jmf4$ zX^(4>^DamYbQ?xzg@Hw>@6WM*=*Ay}qW0ubgciBIt54Y*8RDw9z5i%c@^{~plCK|i znY*~K-fOJA7XDkH(wl!Qn{*BiX7;|%#U!m7avL`}1(}tn&9$4~qp<==n;eib+d-vw zIP3=cq1w<9FZGN-O>cK=QM>wvw=0=D_gF`n`#D;FEzK@gt_$RMLhj@v{_qfg5I%GZlrzAA=MjaJy3=ntkrOQy_c0 ztM#^4Z@G5v`0*3nJ5x>H(#`?8A%YLj?ixdN+dH@=Z!06`?z>M@vef82FuN8VFGx=p z#hGY)t7y1O@3uM`+9wnY1I;;xjX!=|FxF}8$U!MH77Fs~c(r*-Y!&rT@8FiZt&No~ z?ak1+)CkpHiI(f7DU6rP9(T{&R>vzVdyY~5B=%z97z$#d^_m%(6kJBPwb9YV(Q$%t z=Df?KMid<{WiB#)ZEBEH{=&JfRg;rbob%&WGHDD?Wzg6^qwmYC2&U)PE&g+`)taLS z`lH>6qRX%8yxT`Y=}~+p*p{zJwW#7SR`tBKzkvB%3Ak53ZbxfCq}Ldux`GLSv?snd zs-Fr{_YzbrXHn|$*eQ=Swu*5iE5xDB+4}+eS6KcDm5yxG3v!#*9Ow-A+Ign8yJ*HW z95O1Bks(Li(kYNVcEsS6*&ixBHN=WiLy109<0!rN@d=wcjHG+y;sg|u{1UY7PU%yR8v#U3csGQdDOg`#VitH z&`VRunMU|~<`bO?WkSO4Oxg{Gd~y}OPeco^xsjQFS!(W;N&4Km^l~G{uC(=@r%2~m zHe_%Jx7Njj`oM%eD z{mSj>>6p~#9t>J<;Qm6`!m))*`Q=4bSjj8)d;+eH1Gm|UR=!ZpJ=WgMVW=fPD^|mY z_G?h{Xiif%IdH`G+~#@-3_UqW|1URzJj5e=R)CdN0C#Y}J+O2)$Q#ZKoy(#B zChZ73_g8?+zhEdkH#dA~vUX0y8omJq&hnzv34|0&SAlav#S`3GUf=g`;@;cRnNWMn%eqs`aB{$mM*T7sHb6&KD;BQYpW_$u5q)^U8$%1hoG^ zrC$$5O?t3B61V`Y)G z&qe%UQXj(3JQp8h9~zNT&CS$@J9yP}o-0!r1M>_lw)FwNVote)wS5p80F@SEl=box z>+0)G=lL1Z6;m7J?PWW7+1bb)oh7S9(WdjU*|PHXvfD^DX)i(U%2@U=?tQGAr-hY4 zY&tJb{JMa`nzR&(d&tPXov%tLhRXZ+yrJ}@icTD&sI>Sr>AW$acu`{|@Lb51HKy}> z2^9>^8Isprw)f8nj!5bJ=fq{(D5NOSU=`WWlxq|lcWZ1~$tfQkvkG6P^|8Jf5M)Q=Xp_pFP1-dHqiPC1AeBGSe&f?(+sB|bl z)|^Di4Ze@fW{2N?hpc5SDy>+8iv?1YTG6WQj%Uk5&VrP<*#9UGIr+A~D-Z8}0XGAQ zVPH{e9EU2gwNf7E-6yAhi&E)J zVOR-0Yj2^oE|*QLGPGUbTW5FDSznjqc{lW&W0A`~XDjJEfBq?{Zi*+s)M{7Z|K^KT z=0DJ@0Kd%hyHJ~zyfB}q&9R(1b;bLkJuXd5h$-FW9*z)xVN9k}2MJu*IN0cz0S~W%@&Wy3Bl@kAvE* z#4)JN?&lmW=0&?*A7gHNuF5^xdW9bU7EI|yD2 zc?0CiOp^83+G+*T@!2ZV9a1&(7oNX>+N|V-_@Zq=@mQ4J3VjaGp8G|L3lsexk>b>P zJD2n_9f}ePO|0r@ihquR4fZrniRo~!O^n9-Fvy!DSEf13oH>K>@7q>osv%k`W;xHN zLTy&^GH0FrZ9i$`@%K;~9I+9rL3CHB9_QUWKMJ*3@q6(YO_KBu+6^V9JH+L$Gd|(fY32e8uH6_ar1GeLqP|n z6;=x1Y0|PD;5PyN8PAKMHY;HVI@~z~YW4v1Bpxq@GC`LC+NXnZ9#8=Y@Fl1h5&0v} zPe5%}!VdIo=b%*BI|dqa<~vp95@;UG?-24Cyj8J%ERqYbhJhoj|YP`4>=|l@Lh?#zn$7 zkH_nwG>r5buhmLQD3?Kh1L;p#!@wsfLC`wT{=xHqpf)QZpY9(CW4qBX zLTMN;*yYPj$4{^4J$%8gSP?h@6+kI~1E5q#W5yvj2WqoIaZ%gRIZ|!5mveN))Mqg$ zaV(ENhrAlOFtvm;vRbVW{`+K=DSfKS>Dw8W8^S0t&!B3pj-m& zQov9E&yPWER-!^GNIi|K_=^_|WhnU~bAsqzCAlBLU@4g=1VLUwj;gQBVMoYq_(^oC2)`|3KIehbRF2Vosag(K%G0 zEh4AEcrEle%q@hR4|!vpCL~@9-o3){3UjaC$q25o6R2qHNB9kO&Z2|uT|7JhmCDze zTZ0t?^^HrK+{jbWA@;Wlc`f1z`+@~21+25H`Az(npN@>}_!!GY#tE|3e#&pJt0;!h ze=&J?0?VN`D^tycW6K2v4N)>40haTm`TlxnjQ5=KEP$r!@xc6)xeACPz%@An{&B^OwdXthu9 zyP87u2(%h3JC1PxsLe`9Sg+f$w2XI{mDmOE{*XtJ3luf!TdgE|QLm|fdlQrcdDiN? zHY*`-k=E+1vupTG9F1oa4<^BI2Y)^y}~ZxE(KK2CDkm+jnU)4ALp!h@It@#f@_ZK5W`%+sZMNDulwuo%eld@?LTTuk>jbwVyDKV4kls9iT7a`IM0VLjJ{*%hv+xB(Aj@b-Ct7A=*Z`Z zKN3oszim0*WTD2i{+T#W3A1VeNvk2OVvR(-ZqMTlDc&*hH0fINm=_8nW@QRBNB&wan3a1B_0eOw=^2z z<{%hed!?p&u5UwqnOrZJ$U;b~UlQUKp9v|eTp$%*@fp#W)`dM2^{-im01QIfwE~l9}cxyiK;-fTJb1TM?>es)57zK89XitCf6)v zBCIwV$~Prk&T+UlmSl%)K_X~K`CSsAnAXcWbZ$RMiJ)H>X;%_UgGA^UB*KnCB6RYJ zz(!FT%}l$DOOlyqF+VVj-pBz^Y6p%j0%|&T@pzq-OeZ<{|JQw#Xwl_6ENm-GCTub9hr*~(s@7M1c`U8IY-6RWzuVsAt7~&L93lw9BQ+!aMxHM2aJy6^g#mUm7d7nfafZ~}b?vK)Cc@(=C9vmp* zZE}hZ2^68&DLOPzM2uYw4+|8PqG$n%zPXAoF3MJ-XcbD5Q`?E*5n&AD)c#pkY9E=E z+OxA#`>3qcu1QPnjo5ME47=_Qu|R9okmKZ1685u2bAnIEjzV0TKTyb zL(_t5Z7;pfl!OnV<%m@fJS#^mc&)k>D=1A`bD7Jj`_vS;4e1twpNyp4x6!?n?vwbl zVqX(emfTDFvtqF_?)IiV5&4%PH4|#;gXv9EGP_vsq;H>jbKhV#clC92HlUAD_yGvX zgTh$KrCQ)U`!so6`a;m~GsW86x7O}i!O@7XRGE=bFW%qYu$M=|av@Ch^bPaId<@~f z>7^=jA9N_((+HoP&8@cBqcA?OfwmZo=fb!Grdx9u-?WdxlZ5AOR``{Ux4w3n_qJUS zKOH5!q7g}RGV!|#dK$cE!1_4C3*)%n&Z}!OrI!UbvUog#rPo%O!y&_vYGOKXHsq_f zSa4BY!y&8*3?h629B|~eqUBfAF*TMKSN%KB*GK^2av>_kke_<4pA$jhB@iUmd%g1=oeo1paZ{d~D z=P>ScFlT;2nQz2K8^`JjBpxS5&qDoo;tY1UYa)p-RvYbu)Ws_P%)%dBQ(rev_rM!_ zHk_IktZSaZfr^xzMTZDlbJ+zc!K}64qhK}W+zK6qf*bI?H&PI$yKr?#LcPCXex^<& zF?NST*!~>tH&zomnbo zWtKn}W7lW`4~KkG$)%6WMmrmqd+&u?ELdh8_NQD^=^Oz)kL-!~UIt|*^LksO7@5)~ zNd-C(FaJ35r){V*7eO7n8uJjr&qeZKqGR!TJXx4Lb`0xcZRZ1$W&;{ugQ}4FlE9)v zv$bxt@^i`rNn0AR#T1_3LCX=_li_^aTFwTT&ZZ@Od|I#WDF=83? zF^oTlX=TJ1Whsq97$114%KQoH)s6Qbrn@4>D4uKWV=yW)g?cEAZ7_WvF@}gyxeXnQ zF=oIhP5~uqPeJD*y4_)%`2b~B02{;a9}*WJ(L&0f3O$X)zHm>>k#OnW)VO#iw@lQ! z&7tOsIrsBi1;|$}7G2Ai$)5FlaFzyahMLxzqz&Ht} z8Bo{mw0~flosaSFFfPYFwOOXYxB{j#BgQaRsGdKT1_5YyLubNxB}}(RjA?m)AB<|@ zy$C%5lFeHb4uII27*1o0Qm(E@v-H-y6CdiL>FpK9UH{4;mr;wpEaOIn@YRXIB+ctv-m~ zGmsyhkc)PXcB!@6TX-1%0>gvQtDt|(^FN_BDF$FpqwCg~+J3^C7wX7-D=Y>Wj+|%Hk3*7_v%Y=WB%e~fq1!G^B z_Jlr%@duc8`dJv|5SB7?wd>RaJ*AlSE^te#e-Gw=;jYS&fbq95enZ?7r1()V9u8Ao z#2BU~j96IQWtM@+7$nX?B8C3_pyf!6hWnr# z3Fd9~@4yFrhodxaBj-;0*hR3lK&A3^2Pm*^+27i+le-OTXtb9`^Eld`=`T)78`vk` zJ9Uvhdp|!_GF2mv0fVoJxF3vetdT^asIk7y`(sHd#bun@1fw?*w!{Ocw z<|DDaH#3OJtGLtgd5|{056mHMOT>fN)AEtmI(r1aiM|93hI}<67uAfS(`t9*_of@F z%-7Iu6o#oh9|pBq3HfS9IbKir_0Y%gG!vpk_C?IW!T6r-SBm`;!`^s7>LPfGWyV^o zY<`~B#g|a8UdF5;=!pnIF5f2?EHx3y(g|f!dMMoQR!A-8Gd9$?)+(Roq2g)i zQUuEo8W;&iYUrQ9D5f^(T^Pr~q(eb`lmbT0%4yfeI@_J!#4&^~g?uW?MJh_D)&7)U z^CI0HsOzrAT)^{XP@9#IPemO(@+Pm`*U&V0?j__E$g6a4E)_Ls(zU-r+}A?KiWH>Y z6r?s#2d%X_RpcA={s29K%y77Lgh!_C zjaEm6NGq%Cot#b)*?`O&&^O2}BK((m+uq^I$#f=d!J44s_0&zYJ0Vai zDXW~^4M(w5thFEVJLz>MfuIE_A4|{-$R~$f{@Wy3zjtAsz2Qtgos00e8}iYTiz#*; z8E$s&*JBhDSau!LBs=#zl#mx}gNmnrc*p*lmt*IC+7t1DU0AyU_X9AQ;!B691-FMv z(UEw(`4B7K9;QKoS#R*%d7jcldP$QuyWBaLKl-I}bY%IMa}>4NKR8FHHhY6}lu~R@ zSdPuRf=~&0o8@96ORHUW?&lY~oP-6fR*DY2xyl?5y^8Wfd0q&$SphiZ1D!(&*z$84 zOC;WjP^Uh|{F0EXAfJG8DFI&#B6?jQSA1m{*R}SqC-SkqsmdITy73@91y5;hh~TVr z2#cF)mtyH65{Lr!T9~H(x#N35vT7!gxt~y>fD)^i1yQrwv+(O+V$i7Gm`qznDWZiRT2ue+NAd&q+L=mBF*d4%?Q(SA4X-n{w_v++GdG z?NF(FZK2NotYYs(x5!2cC=TPBh1`mp+r7Lrbb} zV&Kuf$zvDi+~qWDujlDDs8rrI*m0u$>$>{E*KmTfwl!jk7riKi<#qff{=v_8kWW0h zNIYS-+V}V!&r3BAD&5VPT^6KFKd8-0$Vd6k%ZT!DJV!wuLoP59k1LwuR}uKpA6Rn% z#!);U1GQNR31fqO_fOoj+RY|Tz~}#ghkYFi5XI;j!3BYdVS=-IptV9J?kkSsb zb#`}t6YKDN74mp;VV3w??SuS2&pX%S7QXHv{Y!y$LXwb=ns&1#I^nrHl!lRMlcaiq z@=M6{GiDUe6QMRMQE$_5l46}bfZxPXc-BK6PcCc{f2%!+-!nnk=T`dpAf3bW1yGxn zkhkejVXWryekcti(t48Rt zvr7CscD&r(o1MSrSbFRtSyQa58j5v*v>%L@iLLe%epiyg`&`a)0*pTovG*a?*%5;A zZ8pUt?>|ZG9DKIAI|rYw-JOHa)==jtZLxldk9C ztKsoU*Yl^>JGYXq=Mizz_59f_&R15GqzpXO6{f_?W?#4XFbC-Hq zDYIPCO>_>D?hxl7>E<{GN!Q>UB;9e&LDHS>93?#@}mD8cJqfN=`V<(D`6}!Si=eo0X`~%bS#!MT=;&Kpsi1 zATM_VX2gl6m z{oXm@9_kLV-ySCXyM*uhz5akN>TCtFt3cMBOz29;r@35|0h!clkLCBaKUJBBpj3a> zzw<1xHY*|JTS4l6T*ZQ0YZaNJ-mWq`yu*?c*k9*)Xk*x>kjpnJ**!i?`QX}vbKDB~ z-oyO7#-tBzSDIFDvE@S-()6x)h$mK@eP{f_ar1z@lxmd;&q_m@Yoqe6(#AK8l z2KmUyMP#(wzSZu}ulZw@=?9&Rb<26y-uX5wAs?ADak{?gl-&4>E$VN7Z<-8sm!JF_u} zsnl?cz>o^|$u zJwRN9=L*Q1B^TvGC&SQr`D{K}TK)*S#u3GWWw2G0Y8JS%d0 z!{qXXLg)BwusZIBLxmFW;r}g^rZlsDe4fC2Q2Pz^F|Z{~DN_cuS&8~&5YNSguYr2) z$-&%&kILX#W2YBU$IQW#Q#s}Cv!gwnr&4+G72(t*9P8{PeiO_1`32;Sl8clOW2;@j z?>chiE9g*EUCHxJP@9#I%%O{vK-F_RqDt<*{p=GwmCDPhlE6B9H@}JZ`1uU-s^mhI z7+dXne!skub=6lf1%#^NW=4Y{Vor!xwUkQS*5WzT%DLN4b|?>fL#6Vy<}rWs!)|p{ znM^c}SwP_SAUgzHe4BaJwHUr<*so9AnCaK|cqgq7V87k` z2T+%6#2>(7-wu@VlP<1u%gRR*q2D3)J=A7pJaK8W|8x$%g5Ns_U%>(xsBzeB;2eAf zcXbZFf`gocuiyyhpdEOibM$JnGn}Juo1N_({du=^`NzI!p9n2Rd)FoO10bKAF2R(X z{&cT(b_8d9CH5u!9LVROT&w_h!#TUZWi_7jk{i$AK}awA!H3}KB{%fIgH8^6*^PAY za4vl8>7=d*#&ibZv6AteXQAsb>sFpWgxai>yF@wAIY^XQ&Y>2bbC4*Dor6UAxpQbt z=ajcF{3{-}Um6-NSFnQ#6a1jgKul=0(sU*ttQ(_kch7LDv|us?j7G{7V}ETaJi`HXaWGONfhkXwgQKS1YW zSOv^gP@9z~Hj!B3xry+#&^pDRka-zA>+EaKllskgo&Jwa(tbZ{pAVlq?VR$we{c zx(Y43Kw7WyNA_d;>kbTZD6m8F+Rmf!EEZzRuGFXUKG&+{vz;mK%0sK&K-ifVRhdfO zm+@FS9^ZPX%}O1Xw%N~|BlV#jkKkXpWRtjIyS`*!lMdSDH3>Qy>C*|j2J&UaC5g*u zH~zEx0(ZQgFJ&W0CrRB6-Gk&KNcCHhE4hruY~wPsdqYa|p~${sFF`raimz+6xHUnM z2g}#yxRV-Vo?wA4EPjD*De3wwma`H7EAGL9KIGGXv0@w++?dCT=DOq9y0CjoN+!le zv}79*dZZZo`T~Td53%!$mJUoI%$%{IB*-#wuNqkdj#R*B5tSL!|M3UNkF~? zeGKDKFx?q3hOn;%X+5)XF)dJ?U`gVHo>Scx%+R~zhxRCP>@6GI&E0=b?RQ50LP zc*YXG47wDaF@#Uf!=uz64Q+sDKHdcFL7oId?3{7F0SYSSSMN0lms5 z*^o8WWJ6JO4M~7#3MPSodJzSCK@=1f6??hZ6+3plB8u2AcCYQK*uS=`@_XKQ=A1ca z_iO^(|39D4WY3xReV*y>lrv`z#RyrpNxs1s=%PO23v^Lm^98!7U-<%Elphe^a`fA~ z^9B0tL3}X?siZ@HH_CJI-**>0pNCVmp03;@dkPsZ|KooVhN?88E<&6>!~J(~nG)ylCUJ|yAWbjj1a0e36W8Y zefvXpD4fc4x^iba9ozh}B!*QOw7&?q0<1^lz7cMdB)n=nq_Y6>OW`&`=TzLEq8 z_eUO$y4H6Eyzhonfzp*bP&yU=Wl7*U52L}t`Ll$01@~LvHc7&(KuKo=>w7VYmsw^(9|xEsVdPrzd9PJ}PxM8V&Wnwacz{nXs(bB&)IN_iLSIE8PlH($p`ZGB+NJl z&}2caX^t7#3?*ef#tb@O zQZx{&O>zidz8;0suf3ci5#IEpX&AuTulfL`ST+8NfLw#mh%qCW#N=&WFxe$o~eX8VX&hhDzU}*dYD? zO%iLMc^lj_(4GPL3Gvz+WGxgILunP{}y`ahBxlmy;f^#BmGx}OG@orCi~ zp#8Ru;B+CzA4&2<@PX6o)}6 zXe*k4Zn%^>VFaWuXam?cfF1xa7)3w z`x3tx0Eb!t3Dts^CFxKtIUep&=ww5Fm`i7!{3#i>Aqr1)=5#%FFF6%=eaVYgBnYrk zhVkFmiGPdXRHWz%m68-+mW}v-=o*|C0{0Vwx(4^R!flcSqUfxXU-v;!KjRjkXT{~X zA@W(=Y=rAezLptMUv*S=h$y7|!d5YMh$I{3efaN7S&G#UI2A3rLbQnSW%&pG-+=!8 z3AizNnEyaH32u`l5JhW?qzH@~=sOY~bKo2-?i?o^@%#wa0It(Uz151Kgg_1j32xg z`_szAcx|5OtDD9=>6HnJ-5(g~({jsd#5fzUGvPK#iXvjXN#4X4uu=E$1#Hw~d;uHv z0$;#Jy}=i-Q6KRIMmSsf0xJ{0@x?GS3HtUYy$b(dxYrTZ8iaEvoU%Ba36w<}Bz?!~ zhIg^63NAGt>pxKX(N?4@GEE=JUvb3^^-sR&ZEae`Yccd4sxEl#iJUU5i zg)0K(pfl04z^Sn5N?AMlq7=5*VDMhnh|gcDgQ-f^W~^HZ#f(Fv0A z$~aqADPg~j+WWuz$8tOcvI76EgzHOelK5)ow9RX7sKRCrOj@zSfsfG3{F)z9c#>ON z-rNuq(!W(me<75>SqSM94vCM%wPGqV`_o~oeEy~7XOc?iUs}Evt#9`*$3FtbqYWz# zYj5!3y^#Uk&}C*Yo%sM`5g$unF(>W5Tt)a!(5^Go9N{TV9ZmGsL*~G40+dN78I#p{i8luyBi`uYztfs!bv9YzPt)q!PkSj6|?=Ec< zJRs(i4{JCypS+ra;`-_M-UH?nl*1cjDgHmYev;S%cM96Ald&V z{--G*HL#7CgS-ZJAArf{V*U@OfOMsR6!Hd1jpS@-E`$3T+If%{$7^qpRPSGh(mQY? zCSd*#r8X}`s>Sa@iRM8wpm+|HR(UB>)m(+t-2=A*iuXY2OmrMO+nD=|LFQX7Rm`6d+BwZh0YFXWfm)Hg{C?J`B1 z12#>GidBfaGzxBmya@kKL8o*sTqm++CPXK~sf?s6Rk0{K8zjZ-d}v+*w-(wL!S|YY zZFD#M^>)?EOumpa2<^@Kn0a;$XAe&Mw$(Dm8#!YC<8BBzY4@)rvCCiZ?=QH%M8#bU zHC}u_W*)wr(Jr#*#naJtY+D0_iwT*u`?rd)jJ`VM?4kukyH)8_C@qpwtLkd(^}(7z4td|-A%GAJo-%*XQX6v}^MqqdJty_`VG z&D9vGUkmpP(B(kRu+em-5=e~6{sp_zQ2R{$_sxgLTX1TSOjmknn`3sGgg0x*WY)R|lyX(7r5Z0yzs#g%nO#5lNjp_qgc-4{;9jIN0GV@W&d zbEh7Fdx}}wZdm(B`yJcqH^`U3@arvDXahsvzX=h7ydKVzVH!!8Vb3uH9@hsQAHcuB zl>vM@#A_0Pr;~)iw2>b>B>*l%sI%dg0{l3{?XJ_7eBz<)qI z;Nk?)KAI#Ba7zm2bpS4b`w8F)5Km16o@GwI8N_HqjrpO!l8hfbw{EQ5dH%>vc zN|wkgcB_cIGG{0m`692`845_-+@Zjy-NgaY1+Gvs5$-aV3NR~O!NB8wXE0fSJm?As z9*-yvm0X0fUMZ;5W#mBPSr;i(UUhjO4?l2uhpLit+fI_~%8hrM_l zYj2#b!iml8O%1A)(EY6i0Dfu@CO&^>3!Z@BWqARr(fR3E_&o~KdQ`+8;XCFsGWk@& z*yP`=Y9G!<<_nno^?U)7zmYFs^55nQnEX%pqTgp2*b1>#{=m00w#r^Bh;7AT8pdK`05s1eacri;Ob|-T7#=zNM_RV)wt@%SF3O}lCM_aY64$fgsW1%Iv-av z`08w2weZzaTrJ|O#kg9=SAQ&`^{G;7o`)b0tKn29&dt-k(nXoP0GZzRSj^3k@y)oO z54TAY6d8}gAsv!u!rcd*i*SF1OJ}2;awir{Z-wU*a4Iyqaz73^?Gd6QXw}nr5f<)i z(0zdW|G;gMgr^`k%J1(0-LJUaeU*!Dv+QWE7rtil#_3zkN7zB&ngBOq5*8aFD}cj; zJH@w2CgGMW*G4&X4-g-T+c|J5o^<7o=h1xpG;w^l0i%N#G5Z7WS-4*ghebgASMmHE z>vFy|xLph9phGfA^+UkFfm;FibGUy6Zj&T^f^U$E?-HWyeH_0Fw-GvDLh>7&>ZIvP zjUH}ALO002K|33oVK{#f&wnn*{vWs)?F}+C3@hD$smfNQD@A)7^ft(M zM2S@!y=jd`!89CP;M2-Y8s8rv~T?1$aLU_!=Y%WKZhp~V}Q?xQ)NL{SRh`B z4G}L(qMr5&j{1Z91VK&3eI48;Ng!38FA>LF+@1yJ;BYq@LY)GZWpINEa2P!9{{e^H zO!%*u=v#KahjIHHoMPfVo`?vk{&XK6Lfnr@0N@|u{!2J)eZYSOKX)jue#33xN(;`9 z7Xc^LwGW~SJdF7O;6tG?5)MnW8jhFEF;N+Y@;nIc8R!&3UJa+J3SFr(?Ja0nIt%~% zL6Z=FK>I|<7u(u&rL@(ueQ=mSMIiXGPikR=mg_ga5sQ;IP?yN!+JF&MEI>N zN5~I8iQ}~4-h~dHQr|AbL1;XgaD9vL{udB);8d>Am5TXNj`=yje+_=JEoIO?3-U{C zZ9C>09(f+9akc5X}^nqx+st+&$BXBQ3} zprGnVre-z3Z$kX34W=s*7Z%NJZ^A~n&V|OCisI(JsJbYMi{ZWo(FhP_ z!KtcDS1LEt2axu8dt$iu4ot?o=prX${|n@Gwl-ZU?f$I&4YWyf5ZnxCFNS6J?N$QA`}lrOlQGugyO4E`p#CgVyl)gMm5B5idyPuj_FB z2yp%qWY-$hAvkv`HEyH9E#DUg43+dYxI=*}0Om*=XH`@LaNSTe9>l&3t`mwUK;`30XhPw!EP*{ks zpp<;Al|U<^@fkA;30#3pc@r)I+;CumiEwttp!QBKt1JkXp|;MO84c5s4jEbWxh8u> zvM|%}b@3+0nHJThd0h*t+R)`!m*R6QG=6UulIrntxbqQ*A8!icPpx%GMWPs&EuGJ_6&r zjs(g4kr&J(3TsF%n3k@>HI@1KITNB8tRC`ZX!YLsg?f1bDbb5V^_{hm;(P<7JI0jw z8Z{;lzZ6}9K%B?oQr}W7&UymV6D=;B*WONBRFh`t2wH-&w)(ne21a#UD0f0Fb364{ z9>tV{_roZY(i$zSjzr5NqHR7mdzJj6##wbZWtv`|Eyb(!y{?wKo~zVx+%T5h3z|Ax z>gI~xseU@bTw%yRT#rXOz0>?pe}oSharTgJG2V_6z4!FLb1>eqwtWlR=rnrKJKaAQ z2UHt>;wYHc+JGg!-hKTWnTdCdoprq`EL4QL*2ZQ!b02vsM)yAj0)^M{rs^Sj5AdJ% zx<#x7)+(*&J0m9u7bG%DGUX^p{mm zjuuvyS5;O}=C(%JIsW ztUOpz6fLNYL@Fzyg=OKw(om5|zs&cHu$rymC8bZYwteuvjbM%QAN)}PhJn1E{ zQt?#0FjEsg?Ij|@XS@U!;j=Nq!jfQhw4}1KRHVP)J8Gb_5>*7JgeM27n4+bj=^}lD zZxTkNCUKN0g|*c+mDM=-&UeiKmn?i#NTmPa>o>^hTV6Q@zJL1ObomyRRo0k7{mXY3 zrq?EvU|}RYB^W`ouR_{n(yp#{f|iYH5=d&@*NF)iR#tEXc1gQ8zhOtQRlXTf&r+272 zh!6^bg{70LD{Cu2o{}_ls8ge&FsiYsNyiRxN@}Lpz;u*V)>fOS?U9t^ids>)rm8GB z-6-stwDuq;3A%vl>CuYNRD@C%ibPNp=}EJ(ieWNa3k*l!q_aml6^^K!ZrLtnUiM0Q zVR!-ss*8Jjh*d4v$3t?I67`D_g)6WIQWOrt8jJJ+N#D9MEexY2(g!A$yXDlprZ&I++c7M%ml$ims1l!a>|(QqVGZn~5~LwudmBD2mM zv3TY=qD}_@IKvp6r^297M&-QD%30NQt+N|hs|_#Ph{1=Pz81?cN#~<0Ouj9a)^>s=>zZI>Y9pp48RtOp%)k<4>hhqXq_B7= zf+bwwh@ujfHMKTc(rkxRKNhz|{ZvH$PnNw(Bd1}n1jWztR*a%BKba7X&TgF-tzWPp zGdmh>o!8QcL3|Wny=m&i(Y)9{HM_Ar+!V@*MsfCcNG!(Rf?wpi9R}Ae2#Iamww?N` z{27Dc?G3mklpl>YG|fh2LSopj@}AGPA6w6nrf^UFS^l~Mzz#=h7yTv!Uu=Jv3d(6c zRlIN?R-nWU=|cGByAwtnthQ8;l1<7Q~w3=21daWfQdhQ`4RslSpG ztbHGh^*>*rV@_LpC#@`tqya;O*#FYopyKlf$j9G-X+^YC?Em`X?AdExYe&=U*2V_b z4|G9?q;>Lr68m~7E|-#@t5bb+eqEH`f<54%KA45>0`HmgnwnuCZOMM{_sL!A=U{V; zzpI|k4*>arhfO4ZU#~6_z=K5e2_}-QAQ`CW=+Uf9_#8BF4r%)X&26o-Ig-BK>e}}3 zlQO9uJO!$~XdXOomKZj&Xp~Qg-qE?3UyUrLYu|9()*}~2PUb8b?(ZF)N#9N!S)#dX1a+;!G4>U$Ga=P;HlLmIjA|*#D zpWfut(tx$Rkw+_^RQ5sFF>;3T*quBUHX`#!9;1Bvl1~?dj#WPWSciS0%4ZPyG<7uK zxVn+YDX(E7&@!_F#)@)zwt!opPxli`C#mb|%0>vZ!^h!NqI_fH} z0Aw56(bbY4PZvjR*8Z-w5kzNnCC+U_?p}!hedv{y6#hq1R9ChiPDi>Ia(vnt-^mes z36YBLx_)~Zb^~qhkCF*w`XBCtHv`@q0Rx)t|7lMtlJ>Z4IN!Vq2r5s~p6?Ihdt%@7 z^nLicZJNYbv}s?yfzhLVSt|1Rc(1jc4nl8QfKO86)ea%^{X!JM_5IK3n7F54c^n5Z z$bS#8!0}k@f}SsaBJED#PA2T{bO%_@;KZ<&77K>qfw;#B>mjrzf&LZy2?6=WhNV!7 zdk#`#hXn8)MK~2x3!5}BadhXFg_=|T6~yY1HvL2ILv zU^>8!aRei}i$OD;NlQuK7+eAHO>qSI-4V=o5xfKN=WzsAbVq=_C5{*j>V-!{aPBU`g1uxDo`@zchHMg}%2P{ClGgxzlg)tR^{9mh^8E5acs@=7)rPbn z-!C`A^F3RTqD%fosyY*?9~u{zn&8MVw)NY$Lz!Sux9k zBiG%;j0Ys+eF!+6K+VXNxi|qMSLVcw3&6HCj*)BkKNPFz%!3bh$rCUI5YMBG;7)#*+3Z!?|VRR8n9nNDx2|2EL7PH(FJ+Csb2o9a$eY~|v< z?>kV*Y>ZGR!Sy{5v2gc&%wadNSPJd}4<=9-;ERYNi|(xcsCzW`gp9r)Ncx7Rjpm-P zdo;ps5;QK5KLWYUMq8a4_rcv$AOe~q7h6pW;8X-G3ho!Xr{M09)36ZmGYo~Zd+eT~ zg?dS*cogt|#!;}@VG7er#ukL#S$;wp;!i`xfz#zV0YzeUmOb#e`^++MOpD`TyVC=Y zyX#y5jx}*SY;AhrardC_g5!%g9=0((@VGlt`Y7diICuWAW$A&(-JdptV?i7b+mjx6 z+}-MZ;CLd2$LdylAhLQ_G6_lYPms{JAyvYtccqctQGU5MJO`6NHBT)#+K}_= zNa3oYr8E4p9FplqTBIrx_r1q&BQ{J?|3}-=fIEguPQYb6QN|U%1;tC@=0vVv>qJKT6 zr&!3ETi4zQYkflUc~BeBxS$Rn>JS4kac^sH=-+`E(_Ee``2#JBbFLk zXA3!iR(qQ1(l=mcTjv~+BnDz_5?jmg_FTa{eEFmOP@16~CVB@prX_9LLx@3N?2V{> zmZm4pgIl6_$4&%3PoqhTZ@7Pmj3E$#SJGsEAcw*Atz`>6L@tWc+MFg2henyL)%P|q zN-q35%@}emLN8m<8t0w6LejNbo34zrsH=xR>rLOExf(^_(h=G2-o)| zj$g}q%;32sN61BS*@4_%3f<+lzOF<_3xy;0Trm`>Zb+hB*mKhUxPHlhH6b(Bn)?25egBVAVhL9Ju^9*Z2yHo~Vwg-yamkT_6+=CLm4y2C}u__nMdGpS3HVLXvvJb4}-f)W3 z8q@Kx@YbCm=FuugwjQL%+r-vb_a8gQsU!OU_@1^ot;lj$c_$GYM7P-UunbcO=Z-rM(Vy5Eb~SLf*jIx2+BkL|xIeWs>}oh~vHu9>ZE@^8oPTC# z*wrB4Vm}fc%`tH9oZ&(Kb34PXhW-}&onU?>h8;a6_dk1H13}AA-oLEIBDSPR10+&kD~#&dJW$=sKM_d1(< z=Q_@Ke(pcNrJVQ~+`zXn--8SqyV@&T6wdBeQSIG+{JPLFN1tb zTmU=P#m4huKZpxx;1t3&DLw9RCh>xE=xUvmw~f$En}_5dmzY zKvXHP<=NqWH$D(|_d9Kv5DjqdKz6YEjSs}#3qOVcUWf~12Ycc8K-``2e*5A*8aQ`K zcCa&!55(OkpM?M}i3?;0`{ejQ++Fk62!K9o=T6BEcFpmDxO?a$(TN@d=L`frH1~c7 z$gyagftTozA$#tFFIzW>6JV9G{tw*cggudrw6YKTNP5B@cugkBwGcfRBRl1v$nx%stE;vdbgQ@RkYI7rgR%mclA&RFJ! zu^ys$x_FTxN&bznyxyP@$q5ly-Qfhzvmok$xyGd=!qqz?d@NQ2lH>z+gq?BSH6epX znzz{$Cd~)Zu_}=^N~8!3bFodZC0L{)M3T%Kp)*X3BwSfzs|DTH1u)hnLk>L>9;6H3|y4*@t zteu9ZcD2mN!+|$p?0dlb0TrEdXn6=w-cgW^RTx@{(bbgl1hZP^=x`5ly-9eHbs-4O zAd(>qsjf50P)Px*mj}YFaC)Q)J z5=V6Wk^8`F?hKgVp@g95Lw$va8QzYl<7S;CCm(?Nuw)&AJ5ZWJ_{v3>ZwcrL`^<1x zO=m_1E!67md*QB7O&$J)1np``l2;JrDykBDD+BPoU#^AUy>KeuEx}KI`7As)+k%wq z9k5^g^52mBWTe*?qOx&zYv$kla+d?~DOWg0iKdXA6~=%4awNnPjS6j+ak2dAmt_zi zV^k=N6wi=T?}zv~qe2^sTr7crd>i8b z7!_tAJu5EB0r_8udmUsanyjEDO$o^Ukc@Imm3h?BNDD~%YEgNNiZf$(3&<$M^I}xU zaJizpcR-#6olA_~`&iPo;?gf5Z-iu>Tk49-fPnlb#Q%y>amHm(Kz;?W9BHSQ!eACx zT!sf^I&_8_J+|;V(fbEv1|$V;sVgoA24n={#uyc6Tt)_D7sMCDsKn*Vn1H+nI{z?w zIj|L0zKjjX#~^vZNGCa@*#Y?uB%d28w@;mp2?6;VBz;ENxj|A_MjRH9gCIWGs8F+V zsniDK;SiS^m3L1u@rwrJF_1JF>1L7+m65iZ&W5QSye&=yP6)V`>oPK(Vl4R*rMw9w zw-XT?ON#?Wh#mw5mc&qGFolwWA@fy~JAEkVTPH&yw(d2Gz$!O3hrIzJDO zLA>k^A{UR1W_Qj(Ak^mMN6`Pm8At&QK{vZG*|eD$2ztsR(+|c2M>sp}|G=0t@Twb; z-%__A>O_Tspl`s~s22$Jx*L_#NN;^2AYP(81?o$jkeg^2@|GKtUtc%34(DeOilcWA z5DyvDD0w~w^fsaF8kR@riMG#g#E3jW4q2>i zZQ@6Ka@bfQGToGDCfn>ti8JceikfStLk@-Ls5z>*Xqb0{h1X5hs94m&h1%OT)Bu2|ZJlDpw zCC#nRzKEi{3c5EK{d9RfhVFqq+^Inp1Uu?++={MMuLAHd0$H{)+)**FWhM^D3Z%P{ zIMG;1ENI$zz{nZsRC?_tu$LQ{8}1-~3swY3sS{S$DFXf7kj%PHWF3vEWCv7FwbiZa zfYCPBxWGU+kcQ!U@XhGEfqX0uO(6~;pj~1rKpK9@PoVxCK^$c@RDyRZ&QhSyL#lv> zx$qhEadoExqR(tNol6`B=VTi#aU!o`8SY}q)Bf&8H4pGJ-4v=(p;gpjyL#Y2cOGPu zFLIkR;2r=UBCu6R3NqFeu7yzPhxS)?aA--qQk(A%1BiENuONbC&Q>S&vga(1uQOCntx!Q8pK103|DcswJc%L8cudY zvKugSvJi`*eU?ECmDuOO)3ITWSyo_?o1uQba}s7zy$G6D4OP1Aq<;RaSSS`ks46{) z=>7?9f3_pK1E?!GJ08&m1dW5`IB4fO5m!?ue?dHAJ`n%C0f;(i&oKyYcRY0rEO(=* zp_2f>b0{yb0O(pLunQe7mB30j4hK+>KVZoxqp@!WEYC41hY-l1ey{n z+fA!es>fUfkXezkfa|*fD%^_}&VoFi2vV;Ae-MBtmNX>iXo$cQ?sVm80aA4cEmMrm z#i^}ux_;|ay_hJZs?s?stU17>@T5*dUg`#-GvZncqk4#gd1ZkQ>5 zTM{eJW&+v-r}K<5TczRvH!xTETd>OkSz};h!K zEe6q8un6EPo4|_otX7rwIWa8CAAvJ=F>q(uSj4$gq5keh<*>IE?RKLj!WE!e)T;gQej^Q>>UPA;{XgTIPB|V@%0Mw2Gl5y&& z;BEt=T5Dy&Tk#;BqAdV?W~A+aQ@B0lm^n0`2&LA=(a>E7P~ zx_V8w08~p29WQL9%TY92`;UvRxx-P9?*QUqgVObwQ9s3^>@5E`0N)yr&TWrYvNq%rvckw@Vdn?{=c#K*cP(_xHSf=TMT3Wh{bxfnAd^* z(BO58Vf>%5cwH@f9fleOr<1E&3`72kh3NV<5$eSTqFW3@w#7nprnW$Rp@HZY!w_F@ zr}5%K@3Bfg3aWn^I$b514(~%dY+?6wR+UUS91j8DbROs`+1Vde60cJ`=yLI~30lT7 z_O%$WY6I2vlA(KeLhZ`A7^w3NQddq!rYAt^%zPNA=L}NUP)6?MiS(+b+dz;q$xgGb zrcAO=0+NKqV&!8E_@ai>nUDQNm!<2lfilgC2b5mGh0)&fM$`QqTF^-?VEtAn=IGN&x7@C!%TVZ zVjjMu%w5((*S-bl`r&l)Dd%0x2Y50Ylcpm$2NVkp4cRmo%|V_tZtJG0?gb5xs6iQVWoa44UkpLJyVr z@E6$>5y*5gkWys4?Mz!h1F9VE99q8|K33KvI!f?@>qyYXul%#e{edJ z9~b!3{c}|;q*>)`Db%MLh+b*oP-gO8B?>-aC)L6&@1-TpQj~PfO^3aOd zj9AD*1^EQ(-x>Jb0Oh)&4_1h7OvS7*QIa|1M{50vHjQKwR+&Vv*G}M1ZbN9 zas|@Bxt>7Re1Iu(i}4T?PRE(M4NcMRNzsi3Q>i%{Y%2^ScN&_pbH^CbWpEs~fbBEG z$Xy0ARJ5~;?yA#uF znI+iz4(BL)7u$J>*}AC(ntU0E*BEl{$DFCXU?<4C@Qpuy-u5+!|1{*>l{v{TNyX$ZJaa}r#dh+vM6 z!7fK&=nJRQ!`+&bU{xXltBOqqc$y*Lp3O;cMIwSG9fPw0zT6PphBl&dbf_$ELbtfa zO^^jo`yt~qz`bFx^dQ0Nl-KWrmU_J#TiaB7_! zpON8^hD=kTOyS7XgRaw1^3YFbibARfSP#e0jyFOt)`!5j!J9QWi?;2l$UYX!YJ?6} z717jk?0<)Iv})uU15^~BaFb22MR8P9K~o<`rBWmUPrG??m46(`Y7pEKN5X-Mz%y=+ z9QKZ*_yF*);wZ}NPH3#f92O5#{z)|UqTvKv5}L0@HNz^fsSi#KgpeH?w;?8Y_6CSc zycU2N1hO7c5^Y&q7X`R6K0uaO0WJq_l`}w%dpRaR3&a6F2f$0Q0T$NH#p7L^zE6w& zn_aEt^+Whd6I>p|arTe2lE|PXhav!LteDCZ^5r?=)6<-yQSp;wCI#n5H^Y zjhIra@aiL+qyAgH8nb-h#S+`2k>!?Cz%tt~IeRo_`pAn3y%~cq0q_O`cJ^ir{v0q`ROcJ^cp{?rSMUW~zMM`G6#oX!AeFUH_6yufB)T?Xhh1J{E^MsD>&+Eait z09tNJ=cS{9^|xtd`#DoZMVjkKH;l*=fe|v_JQ#__)wawH54Z;C(en zsd);*dxfn=S6@p!UF z{-LVoCN)f$2jrOseJc$Ur~<;g;vt~o*xk*6C$TIDRkdi6he7b7A-U5jhMktSG*q=vvqiwvXxJXH+0x|{vPS*fEcubP zqPBV1h7+o4Q2DbG;A;)RlOW)%DO9l-I@#@Wye;A8nE;-c9MXRX=qY?>Rlj6 zp+yB%Qxx8g#DQ*t3?i_iVKMyRW}r1E9FjUq1jf3+xyl=rJtG_6>EN#;)To`dY$P+M zVE+@GZbg5c#D$|kQ*c_Giwx^m6vh-aw$&>hoj{*q7w7*xraXJ1Dx?QH(Y0>4VkLZcCVOw=ftZWh1uDa-jlZ<+ErjK59 zsRZG1M5^LRkGNClTsEW^TRX8W7aNk?w7JS3*+hww7Xf^kO#oPNd*g{BaE=?4O}>r+ zjOuna0{6C!RXgfNOCBhy6`%85IW)nRAaI#H9fyFy>5<=PHPBVK3*0y!>ym_UL10P@ zjwX&cy+{O>xnrEe-XUb$gbq@mlW^3#E^-Ob%ZNec86Av-bcb6T8W)Jb#W6JOO$DH` z>v7;-G+4@SgT2HPYleM_!gmzfeye%koTP&CAqu5{;CH@39#o?;%jP6VcyaH==e zZ9(a%>C&Yxc*b}mLwjP5MZljM!;vmI>{TwX9kvEO0MI|(Kx@jMycc4=Iwt8n8;q+k ze}WdI9)~bXh#+Db#AQMc%a6<&hn(YjVypDfRX_)4R)WE}#ce<-9m`y-=Xpmf?}gxosw3>us5xixJB z`eVZolO#>^T0$B{vd6KQ1j6ZN&6%yC?|MRM7Q?}p0yWhj^(=ZSzeY~qfLQd;Z`VZjy%W(2cN@rG50#4@xAYIq;kHe`a za5~?(wmS1Ikbo*-^y;lX;bO2}ZhS6ZoTKBjUWwt78R|_F(|0h{BG4?0q0$`)Q|;?br5oI%K)w`%*4+rBhkK*-{+-`} zOquCUhVD!ly}vhFH`#n3!!c;xr7(J=C)(>ZWqKo=Gvhqatu~a#((2lo;YkVegBiYJ zcnRqD4Fekkry0shz|dtg>{gGh|8P!o;50`$o(yhtKnGlLf@XlI#gI8I`kgdLnHWRX zL7yDpIf}xs2KE+%*QS`Ep@dM~KHmoJKW?lwKw^UFi3v2rfCilU3a7Iot~X(t*`73Z zmvAib#~Th^7L1&m0IBQODxhvKNL>buT$li9bqTKl_KAUVEzr%J@kH;bP1(km|<9)T>?|BOhDC5l66(Q5v=PBv$IQJ=F4`J+3pgyfpz!Uu9Ul) z$(5eWaa}?&D5e@3sx4NRz!ALFo5rh4SPq)&VyJYNz*PV6rqW%)CLlkILF+Do(YJe} zb(fGf2kYN(x*l*cbeF*BJH64m$>L=XF(U@8y97qx>xu5ROSl?zcN$7(m%x;ddQv*O zgb#uK-Y_`31ZG&DfWdSL`!!+zADq)1IL*-$o(yhtV0Q^^AX;q5oL$0{A?OmGB{H)T zlvl4#Ojex&Po?e#`Y~c~tUO~~mB7y>0Fyap>{j4@Ggxho8T))fte!rOJRbYM;dHn< z2N?U$1Xy<-s3^<@#VLkHn?$DBkdUSu3!zw_1LLcPRU1WS-MHhd)-#7eC*Uw3IGto| z7MXQZ0@mYk-VUC7-v$F9j|TKa1LxMn|8z&rM4P)M!px4TZUW77iKy6MSyqclUr$Ji zs-P)H%nY+m(_}) zKb$j9xcca29gfmKPcj&LbE>mrk%-T!I1ikw4KMkrPIQzi_|ZL6dUht59mvnY`)3Td z=}mM1Sv?0pT?>ZyGOrCs-@xfSP;q^dB8-1R^W|(0Ul;S81+J@N__%<$vS_}%p84D+ zwiKObntF+gGzafBW69iVqYHsP z*Dx@c{$aU`!4WU8tNzf$kt@zKpn1bkabeIui|XhesT5D@iP--G=SUQaa@sH?rQS_Z zPvf{Sa?x~pQI<%xKlHG}DDK{eNl?rs!{RYjQdx;}L z0IFxa=;G$2%z1AjPVW3Tfa2m^ZZE0T>s<=Q zyS-Sse6*S1Ed6bJsjbrb9<-_RJaU0c%SoM->g-kRRveqZrWKWecBU7#vlXRoA8TBj zx)sFFc#*T^)Lk!U$-wOkAyHq+4P>ABc$~u>KHE;_bQKO zS^$=F3={8=be2xkiwWkDbmaX2K4-wZL(&PZ^8(`)HU|FyVA4r;8hD4K6I|~FhV^9d zH~=RZFfH#`8L-d(XdX>&a87${`NjT%f)s_w`_4`_&NA~HJnb9wD(Yy;oUKG zd5+fD+7?@oIj5oi!|58~EJ$X%w`Vp}nx}*45<_NZ9!i19?%y6Vt4KG2=tDzh=bc6N z!1j>YzPr#yP}bn)L2ztg*&fpjc&SoMR29 z*{gdRtA4x;iVqBpbI`#yc%K*=cUR?^Py3#Ul>j*16rB0Ql>NJkW%DmzZU6w=7mB{RjsCS$2w?nZyQdXbg)-U*MTjrQn=lc%3=Ly!-ad zYh~A^pt;#lIkStYhWAWm<=ID|`N2>*^NgwX@0rTVxG`r7@i#bK6`UEzw&K7Ts(2IY znQu!#xx&yo^Nndo_DE}G+e=`1-!M6|jhROG$YkZ(KIh=kFPu)QGuN2u;2xQ*OsfM+ zyJ2!>8n^6>7^WE8<$j=J&HusooZ+-5Yv=};Gpi@gZXT%XAo`t)Pd~!x46rA#RuDNm z9R%&Y;kAU5L4Upp!k)ugLFDxmgx5Uw6%c-ANbRh#x**P<2|Y1)mp?l86V4N&5-v7_ zTmc=j(*c>O{&fiCK@*UjO;$i``3{Q-$Zgm?E79-ZOgZ09fSpswex{t%17&x4qzZTj z*jr2p&N{>)6zpsWb`@F=_Dv=PJ8!Hs6!j2-R}I?p0yKR%U9fh(xbnNW2jcEBM^~Ve z5XMC&96NVh;gsxbIHvx5j4*yR;n;a(g~Po-X-qgCeV%6}I{ZQ*s^DTX3kATu<=eyC zU1q78bQ6MjzyxFGl@(0o{}hZ}ozj+J5e!bJ#?C4$m?O6*7_T}t3#6wQ;>wHmy)8*V$- zP#jEq)OOHzmtU%G`Ih662%JuVon2NaGya!Q?5Z^fAuKeZII9+?<=E{A#j9pL0nRrK zuboG({6B6x$h*rZU9rYoj8nhhVspwB*3ADUEK{#mA)Gr*SayC{VevOTXHi)8UNU^9 z(>#lP8`w_>Z{GDsfikK!7KORGdiI`ni4gtaG>B%z7HD2PNIwBD0HDHvXwqwePKgD% ztGNpFbYL$ucq%~)za$>tO))9D&7gYE&{1`^=+4*yI%{kAuoYMYhtpX?TP!Adi%?>Qcs#u7wC3B$OX&^KW5PQj@HuZk|RQeQw2;A!e zXJom=^wRH1yxTHKt^?E4hK*kP9gA=86}71s-8J2PadZx`qN6wAlVtBpF%(oJd&yvj z`jE-bgf>sQbCo}u7#f`ZRsuDUBv}Y_C7d=3{IP@sM-jD!I-bQ{cjItV&4!WjggODO{Qx=0&++Got~KFY`O{xN^}20(Q{*Mg$P zS#|T8JC*!YQmsYa=8G{YNmSEuU$78gNE(xxL;&1|TRXEd#hBbAV$$m5k(czi@_+(9 z8ZsuuPp5VI{QgNkTCirj4`+RDT4jG7F4!3HR4U+&Zf3j;U9BJ;6oL; zlFI7vQI!>uV43hEt~gjC5-!9|Z`>4AMoL5~E}#>FN+eua5iJkal!{bhEI@j30+oNv zw}IO)=ad#yRu_e;qeY?OU~O5%=~Yo#5fY0RFP2QpS~WyiNS-Pzb5gIZ zDmA5{=~0e1@zYJXA`+S$suq+8y03{;hbtxvAK`I=U28*KdqcPg6leBYaXqI#Toet~ zMk=Fal~Y4C5wZBpMSxnKCE>{>g$>y4pTkPaTBZ&=j+?*5S6>QK;MR@g(KoZeN$Ue zR4JC(inzH*-;{*Ps>E`AQ-!REh>M+f(V9@Cwn|)L`2{D3wATvD3jv1_$Cdg%SWsCT z5tr&a;*S=hZldnhh|8S5;fkWrG_lI*RTBzU;~34$oqmOt<>kSOq8f39)3>U+vM^Ls zTOFzqS33PUsA&h9LbT5^al54hA1K_RZ>vL9 zWx>LbxYK>lF~3XuAJP({jBd$r$Z6(i@wtpI?4_8SM7;NMJlN_{iZ!CD%Jh(`W}rCw&1_|t~wN{ zo-Y2-cj3tu6!@Q(YEhB+%T_F}goW9rZ_)4_EGI|$l4+@l9_a)jx+2$xZ5whS#VukiEEUK<77b~s1$_h5&m+Je_v`}F! z+TUgRzPPNi3g&2)zAZt8D+;3{zg&ButB-*03QIj)jV67izAJIdpvSTplW$4web$b@W%8TnPKh(cyum+`BMBVH4 zPVb_S?SF&iUll4Vix!rI3QNU}mLGcN(om$Ny0Uh1iMYw~oem>h9xV@5)QVTMpEB~r z)nt}7Yd@;UQRVfj_Chs4Cu}+iZ?BaqHuI7YEk9X zXffycH}Qf(cOq#mlkyCjUH#Cl+$iJih?i9@fZq;e{msih7-D!C{J zWcgCBP*gT~vN(UN3W7WeBh_VMz4ow1S>KMOVH$ncOHJCs4H&3>+WYAnc%W8PjM3c` zSd}8{HbUv7ksd?^;YfL~O8C@WIr>cQjgr)T&GfQT9y~*{C|oQ8${QxBSnQ&%D?{bX z*Gt_Ng$sCq4M0^8tsMJ;rEjp4h zt5W{Xs3nYIPY!DjdA)}1VZ)OBx3X5a0zERiaaJ5IH)_SX_^6K(J-DT@ zqoZziqZoTypFP>jKe(%^uDz~Bj6J>25$w}@aI^MV(q|g`qz>+EY5`_xpWhxKn%xJ_ z?G$6r=yNYi`%+F$ZEBd^*eS-WOYtL${`qy$<|Z6CeZZ`rklQ(33or?vPv7SC4c>}q zJsx!tTTjXg|;um|PD98H{BGT5oCEBdcsqjZ9rUv?;A=F zlIlzPl8UF8R`w2&BM0KTME(lgHo}gk$cm7BeHi?Y>^^!K*@f+msDW1X!WtT)nL!Md zw<5!Gam85&zPDfq_FXi@}O>vs?@R2jNtlQzj!cQOsY$^R;&$ zkop)P-j^(=xc&qVTIh1}93oHJ3lUx<_kduCE!3G>EVqq-^h|`(T_wOfQg|_v&qd@q za13}Fh^vNzMIE5elA{(})_rVqSPd0PUJdY#c4UxP`ktK-WS=hH&qe8Cj60B>O4pP)*HiF7uEFdg7YdcyZv_q=&pQCR`1Z9X{#Yz{LpEF$=M zf^EX5(%QOZ!+%%^?L;&GuJ4NNHsclxn{jM|k`2JmBaWDuIn4MHTO4o33FR>3^ytJkJtZ^jJ;6{!3Y@b8I2rDD6xcvpzbIDP$`DGr7S&4#ln zw!@5fh1iT=k4h?AfL&e%Zwv@hZ)bl2Q3gz z7w7hvaS%An_&k75aT4@w#u0n|W`~yq*mSBvZLb+eZ8v5dEthJWPY#B`b(jH| zaeiQ%?B9Y>ce0`(e#V zhX&6WE^rTjM} z-x}#M5a4MweL&f_k3<)jk&B0cuGod^$<)xch$|?}5%=K%A)F%y7QwLY2(TLi-7Hhg z2E4;ia2#|D50LT^~L-l-VImbHc5Guku1R_CGjTU zQ~e#dOM8?r7t!TE`SKjPyb%9NpToc~HShvis6A+c9@tOP3-w5C(jLWV7lDzIg7OXb zC+%m=#{;9KnvnNSDw9MIcqvJK2)3W$`o45JzSN#VBx*`ds_2th?={)$0kjD?tv1SM zgs;mH5R5m%z*|Q6h73YbZiKHP7%_>qEfm78@FX?xmYfBJ4qHk0#zAbg;PIdce2ivT z>fGLyr$y*_XhV^_8Q?pJU?dVCFWRgSQ-sevFybBE$~Gw+?p$K z=odr(a)SxVHhie6uCuLunA&|YPe(;nxfuU7F z+4mu&AI{F~2ZsUkO)SQ-n`OQ@gvzBHpojt51&jf|2>cj}&ls-@8Da!oObp9_zSPZt zYScs@mH9OmpC9Z{GJyGu78?P4%?-xRi^lfWIvkV!M=YFzx5QvbJ{iI9`Y?7S!PzON z0Zw3BER;tyw4F;H4&_oCU~SH0lpv$%swIFm35LxF!ewyW^vPQE1R}$(usCxi#sAKl|OX+&_HKgVkp{Pli9-$OHiu3Q` z`jVzhITHV4M<}x)?l3Ccf0_}>8IUYD(itGI1{jG(C_EXW&tS}^FrNeXRYO2Aum}>3 zP#m)5lSjj)elZ*0aNe}Ja^>~QszRd(AL!bnHeSjiHeo%p9+PvgHS4| z{+V=1zNvoopQ_$&ldz8F_qE9x2+@>@v$YouIJH1=dE)1cfRPBU}U)zZI9IU15YBV7X1LMs?u z3!IBOQdZb1)>1~YzXL(0`sYBBb`D>5(B(>8;-S^lc6_D~pTDA9ww8u2kt?CGzgy@r zeK=j7^MrFG$Sd7vNScc5EeG#~3_DB8PeAn@T;F|`!epoL@LEeRkkSW&UE#EJFm$k~ z((ZJ-kHW->R^1pSd>4_O%ETs1XNpXuF{?mFPivwFQ9MpUn5Ws{V)Lb1DsyCrGhclx z4X{{;H&X3DFwfO~9M-T>jQ}9ojYM5`=3i^Ye2iL^JrYvZpAMpD}xivIISD_7r9F z(`Qdn4nK|d6y@^6X-`p}pa<2SqI`aE?J1hT53xN(8RPkhwzn*UpKyE2GWm(Ow=9bv zeS6EY1w8}zmgNX~9_}fl2jBE~+$Ain4CjkIynLgzV$WFrU>WuxRbXo?p4O+w3JYq> zCI_p-!3uur?h2)_DmyDr>=lbBtjfzy# zjR$&p=ZZld-q{nxU=MG^oAwjLq%tED{zEv{~R&G z(?3`2@9Cc>4)FBP7qrPOHlA6z8G^RO#QJAq%k(rsyI5Qjwl$+XeDlTVSl>`>Vf2V9 zF(%d@92vO?>PoL-Gh zfMCq`5Eg}|1S^8nF2w{7@#Na-^4ijpu$bs6Jc9OVhs7ZtLTsC#9u$XqND4v~ld;)I z{LMoa36_V;#9>~NP+9HdT5-6Cs5Dqn5iAOdC*pb?lpnV329JO%7f*VLYr+*J*cXIS ze9B8)fb^5_X)j?ZcI#A&XS_s_l3-Xo>m?}*Rz}DMKIbKz9IC9IOw#AQq(Q``f~5cS zlIj{)gO>=z3tkG5Z~-=NRfr8LgHPxZd! zC7%)wMrbb9xU1(sg#c+*QZIT)hG_?DLpFIE&((thZ-ptIO=_P@%obsGP5!=<9o}3@Z_BM zUa_VmTpWoW5w3~vb;$|RM^u&s3*!45Z0fhF*?}Ubqq9Mb7w^4>cgmyDhQ^um zW{X2Ij?5_d0?V;SW?ZmI(9g1)-=v?5p5&k9AMww{DQ}VIC8PLfMTCD=cJR-oEBWWL zb^Nnx6aQTP5&v9~`ZnRN%;TTc$MVlr%lYT(JNf6j7y0M- zIF*0yTEjp0Ji$Nre#Srd1-202{t^7Mu84mgsOO&tm+;R+5An~#ukp_#zw*zcgWnb`2PUZI`QQIWE7BzP(F}ycUDQ#~_Z(%1hpg zIA`T={Dyuee1o5wnROja_0bMqCS~}TVW>zGC&tA~`^SVe3_AjgQMDq?h>kxyMJ65o z(idtiVsNxPI#*=xF6nsy7AKC7q?3&&Zdm~IUHC1nKaectR9xb(qP=l`V|zzqvK^m*cDBXqn@sN?oOr)Ah-l%5@p2TE|%|Fp(iq~;&~N-zUS{rs~sduxfqu_TRb`i_51 z_`6g)5;?_JA3<_^68Ye|#o60&zFOg@|21uz-y~=m>0*O;{6>kOG3rng&@&BfBAB*Xy-cEZ2s; zTt)BoUhl_py*8}ad+)vLRk4@v`*~*0nR9l}ZUXpw{r;HE$;|V9KU1EWGc)IzbHFfh zhb;L3_#Q*Z+u;E;xi~-`sgicglCL?@_HLY*JE=AdbC^4+ZVdmK_asbX?xaN{0G>N( zNg@AP+QfgB9fd#ImOD`3=K8jbLkwAK1MXi7)(scDpS57}u0nKRm=u=Ai^(VN2#LA` z{B@(T_Qf4S-i-ej%JzErM{l{9o|@DorZ~w36pzgzemJ%kl6ku{lSi#M5H*$%qh>@G z)+7q}nlwv!P&69rh*8~)DqD`?OEJM2g~XJSQ~8GZlN!pi^-yl{P(F9+n2IM*7gP6) z<4;96yl?6`x>`RM3q zk0;c#!ar_=^VMYAx}O@IwrK+(kLc-2_W!BY^ljSO(Y|E3^C%WAozVj5R-92F-hz`G z!xfEfu6hDEndW;3Pn&WE_B6#J*^ubyX%wSJOX{_fCV}dVepD`A(wv~APEL81Qs@Uy zBF5qtt<&RT^kH;MkbGa@ADV#JlC;$d$aI-HP_p0x+~M{BD@ zL@voMl8bv{P0eETBtB^&X*$XrPL6vz@oYD-Sd3o6R5Vdl0>rlu<)kOxSlI>s50RXJY8RuAU0+48&$C}ZTRUvCglzu?A0Dp3A#&{P|qT2RRoToUPd_@mi0y-0< zBr0_y9L3qExp;uCRQYr&m+xp6pjkQ#02#Myt%GZgX6~wm>}u_9*Y(!LSeny&T6o1` zr)8Eh1x@!&#Rs-T>p~C2bn{%uQnVWIk#e%bb6rV`1(O|z%h9-uxo9n+q- ztyxS?b#ezjx<2|fPN&68N;*8JN59VOw8%+ek9WcZ-=Nl(wE9VgFXmH1qn~7fzdHnM z9o)$%4yN^Q{K=ip{Tg{@yMBu?w1{F-mECqO?c1CmT3DIXOYs@+{VpN zsM2V~YU;wllN7Z>5f9*!7PLNEAl25f0wZsU5~BsLzZjiI89hr$(Rx@(agU*5PzBjz zbPL-Dt(G}oG(6i~vYnG?1#ObhlUgwPzQWZ`t7=smqE^-3+0cb%d5_a+xot|T(z_V{ zKBv<O-n%G}|+HmsKY^T47(;4Z#dm(vK2i)5!{2e3#cR zX<_?@ILx3_(u-Vp%4p=3v1lor6%nH&a^Gx-(d;)_Y|2zjFy-`5v);k0f5r^O;keJ~ z$!7M)JK*vQs?B=&4?Mn}{w=1%HHrLu*J2*ektf#cZ)G=D!%0;>MjD7JFaSTIK{hWJ z)5%{d6*;bXIo>_QAMxXLME^H6%7(Tk#B}nN@`1J{JkUZfbT9r0>vVQ|N(DP#a)nnd zzWApiNgr zfy?}POb6~!9r!q#!tTJ8{y@6}_oxm``s5=vHozvYt50>{nlv=31NZR9VP7GVf$t&v zbmc08-GS$)AyFOJMN;cSqC4<`{v5UuRP`+F5uB0p9S$zdBs8tvfusH$DIGW=2qj-4 zrngWey8}0-!6$X#9#KT_ZKyK1GIa-Dk`C_ezzL@VFCqrYfXapLz{~t;bO&bDt`6Mc zFYW5U36))?16`NO9<-i>+FZKK;maHy{Q*UjjwM5$Lm8(|yc)aQ+2;(y5%?)o-`Pj) zipyyxcbc z`5hCYMRrpS*7w4hKenk^Z?@6>6$eHx0^1FSk(Uv7qsS=pTB^Y2-pV|=t$t;cp2Xnp z@I6{zeh#*8iIFQ1IV;2zYwEIIayEsxa=W@4=~OTm?_?)F(1A-No`@;yesLMWfAgF~ZR!g~!<;D3&IPhbPnUvLH)M0^a>;1b9SiVO zBegry^j>#IS}{7^@ixHkCUvs_b9Kk35cirBA1Fa8K)O4Aj)b3_#Et5XI49?H#~yJO zNaVCngeXPGn@d>;Q2zVa9o=M@09;ILCxPi)!!}j1^`SfVgp;}>XYM6XeN1#*KO5T} zaoW(+9qAPFm`_oY2%3=^MtQmStve!{uI_j|75Q+m{h1iK0{hk-d&2JSsOC@igX8(6 zoN@j2xjUjkobHGT+19B15eTlLs_sbBJnoLXiVa%yCuq@zXO49!iWIcU0n4RJ_ z&)glk3^^7(O$=HVo-60lW&c(TcPqr$8GF++<^fI3jWK#=+lePC2{B_|8tah#0g0B5 z?l@kd8IQ)BJH?E#D&88fq^qO5le}LNCoR*}1f=b(#(@Yj7s^}dDbaupJiP(x}+zpnriy4zt z{O|$o%}elxRVRM3iXX*UUffmR7;TCz!DD?fV+svoStIut;EA28Vn+|?j5fxjon6g% zSl0~cX)1mU;!!)%1{AQfzOh-%z)FZ{_8ide1?;Ed_d>iEFheEmO-#r-njwz-&s4Gd zkhqDRrDFHR?g@5v$e*AUDod$Mk{ktigF=1m`Vgs-xv6K&&iWD}ITraASkVx+@bC<) zhu)4mbNKZzswssuLeHAi0kPOhjOeqb(xsHKQjGnxrs;oHY=eJhCREB7!|~57m9pRm zY;X{fb0Ko+-&|V3#DuAF^ldipwLPz~$2OMj%TCXJm)J!u;Sj;yCL(xqtN*O2FJk&w za5g=$%^EpC%!pQ_GXx9H%U<~nx_U0q)YW4%P#K{DmGRiKP!pO$w{TS8)jQ*#;!ZBo zGWk8_;3iBQYT^Y%l|K&8fScPo6RYrmxD&IO!$`11UW7I%p!bfH8NoTxSRC(es$bUJ z1VLUKz6Sm8BIF%IFx;DtB-~v-xHujp7+l`}aQ{cpxE8qxxhz=c%GY=}hQP**J~{rtRriA~%mj@gU3A<7xs*o&@fSBLHWH{u3~Jm&M^pO}JOsyx6Lko1Q@R`A zM;!wDe)J%<@5_cIe=Jz=h+V%?fqTS{K#8xN42L8{mLOxI;B^C>q$9CeP*aa7IjwIP zJqgI!4w~Ixln=~a@(UPyXk?ZlnSw@a2y? zaVhsru{i9XHd#0$Lf#JS1Ku2nG4e7@j6-+v!bzJDgVm(efWHGU3nr>sODQgqr&7Q9 zHAyjhCSbQ}g(~=1pe8v;oY1>^Cum&mD(+^b|l|?vw=_2{qxDy#pus3x zJ_7Mq9RD%lb;L$O>sP(ODv2dq1~*``j-aZ+WtdE}o4lFwz-RqY zK*~dFtSy$H@0P0^lmmOP4<6Y+2XW`TyQYi_!D54RZ{Fgy|KFwJ+T~2js@i*qQ`76cI^Ji^ zmeU;!cUijSigr1GYUWs_Cx1NkcWm(T&T1U%JNH_8Qi>l#q$XMu5A)EHEiZED=|&Zx zADjO8O{7QLGJio7Rs6HVPj|ln{n-2`r{hQd2s-h5ySAvSsjj6BeSzwv0Pq zZX#~lJ>+z{XRp@av0QEZ?n*K#njmD$YY4|RO+PT@zteE@f`U-%v~}Yh`Phd5B3nKV z>30!y?{{#@B--RW=nFlp_2HZccfcs_N7PMW|3Bc=EQ0QI9qN93wIlDn7uWF6F;q5h zjY8Zc<^Djkm^(MUMeBrX6cCh^1>x?Qe8!p+`9S7m6i z`{ex)c{81uN8gk3E6DZx(JrI%f8csz4^B<_g4_lodnAduC0>_PkXmUZn1gzQ(l=CY zpo!JiS+X87?T(yYh^W`E6zrsK8|t-AanVF$_F0f!mb>l{*`MZI2PoMI?onRu@DqkZ1Z@slw2?$H{yDOrVf2-ykgFhB zb(_NZWx5$GQ?%pFeL!|XsK=0M!4;ZROETq!kh_IrM9pf1zo)!@1Hqr%#Erv1e3l|Ez=Di=1JmyGvk;>YoEl)s3pK4R%A~U)R!O3& z0*1&!nlnCVi|SoCx=+YB#13_f4jBQ_$L!2H@9SgX%OH5ITX-!E&rfS%_m%>SKMBzn zjQAKiYX|hCV@SLvJ`uaAv>S*AX7UFJ<@{n7P4z0a2n8wlED3`B4@gh+B5=n38tVNb zTiL|q#(J#tVhNfpads9#zL_wpR>sIvk^9j1YDB?n#^TLgc$$cL>L+(G0Eb_3Ps$`_j!vtP0K#rFHZ$j<^lC_(K-Z4=yPRsIpEMA~C z>HjN+J_IGF#!K}=ih?4gpJg8<+3}E@NwR8=MLiz}rr}gmaDe6=SC3hNVB$j{*qTJF zgilkvN|uRFh1~f`#Oj+>1s5w}^@%GzQrrR2hm5!$Dd-bd1y?)b&PeewBsUmoJyNjr z-yLZ^Qf&Pj)|&{rgnFcCp@mzCYP*T`ot@NCFddJJr8I6uAYW%NIya0_50T<-3~pD9 zItqw$y(rfRKSVN~->?Al)jClmjlL7eCk&czt=t?6Sn$3omlmv8l`F>ke_z;VYbV_G;yai6qn3E%hwRi;e%kwlW){cQ85$Au?SWo>EODLu-OBasT z9NLVJ1OCD!4tFbXCbG9sW4H^hDs>%@&-$Rz77W}z31~OQPrwYy@|HTK()RR%`_v+h zyhxM_!M)7zbE9w<7$SchhQ=;Z)Dv(}2J3peKFV`52@d z3^A`P6ldr})iOFKed45z5Lyb$S=l%=fS@}Mmr`^8PNh6lU7>?TWh#%QrdtpV~2uv4JN zuV{TYUP`_c#z51eY&jD|3k{hW!c2$VO;3qs z^4Y}19`d%GFtA>y>Lh>4M_M+pkz(F^s{c61{`adul`&29RQs-wo4I=GJ4I-K zs+9uz4_}mtWBm`z8p5gB7+DHd7ks`|6nsF1hO!C#KNdMuE%JVdKkBH0(a>A+3%=5_ z_Is3B^h=0+=N5g6M8DUf`Qvdc!W{Vm|2=e%8-)`Hdm=cCDDpE6y<-=AF}}XR??Bfj zuYqXH5qE8|Q1OvFLKh6_ud9vGG%9QKSwLQ4&@>NI?XH0%JU})buJ850ykc<1UKB3$ zqbIwVdNJyEAO;2OqVbg8*0v38jLg*9CUhm|a|%%V8>Bm*gbW2}?LkeYkd#raGY-^A z2I=I~qxe9tVpGn40=doyjf!Qx!@YX#f_?=~2-Zo#%iyGu+Ip?s}5nZi}{cEFD_6e&gLtel%BE2a+q4-^mj(4Y>O;csae z?8?{xY`sG(h#M{4`vuU%v>Nk9??5FPx+L3^}qZXNQ4O?1i95 zaJQAvC)*45v2)`0IeTY3s|Q1uVR6?Dv4mRfoTRe2s^(fS+-X=+s)jREmOewqV*Uq~ ztU*qx946EZ=jWL8`LQboFGLVwL*cHN4&3Ssmg#&I^$5y~JYNo4{-^I7g*D$!CVE{27pK z<{0@WDT)wWdxJc5GKab%UOPXxB(tIJ6;UflRvIFzdK>gWGDtm>Wc^nHa=U?+B;%{v;WaL@wP$bF4y;=TaNv73Ta)jw3-!{YLG?ASbHd zE-D7ObHue=j&%ab5d`nCeTv}cQv~^BO{v2FaEaNj$Z?5Tj%ddvhT@G&41rxPF+`wU zVh*QEbZJQo`8C@!u7<%V~c8OW_w96&tfFaU$iMbB>GcGaezw|CK z&u$~(PXx5fB}QdjyTp(iT?Ut!hDcBH36!2NX1P7#u)niRHPwp8S*8yZK5jH4^Q0Jq zkaqwPdfjOH%II~l=?2^3hSBX{(<@=B>&<;&dW6{a<@~u_Z+d0(bIKXAwG`VUID9e3 zDW^BS-rRNCL3^k}ttMb4(zxsN#-HTK!)O22fp5LTDUuy|dZTo^_+a6URU)%)J5-9% z2&xkJ1uE(-Djo-)-pG?(f3U3QMmiSEX5>`FZpWYAc)1>2E&fKFsPDg#=sp`>0i$JoJh;Uw4zl$f;9Vr8&aa1C+$(DZy z*Z;XQcG(CF+uBhn1CPgr>01oj+44uwi0zy*rn)d?prZ=Y&P|G}1VnQTS&DO0FI z)9K(imw33olH8s$kR&-o(XA+3z6zqxoJ^_i##08bLsSOZ^sZ8qwnu>xl!dsuGAr=9 zN@Y;saiUVWJ`1c@_%J6qQDq>_Pjav7;YY#Q@>8&WZ8$};Y?+43}pmJE^&30s^l&#+9izCL5G5FGi)ECX4JH`xw5OOcPD2XBXwx#5cD@+Qkbi>=jUKeGf#4|9lx$ImP?lh*@n0^bvi z2ijxceAe(95486Y`9CK?O*b45w5(xLY=xkUISr)718o=*CU_HFRqJ@5l|ZCEotQ`8 zld=nPCmLC9b*@`}NuA??b^%1LPZD!Wye{uR>eEJoIjD;(eZ~XrUC4ap$oYAoWvF!J zn}$agC3FYhXE+u=2=2P_IHzT1qVIJu&kArHYj}7l_PDKO=Fu$w1;fLJg@-qfLt6$G z$9;`%;IiKctTYkyeb?*i))$uFiKQG2HN>K(O&%w>3?$m=jWcov;OCi)c-`ZA=iqES z3;Zi48y?5G3>3yoZfh)3<#yQC8IIso3A^8Em%2XDY)LeSfMN;Js5%)UUCwqHSZv=q z&dOE5uXSg|?S|I}63)oSAo$9i5x0Y0CKBzsN3#>T&qxdh2u^8+jKKU;yY6Kmu@C*P z5KPkzio@MBZl}HsG;Vi4Cb|nG4;UhkyI%$##}N=`{p2Vq1|#S$?TjK=JCX08b_DDT z8NPo7o&{bmY;d_<1T*lsod>ya7lG*tVpFE$aURUT<8~{21Mp9hGURb9%)nzD4oB^Z zMIeIH72FPonHW5-h)cn+oLKCh5F^{su80{}*zxdVvc4ODzRh7!w_%TyVs9*O5X;wK z_>EZ9nv5FU>%N$QML8>SW~S_hO<)979F-ZjV`Hx@oSCD*a0;=wGUIW1%s``@A?;h! zdayiinD{oXnUptVW+vy>^rzjW*a<=BNZ*=Vev+Aa^sQ+=7#aR*OV7tZBe*;hL3X*1#XAj{puDJ2oQ<~1I_%RW$}@-%NHI1e$r&g2He z0OeMDWcH0xO%*N&+l^jEO%_tVntdR{Vu(xqIe30ET<)>X<;9tS(Bz6ZJOo70 zroxTv_V3I<?|IEZ!4NFbKLrc)@G*dfZR@pqCs3?LqAlu>Qj^GpRNVE{yU_?K7!u85=-3 zXfG$j4z0&LNP%`*?TZ3qA2nc(C9%5)0?xT|XYC`gYT32m{I3r$Oob_xqibI%ZCm*j zY(w_;);mJ-+6-=XbpuST`Cq@4hjw`~Tsj&mhH zB(}TR7W+!E1A;DyQ+Wa1*VyA!IpS^vx82(s!TjfR?4B-1dbE#j-}IPH_b?Q^>!-xs z^SB(!d$-U0s_*SSR*L-)Qff%gkC<8c_4bX~?t({y^ddiEZUo&Um|c1N_JzG~HVmV3 zjkE*urPvw4Wy@??9urXBz<+2KxCOZfpA79+`{f{|1(l=lADs==(1XTFu^U24J-8cM z`4Io18L;i)Oz2+gr$5CWlzZ_XnhEU4(xxmo$9ChTC_qT52($a^@jU)RGXkrq!Cp3J zUAUV?IVJz089^tZ!Iv|#X8|7mBc#-b+2PkUqWqQr(2P{TNgJ-rdY^xa z&eC1T&dYvTGCThsU*-&1^7C?5%Z6;Z>jWugA?T8u`-3q`kC$^MHNO7Odij(s&w!Te z{WO_Dz~ld%Nt3TJ2xY+hscgoq5TquKeMX zrI?7IE8j6gd&TE==I)i3@3)$G6-ZAu#Lf-`W{}LO{k(hS|5@(s7Jx~nqhZWEwS7F=^MfBs^dMTNxauAwJz*B=KO2V^^Me^D*GgmU+AO2t{d&s zz7gDZt-lM_Zw<4%*4^IjnV7v^?CwgRH67<45wwlCE8Xn~-*<9XonH*ze;Mu+yLP+C zZ=3>E<-dSCcRwfZ4nL~g?L6OiYP-hkK)TovyKCI-R-cL3$GhEK?YDycVWYua?QW0z zjnv?(`)y}Pu@6FW-?#1E?Tfz&T2uoZ0R^Y~C_w|bz4SMd-)@Ch!TgzFcejGue?Jp@ zs!zVV5vI?C6BL4v?Ymw3H%@`84K4=vzYM=)pLQF#o&GmoiE08dONu`sB)2n~zmJ&Pd9{{>`L+NDH-cN8JJlF_w-13?JR#3l~j-KtqHWF5(&m{Ck z5$sQ?*uD@u0SGS3uq#EMR_F^Ionz31%RqLFAv9G&hHX>pa}0f^*7flac;89Kt*U~L zF^~!JC;7&_qu&Bc#{)7XiCmhtNjL32079GrNkU-5hc>4 z)w8lD(KH`l1gqh1m5RdB{?FiC14r9Ya~dJeQTQ_0l1N);t0-(Z6mf|gaZ=MN+Gf!K z@a;SkXUvLrikvS*_bup1Mfcta2|DvIO_EsAF!>T9-bBbFd3tFs$B&d>A?_C^UcJLa zCytW2#ZqjCpg@70v(YX(aSu5L2@_2s)uf%crz}N6jY%XMwG;P}O-Sf8i9Cnaw0p~A zkZ_twY;n=EB@PD^g}tar zk$5QH7>n6&A!-Jo)eZV9HQN4O&=jZ&#Yri>5}n^(v<8^#9GrUPT!|bz9N?%I+_<6< z%636HWYLaH^`PAz8i$^3>*X9Au}sRhzE!Q zCb16{c_lE$(v_oMRMe7P51lQ=E(m%cSco_qwJH^rKhEhd)y<0)!vR1y83x_OSnr|9 z42=qM3dH|vAY{z8(!-J=EediM#2+yb96G($;QF_)v{t1K8HjoCR&H~8qAfHv{I_b+Ox28{9w|;F3&1z5ZC6LNg%C4NRLt8kjbP3m|{B!Dv%RgVCn&IOJb8 z7;Oq^FxnL498Av;w3%vCNQ2R)Fah!*gVCmt2BS?O2KjD-(Wa0FqfKEA`Hu`nn?f3lHibb|IQoa+HH9=7Z3@MZuQC{I z3K#m^An?|EEW5QS90te<2Bu9R4NRNDKOldH!Dv%RgVCn&F66&77;Oq^FxnKhnJdLe z1g|Ni!Dv$`gZzAh(Wa0FqfOx`$e&^`+7!}Yv?<&S`MV89n?f3lHiZu%|BbTBU3X^?IVM)Se3daMo+Q76aq=9Ku_z&bCG#G6PX)xLp zzJUBs2BS?O4Mv;7E;ZN*Mev$J8jLoDd5~`~7;Oq^FxnJOhWvR3qfH?VMw`N2kblHr zv?-*)XjAwa^1m63Hia}8Z3?^9N-++>YYJ&F+7uQ-ezC!5QyArA3jA3{dsH|JkTnLT zO(6|To5BN-f7)QQDWt(@Q}_|`Thuv4(x#9GqfKFN$WJjCJy%GB(WX!j`8I>mrjQ1s zP2pU~Uv4nk6w+X{DXfS5^9G|$Aq_^G!mp6endj7jHia}8Z3_92-_Kz5Tp zmm7>Wg*R!POYdKEtsvTBYE+^PeJ}QgVCnIn2S>|Eoz6t znh$qa1Z~~g6d1E61*11JCqus2VAvEQvZSk{ZE`~l-(|cKK(n}>Y$xEgz_g8&Ee{1& z?Y>21ZHL1A!-JWqqVXbWDnz!tfe-{1O3&<=LjBi+${$OrRGOpgLys~Wc&7(Axwb>2 zY=t{vTTCfjA$f1g2GSRFOxg1DU5WT0hw78rlA&tPir(mgj0dfypv>*SPucmro4KCW zhCTEemZHbV!83c8Hu}VqD4He@gB0y(mP-;XdL~=0Ma-=Tc{97QMG+tYeCoM~zbsSI z(WQ4#i{3=;ygL&46Ox2_eY0>+O@>qXl)Ps;0 zrb1vH&HINRry`U05U&I1CO44x5I;!;`s^dV58VG8ti6xOn=xOd)D~|9ndTK`l+oQ6 zN--Wm*Zo|?*?TkJ_%mQUXJkE4Z3a2th5XJB*{ERW19Fvt>3+;v{@xGPqF_$~@~VO9 zuFS9>{a`%`*6%~}v{tAcHJ5MBq2psR$(d38j$ zh(B?jlFK%Qn+wdr2FGLl5VdEWO>ZAg>L9L->r;SQO-R)>@DQFhuKBg)DIjO}`W^rt za)WsHx}UEmXA64+0Kd3FyoJplPEXO~>|>8P7{}oeob2&Fc8;$mXEQqrz+yLuH=DQg z1vxv;=Kye#8}!WxR7AiRy{Bk$cDY*s=x~F0m-|mXn#@-B#gML*>wOt zVSqg3Wd`cm?9Tx8Kg2064| zxC;zc8(?N^vH?B~{Og888(=05ZGc-gNHG*amslHMCZIOJB7iClP#a(-pf+5j^FwE;c@&>IG*4Ul*6{0z{uJG)gAUVVq)9RV|AlMS#M_(g_88(=05 zZGfi$^j8Dig(hKm69?@mr^&cB!21Du$^f+iW&&yh{1u>_W~aE?05bu#0Zs&{&;Ydo zW&&yh>;UL61JnkX38)S5Zvg$<0JQ;T0%`+%8=%h(P#a(-pfJcy#P#fTb06k-X+5j^FwE_MPP++N3Ty21vfZ6~j z15|8)+5j^FwE->%=m-PU2ABz`4e)w^ZZSYT0%ihg1AG^tFAY!|U?!k8z#W(2b07%b z5ik=_8(8{q8#Jz#*^05bu#0e%h8Zw9ChFcVN4;GS)8 z{X_7MfSG{W0Gj~nG(c^DnSj~=*8p^#0qPMj6HpuA3jn=ifZ70gM_YXZ+Piz>*>xY> zj+4*`-VuS$iOlFK)r|m zFhI{4pf*4T^5+ASb|#=vZ{$ntK<6Up;<5p*l-m7M6shl7d#h`bPK8SzZEI)Ckb|d} zmsb*_+V57shu9HQhCYMJDZc4yL}b5#ZSCTJ$DhF7{!w@}PVxWonapG1>{xtD0XZcf zhHY_*b1uXxI%#W#@5&mCUDQ97!3j8gZx)GQqdaRc5r)@iEeH8vq6t5ebpl-zd-&0; z!*M-S?nM7Qo+W?4f4?K-5f$D?tP-Bel5%h-+8;rs1h%CO+adB%Jgf=7#@|qx5{)it z?~XRET$%6lHD|o$BGcY^6M51;0mbrA=s1S-jN}~P1wq50;;qeB(Mfw^uaJt@LI0jx z#k=_QO!z%gu~~)a?1YAWDBClaV-FueSK|=L8b19aG{gj%iM$-_H#!;udYqd;&GFS{ zt7)T6Jub+AE_?|D!6^u9`2LU3pbN4T>?<4%c0pp;+6@0fYRWdNN${4m>av)!tmmNR zEz-o66(~>^Ot0_?3;ImLW+~#gj>S}^?H9-XKZ5QmTG8{=HWn$`ydrhBa*@~yu7#GH zNt35YD@fCT%`Q?~gcY$J3`$`Bi{LF1jice<<`;=?7TO*Tg_h$WCK11iI0nH zzN!LZf_2mQB|m_k&mCQ6L|w2Ry9;!l!x>|p7!2f8^FeE85aiMzn`4cpOBJhrr&7c^ zh~-%HNHB*Va0dB0$oGMubufugVqz}Fw-|3dO*RY$Bn(cC4n_&@!4vmgHxX-|k8zAEp)DG|?qcFb^2FYf4WXl)kvD0WKI zF-I#UHTWQO)FuN_RiaBAZ7Gc{9bE}KYJgiC3k~9!y7p!59X;*h=%celaT~o6UlSXiKolwknomCZX;Z&YVo z7`a+*)q`e0(6oQP1(O+#JV)+{gh?iG0yNu+=gHYfs5ObRC^5v3%{?vs5RD4?1wAYA zz`CtIp&B)R0zE-@$>Bj^JIqF|0pWE-TE?a1scJ|@+B>^(QbZes^{6l9c#jnN!EJQb z;|q{&a0u~;b9=b%TCe#rG_JtGE#Rp3y-4LANqLa!)SQIGqEQ(L~v@ z6D&Q%#0>|gP+XI|gbu+3jcQjv~1gprg8qh#NYZ_v5$)bZ+30;yU=U8*; zQVHl^JECkZ=3kce&D=xr42`Y*J8E0Z-(Y5xv_Y=M|Cb=-k&FybGAya16W_^Abad?{ zEP9Y#HV$Vl+%{yJPh*>MpjiT#d>ua@6V-gCYQV49*P$BDukRS{*5f9-=Km<)0}n%v=cB!mO%PU4Pi@68*)>0w zXesPoycl`pkt>>*fwufk?tVC)qSAP$5{31HTm?JWk&fnBTV%}w9~yY5{0b9#3!hz1 zAmT8DJOa|BoD+VQ@-#$V=_Ca9Ml!d*I>0zgE@@5(E1M@v<0hod9KHxd-*L42?mHm- zm`EqHwozpDX^MpoFbG0BA*j6RPO#vU5rPkYp?2~>6|}}fB$o=7W6hvTicP658r^kk z(&ZSct)i?)AwQGkQ73Y!+>a`yBuk!z=t~juC|!-{uCjAq_2!!I9a7%uVC){7V-+DT zXuXKv+;LcO1+91Jl1^4mnZ+xxJ9a<9UV+_hR$%wgSjV4=D!zBBy#k{+o*nRQWEH(w zh*n^i9cxx#l;U22eFJUw3M>bT>=oE=h&L;+Ly>X}LLO0hR$%8K_A)0Wa1GKoY6XV3 zB=x!ks{+6L6+hOI$ihE43mdZp>qQ5z!44uF_26%D>*(_utTz?32>UN({C?0sN-9)M zZp0$2cNH-~rXqJg3eW!#lnQ(C(&trJFM4;I?i+Spw;`l4C`HmF3Q)S|IJZh z7p3p(uwE4Dg&5n{!AIlhCxWuCz&d5feO`$5qDimBxZWOumS;&5n}DsU?<=w1RH>yH zo5Hwb@Fo}pZ=w3U6zfHkUW;*|E{2xBktR=}`o0$HO%?gtYNP0nrWu0ZF4RUX#(L3Z zuf}LBlL`DB<|s3xtF!iU##<)__Zh1EpmhUqxisMBShv!pidDZ4Q$#Vua;(!aM+;gn zQv_Y+Snts#?F!~tU()3RxC~nKo5R0BtKXlU=s(frf3~DFnl|THyHG@gFDWf(sYLn> zS~G3wAXDd9RTQCscMKwUJw?!E?mv05q0;C#XdOXm$Kt1=XXTv2BCMZ;%$+%yU`qHB z5;(1hv=VWSMccqR7VYWgSXk5IcVEs~9Ipe&u?}G92mJdaw!4DXn?yjDIo2okWzbR) z{~SUR@;FG)WsViJFN2nfI2Wsq9BU*+KggHr_u6|XdMZV~%9r#Th=X^&--_7Un48LETHh(eMQy6=0 z|6qN#kaszdz+?EIvPE=9Rbo4FK)@Th`}C~D8RepmZeCh1n~=@Z z5V##TQk3YIEoq01)~C}XG4$IYNB#xhKSano`T(d?t2&!Q^doOn-dwh$n!DH|+Wr?e zDPoi{Fmw{j@yya9XN~~7?UZUuH+M~pv^U~Ez~sdcztSjfkzq7qzn^HagW10eQrv7q zo`m=d26Bv~iZ+s>-|vzt1pB`b+5*+03Z*N18&%{SnJu?P+9)SIa27Hxj+Lr0*yquk z1-nHqhFrFsn*g39jVS zB&~3yq*bA(McE;;9?&)i9-!tB2ar{2QBiV+l*f_)H;0+TlP%;}7b7K?F1NsIQm`LY z(%s&Ob#K%j3W!CNthMfkT#oe!e)Fljy@88>^MjQ4IQRB-;a2A_hbF!)!V1QflN z1=o*40nh(&eKk;n57~y}s~|H}k{$vaivLbQ$Xg2$86bN8afz%!@>PzAUIPGY4>@Wy zq$-#k!%iXX5AgGqgMg8*;OA`u|C9Iurir%#Q{VN$@?(wvlJwA%u?j>`dFvxKCe{(Q z8n{Ic)}CL|JT{|pH3uewvjM))A<%Q+-mqHvlfb>|U~T2(HJ7>ClpE$)eKK`xn7{}G zRds=fkSlzqlkGlZK&;ud)I{y2Da`6V$Yk>Ip$0Z_8g%dD>5^*JLhsa%>gur{iW-`EhytTDG zo``zv@NVdq4IqgT(I=FN47QX^!REMuKvx5PgOityqlk&0iQ*%`zjY{V!$@b9$ys6J z$WrfEw&%@xhz)w>$O-tK(fu#V`)@Y5GZ?4Y=+LElJtjlTFXqy4%;SY=P|H6>o6fZ8%3hC^Cz_QP6)aM=w=G0 z^wyb@AFq8L0;*P`<9ZJ4gA!*NO7m0bycW7PiAoHlueH;ddd1oP7Fqy}E(=xv`dTR4 zxE^1p0%coh|Fg(KNe@|QKK?62$O}{B1&EJ-Tq3ndKF|?yCA1-Om6H%C0~U2lt`%TT zy{V5Ir^ZxYD;@$Kc^7^jAi}wnnG6P)j*4yhAOh#C#vxb)Rjy5=iirlIss+s<4pna! zoi1x^*POMhz_r%lL)OySbp|S(wJ$;QvqNQPEvUnH%WQbsE-SgS8!pa6Odgx@5bbgY!q?Ek`sC=weaf zN)4PN#Wn~!wHh+6)X_+pYEnsg;9wF_hXR!WdvAgEqOg&)#enTLcxK0^Db={2YWs9> zwj|aSr^gsE!e0mMO@!~D|M0eU2$a{;YUaHAuM<~Dz50VUxHENdNh zEgtqAn0=L_-Bmpnq|Un zcEfuuJ%4O*bE3Yng$fp~N-eBv%~p`EG{jUyw5CPNX|~~1&erBcb6QSbUf%=0$5J@| z?JRhbIL8$?6Q{B~x1lgu?)iBCCxYAD_LSi+^2zbb;sj3eEwEewo@T?P3;UB=A*c5D z0($rxt}09F0GEPcEwON~56~)J94}=rG}W#R4OR77gR;K_R(=VtpAFvz45=7O<}|C# z5WkURqDu5+jVo*F1#taCaA$2893z^VS5_|eA6h2qRCmSm=HT(*S#7x3?#IZ>)oeLs zv?!pBkZ@H=tg37UKIRb?@;?I%TH$Gj0TVH9XAR1tcF2+|q2z3%h!O+*Lp?3P?Q*Dd z-;&or@>V0g7Wc5gllXDnpVlM!6(e#ejUV)b9@gKv$#{E%^9^X)ypda8geSTPs^}gV z@9&z?+QHGvTNVNnA)I|MNM;c}7JI}s%GL2$YHv;i*qe^|**{@@o zZ#mPlOTG`xXGWVFmsB(Zq}j0C`C@DWAn3BGuQ&TCw7=KP>S}>FkWg%ms7d->vv1*S z7%Mvkkkv++`u?z=GOQ8!qs;C*lw1$wD~{3to5-zXB10s7>Dgbs{Z2w;wL<4$pw0!fy-xT}ND#otFc{WlKm6~>crZ1xdi*a2#z*`O45dhd<&y@VkVoGO6r>4Qflb3;e%fVta zq%XYs!$>`6-K%|vOY#0Q1XXZb`-f?!aFa>9t^hd?u!9{al+(9N{gm6^fvu>CCU4D< z9~ZU~2r$2^TU4|Swo6N|Xo0mq< z*_XkI7C~1QZ5P@#cEpG@W%uV!%Jk(Ry)}v0N}c#n)H`Ald4GYI3ESyGA}0vB>Ey}-aw0WCJCNND zTAav_XU)U{^HZ9n%Cj61^EhlP^s=B;jNcr7*aZIvNad1Y1g**V&8459MbBVztmPOb z;Qv4p7vVC8pK1jel4E^E(PY^{>t_7s(ofK$ZE`&5$9y4Z&B1RDKc>jBcE)x_F5TCI z)&l(I(ofK$txI@LV3H8DW|72~xP-d|M-U{(norRx;%7wX(ofK$9ip=TU@W#uBbVWN zKwGrEd1XSB&Y}OXzj2b3lw4XS&qqYwHTc0@JjZIoh5FqOPyc4u$6IRa;o44<&2d7O z*8OlT&Qi;^c(k)C*47*srAu@E256SFXii#;XJexDfUOW^6?Qi+!|np!lSV0`bipu+ zt?KG%Y>vlO)WVsFipb*bwzgHCgo9`{J}D&w`4f{x!&T$*-C|LDW-O>gS@N)}F+$R% zI7LdeDkBNx``|Px@?BDR&ssYEsuHy*$dVtC3SOqyqBMb5Nm+#UO?YEP6*QVg**LdY z25q`D71y{`DJ>Of)+%ZXQQDS4iz60p;}`U2$@7Se&n$9otI&aB9o=!wMQ_IUZ2{!i zBkJ&d;P9cUi#j@2ImRPO<7wE&d*s4tYkO%GkBe0$ZCF6jVyvnJzq~5LA_pcJ;JW8l zm_n_<|9*<52uL&!YAV#)+R@0SEK2E>{C;H{YlN^fwGcd6^1npR8&aoAym+v=S#uoj z&oRXj31g!pOR6mm(Zzm5AUsXpjYZ?mBA5CR+o<$fIc5z`hGp($YG1 zai(daa%7<;K-50n#mCy?t2eFC8a?2@4==KE97$7mS(17Oy54wOAIG;~2a zXrqFT2W+N+UVbm?ZEtw96v4;Y*CBm9 zLLNmsCe%Ut%kszD1`}T1UEk`pA)3qZPL2iO)(Cm85d)i%$Cj3-;X>1)qbrWtfG#i` zylKddsf{X;mZQ<(I2HIchJ&ipQ>QDrPQ694EA>O*gH3UA#B~|K`sF@t=9sHL| zmqCsQTHn|axh;?jT2v1M7Y&C?OzAgS$J_vSn?%0A?jz?3U)4-rIls&SuKGqdY(Ahae5iWbsNpOtNve_fmb2E?BD3I6* za2ShrHRBvdb9*CGjCLh5%9FY;r4E&p9cYl_Z^V-jguDrecMR?uZr}oRa5V|-i{nRK)IMkxd>$A5)Yl| zwT{RmY=2{OVjEHk(GA9rDqf%*mvCIY3_5df#81$=hkqZ(Z;o{~|AruwOP4{82wK&4 z#K5yrX@iiX)_bJbioB|J#6N@off1DEbNo1qyrvLI&B#Y)aD6E7aM~Lx34#CRwTQSG zA&+=upbNh^$)qNZT-*uRd+cK!I(Pp9ngSIN3FhWU5b>7Cm{Ni6upcaH0F=-8r*;Cv zHL0f_P}AJHm@+mWdD^Kh+QQxA&zy){6OwX$FzA|y@+2b1m}MudK;#KdLV#EUXW$1n zK=LvImPW5lDbr*;y<$f&@6*H&q3jz{cp>E^aJAA!&prPLUEar`H82;>Av2}dFFOeaAZ0+|sEf!y^}<7`rc69xr8e)PviJbE<+yoI+G1b#`c7#MZ{);RqUk6pFO|x#hRP5$Rs^q=+ zd6;yrqcWT|Bw<@il;J?i_lw_@JFQiN!1k=zO z#Y|c$VPMFDcY1>ME~wPBTyn>$%?Tc_XmN+TAc@27+gx!2vbJc!%pDHgV4%q|L`=j@ z(24W&SzFLNr5(bZsibN!v5)m{h39#${OsN zg|Qn7(kPdM_3Vo#eeL{28wt3DZOX_+&(h6v?{u3eSpVLFnSin|o6R0!_^` zi)%sqccSKwjasK?`kRq$=Mg?=oLhp(f|2)o#yfMC7zDSp?6~fgrEil)tS^1mLC{x`tqFoQc0~xr?TXeq3^sT zovpH(U0w9n{wCjf^YdLic!GfQpU`B%6m_$6i(|Pw8?y=OY@5+{Cfgh+BR&F?C8I_S z&#|y=)P!x5ptTYSxwO@zB66&KuyD??X!)FDolA)-;xf9V*r2u6zQlV+uxbkGUq$jT zB~o;br6Oo>4_fq_W4%v_>XP*0@g(Qr7mB9&PSEO)Av2d|CP8a3UDBjC$oaryQHoHA z85rgU-j4M2N(H#IsYRbS9LhJR1PFUAGFUdPur0+6dBZllZHV8}s>dd-xf zFW`B>tVu&3z=UfhHkD^hrAsMerC1)$nx_9*fd>h*W>VKe$``}&&#VsqXTc9}ETe~m z&V|UOf8kAmmj*>;EZ)<|&m3mo&rZ9AAP+o=E*KbtdVoNp0|H(3?QyaD8j6=qjzm~V zIO&&AgglDU`Keh{YVO@HB{F$|lQN}PmFhFZ=u%yKFXvsAO8=9&RJ(waOGOuAO0%Mz z`Jh8dmrh0Le=<|91`$)zg~+AfL^&S)42rChuis*gH!g@4I^>=>p{?s0j!6PuSCA<&r)<~F!FsBQt%!IqKf<`*W$l_A>`#k1TRxi z@xM!XKO&!U5(31BWj>=&sCV%17bbNGz!>i6&{ah8A$EF580zY(U!|7isYlRw59wG? z$bBBdOYjl$cIGUo7bZn;i_^~4C{zR z)rZ=&i}3fd`oa z46O#kB@T<7A$lwl(O>B5t-ZXDKHdS>7sSVH7U1@u!v`88`r}=_@p&I_>;sE-bEzYU zRwO77e+MeNENM{Wr z+e*0x|6WDvW>HJwZq5nYNhxnd?0rs3fH-0DoV>l1&*R^>O==0ycIpmz{{>QhH>qX} z(k0cNH-8AUy@+4QgBL8TNQ=J?iszfy36FI1t6;K54xq^T?nDQji4l<=NwaHud8}2` z(biet)l4r8q37))a%2mdF*$mih#Y$?;t{F8 zB|Wf+e10=s(pk*Nmz2S2l5T&I<7Lg`QY=KsyBz{_pW=AD<)jsnE1d+@NE&sPJP8RG znnYp@obr<4T`kul^}k6H9j_XRbL8VleIrSNUSDeG?L7GMI1@=3Gr7 zsj#>DD7C@li6;*Pa0!9AoXSLHD|`%~my#5Q zBp(uv(8rFGM%4mJL8GwJ0Z-E_fb5c@Q5EbyAB8rKbmuD)LxlA)$15qToD2;-69=3A;P?0HaqKy*9;DLQVu z7Ct*1c`B93K0EAMk1s_(8TJe&ya>rmsx{GLv~7#XGpU@D?NsVa1mhkfWbPO(5u+pw_|rvFM0quC;Sa@!g4t{jxan=<7Q~{ype&2&fBQ< z3Huhy+dy%@q4|htO4xZQ@(yT{2O)J|*S?D10wty)||$aMP2p zL)9H_cPT575~WmSctdfg3D6H_pL`P>E#-0~A8SM=k;q;oqK-2~X86pd>{C)W8st<1 z)<0l*%#Ue^q$6sPSw2km5w#J}D1QMs`*}OJn1C@Xpc~u@uc4Vnu^(`9V|{0RV=S=>0~^(?d>gor z3|2j5jfDL&>pVc+`UQBrA*g1gioqkuNQs|5@6*K!fa(aSYDPT)jFkE)(+~H!UXBCe z6r)a~X8WnrFs_w509j|0sm--W#7CLlQuFj7ya@}n^E>c^UbIUZpg8_PndvcIq_Qt; zifVt*%=1!#g^p%Ms{B~&qnT`qCjfZ51GaH<{i?t@)5*4Y4?qtQP}R6P4;QKSQE2aH znp!}2;uD6}OZe&mg6_3k38r7F!-0|7K2a)0uG)oQT$aSDJNgkLP$~2JL|8>x#L)^N zuLsvXNqlC2QP1Hc^Zjbf{fM3W#0Jp(LR5C8(V@=B0X`Y>oa)@`WxNC$L3ayvq%^X? z2R4O|wCXdZt|6-(=tCU_HSVd?*pY=kH0Crm?S#o2fV|m3!$|g}b;yB!MK(yN`cG(I*21loYPUGcH*IL^Yw*eUH{lq7_rY7-l7{eo#sZH{jve(LkMQ zkUXtuGVTW66!izersSn6~iuf3v3J@ zX}~XDVa(Utp&YrVbHpgRRIw^z0!7rpxPlg4V%wgtX{#jnNWLTpzW+cGDvhFZtae23 zJ349E?K*6cW1VQH(9%0VuX^PlV5kjqtiK^Tmo9@G5#)#*tC;ip041tR`pvOkup=t* zuF?ATCEfK)@Tr~sM_lp^edA?id8neaE>s$h7Kckhb>+3f6IoYLR#7>>0$xxq$xvb0 z?9jqUr~;qlaYvVgsztUpraDp<3RTny%M)E*7^)!n7M|ESvqRO9BGJziSsf}wT>sR# zXsEn2TpbCC0X}Jkq1n};NCmzP;!9FQ9 zp}OKoG(<%Yi6MRhbyT!Gzmx@3y<7XF)Yer*YLGV6C#^0N7Tfs5F9^>GSBP!BDTpFF z*v=DMQxlpKt_h3ny|J}*$WDdW!4tb+4vHpr^u#TMS%{rdqM}78PkA}4Y3J0m@=z_z zWtcmmFjOS!3yTq+xNv!>x(@YGA$IY`SCodzBVwc{a&}!Uj9-lML`KN; z#jf7Cn)1+`kl4)=Sy{ssw!0^?I$R4g+rtxAS6&KAWNEZFx|*wYj61R@G^en#m}K|# zM%RWgIEcMGk+UNe)HZwjL`G{ObE?WCC6REk*vBuuwmMWCsf|=tgv!Ofegd;AD@w}{ z#8|)7IiaFZoyhk~s)?Ygt17GEeC3y18;Z z73iO3Vx4blID+=N&o^m*SUws@-0z!O5uP7i7$)N^77zGJRLzc*N2;pGR>gz95;c`| zwX>t465Me@;vrv=+UiI}q&QS89`;RM7@1QTDx4n{>%GY}RpIJTMQx>cBq<)9l#Jj} zPjpErTwO^$>anC~Sf_a08yDF>BA!T!s$esG(i>YHu7DvvkbHGq^PSd70>#m&q2q=jq5qTw3_*mni}zZQWD)nD#Qz(SQ;{mDEdWjbhxsb`_M~C z(baTMeAy>5%6)>EUhzxiK3O+Myy}-&9zln%4Oi6EhH+bX%~!E-2ld8kv zGVx|gTm_jjZcJ}^Q|fSU!>!`&q}crtT^kYac%o;cv?1}XH!fUQ9iA`V^F~IXO1$rl ztHbcgcZ&}^@sT3j+Bjz)dgCjKE77&6Za+#&s0~+_V=%4@iI3BygvBRGDRpJFk=nXi z@o92=RU}j)KTmX7sDcN< zuajbHX3vS>w*8H7bd>5jB)&~bTo@{wEjD-~!_^qkU>M(dqazgyLe&_VG5mg?lu}(f zJF-yxkQ`e_P5Yxawz2}*kBFa=VykJ?{@EK@S6xh%`->;GJXBdbn`-yhq-dHj{gxaz zk0gKh#?rmHJcJLAxNjllk=ogH$QZwb~l;{QcHinyg z4)iTRn1k;dq{K%HtLrMlk!UeZ+G<$pcpoX|ph@lt$%(ZzT%VW}TM?MmtEJJa{S!t3h7F1xeR3=t?(y>a3U@9xl_QqC6u&_BNDXwx(r8w6USB2R% z?vdwtBT-Zs;9rv>t842@>!{MtPm_Qq#d?&h=>o4vUB&)b`G^ZWv6#UZ!T7{Qp4jTy zAOd{9-YQqeW;~TLwyCOOC1p%jHRt6{WK) z#TChsHD%RUa9-(+F2W=(S{lMMuL!TccUx9XxEf2p3URextXUIX5atQfei6qez90gmxe1a`h~>JzUhUqVsvHkZ{O4+Oijb$ z|NPS`%PZ&5Lj9IB$u+g1D9>1L^%W?toP#MVW^vK#a8+F)8N+S9g5jdNP;q6oxZOXs zJc@B~9!vbkR|5C<+Axif;tt<*G;DEQb*M)C*Ee}i_yAsd-RYlNS&TcPxXU+@idP+? z`NiG7>1a7xHQ$pak)aF3z5dBzjH&DVlj_3NG&n>s!M)E{0^>1qwLskOpIRdx@J*N( zt_Uxz!!%1g=uOAHT+Kxu^2W~((b`5loD>`8Tladu*l0;k#g)ex`&lo-f?=u8y7A|9f&8rk+VY)@su|zQW&N?$J0r%rC4y`=JAX- z8v6ku@vJwlh9jTzM%GoAQKx-AC6+dxUhu}wkBApNF?EsB*>%<8C2u4T;52%^?2WH3 zt`o1MMCrTitEmZ9A!Ln;{F*myVIih{ucyYDarq6OL^UeE=}DP~@v(x}O>cSQBjH-~ z2pT)z_9j%8hpMp6@Qx>Tez+V}_^vk&qf&`@&l7jR>`E**-uJ{Uh!nFCe&CI*tgb5z zi4Q%I3u*3GDn9Z?)|JsYH149s;uCmNZ-u8IR{zPPzJn75`H}XYJOh_- zBh4Bx~exdecO19F_g=;==a>Ahrc z0?Xlzdhn<5)gt7>Ab-wL5a3*u$lu{v)hj!mLBY)oXDU|+g2^Ep@PGh86(3#|6yDz$$K#r~=-)s`J?Q&=h;8aJ#;?9ZT{HyfSW&Dtbv6WlWC! z-t)A|&07o_(Z4(+FUf$HKHFZ?h>y8972y?c^yxqK&G1O0TdC4`1!BRGdx8CH{GrOZ$eoL&f_z8iE&z{5P%P?! z-QBPTai)~ikp1Un$wEp$gtTxvotbH6gE$azx$2UB69aI>Ca-_|*5A10Tk%*9uV7Hk z$qnDyQIAi+i~hF_5LsxyAUClpqv-!1(vUTB5RQZpPyZG2E<`+lkhc}Yr5c86=>!f2 zHu(I)etP6PNdMB2(L>K!cuGnm&!N<4_->&aG#)9a!aSl}Ey=}A^yilMcms{ohuV!r^lK^lkTIU|A~J2B?m|&gjQoe+2_Ia znv+);{y>}_>3^yp!B`Mz6`p)C&rUzX{~f_wW+pprBgi~W!o({;dV-fYz-uPs3?y5g51#7~ zT&Ab$<}g{*($NwBf24f}cw0r*_8nPCEMyadodAYF=#UVIoKAO<6DP7|Y^9N~#<3O0 z#I}qq#|cZXONXWRPUtQ4zVy(0Z%bQXm%5?1|2=2s-kDnzyx;HV8CjZ{_dVxKyVLGW z+OFg1%-IhG+6L}Zd_tb+rs{TL6^P+zm`B3}h@}f!oXSWW$9)AV7Bk|Q{1!Fb1)>YY z%Zc15pg6l0tye>)CpplQMxkF7U;{UBb`)-L3xsTLF$q_TJy79c56}~Z8cKc5v)SaR zmes!Qc^5_vdr15a)Q~@*5kc|p0iVYm037zPAOT`W#NEY-ubw4m2$|E5LiOM#eXaS; zk4@Z*!U9eP`NfU^&T*OTXA0TYK0yf|EaiV`ad96G%ln07tvj#4ZguhCCjUryF>=iQ z9F4>&CJ*eSNiE5uUdFRo9H$*F-1#m9VR)RHfqC_smWE_Yyr~KED{jB0I945-Ftu=Jqw!`FbblbG|H#GuDMzX8lrWYh4KlNYJPC3RD%(B z^$g$*m1ZU}iB*N!D8r(;t=Ov-E}XfSFb$$TE-h7wWc4f)&%X2P;)xp5BKEa66ESmj zwlmk+*4ovKYtGRd;ftQbg`Zw1MO0%tvpHVv+J9vGVfzfGvZ`leF?0^8nY#l!g*Pnx zZ)ZUWv&0(~mWl!q3SssionktoJZ2S^33^xA@u{#1M+iE2c}tu)3_VB(+10cPO9b&0 zoGot0dxnJ@h&P~+b&j|O|ET}Hiy&!*KZs2rVmr990}x$ADQPSo>3IyZ3V#$c5O1~< z*BRi&`I_}YzO#Ia)Wk@UNgRx)wm1gRlN>nux~c36h5e0M1V47F9m9U;KMD8?nqsU- zq+4;cf1$R0^y!Kf7ctkD` z3q5EnORr#4g31H8H$W|;0#Ot`8h*v{D}4@s519}y+)*qIhv*HtHe@0WjmTMryRjGL z)JQ>*3S&Y%4)9APY8hgSXfHl3K5ER9Rf!CazRy~PQ*ehCq7Oqn2~jz=fn?kRwwEJp z8z($^AW=PSApYXvu!>1Loa+oDBjHn_MN#;0_!P^p^ohH^ zWjj;X&XuO{0WRE;Fuiw=MyRSYhr=#peTt0VvyiK7Rb8sSvauyKzcG#pDa;Gi$x3hf zSJgLimG$_cuBjG^Hujl;c>`!dlo}~BGW;|XwO3{!Dl_l<^Z021?f)|a=T+77{y&c& z*6&hs^PelAv7R^mdHiH#+Vf`yr0QyGdB2~>Gsv%2D@fY_J&62))9Y$^bD#-;p9awG zKr^rr4+Gj4=<(A4+8AgC&TNcN=S_iLPYt1sfo5o9Lp|>W^!RB2Z3lD(R?p*&fF3^$ zpq+rOz`E)bZv*stY6xuwG(%Bpeyjxvw;1)6@94fCxEEB7TmOCleS93HX?ms^p~sH&okDqP`w z5APxT*Bko6@_*K}E-51}?_|PD77S4w4Ott(DWkbijK$?F4B~!-vSSkaDO5EONe^m_D8|%Sq=252HU^Ta zR>V6yasCHlAFUBom}EIuFh0Y>4QrZ(9!z7V6R-P;bT7B(xBr;MImczIF%M$;KzuSIFCKT$8gvHq=d3+7Gf`SBW$Y}#;0w#VWw5b z0eYr}E2|i}j%k$?D6P65@t@QfWffzhehjSo3Xy-&0Jdr~(Tq-d+KQ}eLf9|9c(E*g z+ZKncscFIrRDQTH}`M6-+ zkhKn6+3@wKH7*sEgxSEv&{UCQA+ZVzb3u7wZALZr|Q|YK-@tnerm`jo3DYMXGOL#1=F<9 zJjkL17yks}Q!Q&Jn)R7)MYi<<=G%aEQJqv$pnpo8OWbh9pW7j%Sbm*AU#H?@-6ycN zQy8&Alz5}?;427`SUmYg;mK$J2#*vLFES(~_6KM=T-nO?DYaF=(2zI|p659M#=}oV z85R=PBls>I<$5q6yZF&q6hao;O@#e$ypu$H0Kk_TbiV}g!?D-|*^fsCZBP=jwuF;f zMiq!94L3n7N#&Wv$i0BtM)1iU!2hp#EasaBEW#Bt!H96nLd(?{j-N$N-8NI~%;SQKdn za&ICe0Oc?TV8x*eo(DMrQDWf_I|>#XPDb!~Itn*}Du>Ua5*v#REN@D;cJY(I&gN4{ z&T61u(a1C5hqA%he6|m1=QSe>5Op}5)HkY-oY&|2kgg18com=x8qOJBF9o0HgS&E_ z;Rku3NcwV^QKUZ4M{u$9pd5*WsnX%zI_)hz9w86<8VOU~96lM-f+dmjLx0^|X4;Mg zMzyqmghvfflWRq6kHS$)xINWAMI!}KMJ@`7v85ra0qaI&f>SBJzM2!2>c;ZVCRypbyls#X)G3tVmC z7eK5b)M0D^KfBO)-b4U9KAtJ_=y9@98J_=e(pvc-X9;LLZ}CcS8qXvUa|mTf0AQ6z z!hDkOSHwHUBSAf|ZZie=9$2>mbdMG?M)1@0c0-2iY5F0ee&v(H54k%{IsS*-s1fJ{ z!zpXjBls>ukoyr_kGS(GK9>-gW~Jg8dbr(VO5)2)8Z&*E9?bOMxkKev90%a3S{P@J z9mk5?YY62Q%nriC(QfuF@htFfY6ZAlai;O{N|F0bCFm$x@`ikRripb%hOG7A%&e2J z2MpO$P(B#dWcMd5Q3F&XA*I=>$){6oBL8qn^c-h%ATUR0iL7WMy*Ot@9(KW?D0$lt z=v9E+?SZ8-y;kHA6Gf+0!`B9V3cyzyR4OjPT6pA96G8c3mC5BY-8N{{2FQOnl_aHN zPwIj_W`d}2HgOkB^*8oH{COI~*(i;Af^e#uQ8Kbks0T+N_Q`}WO3fIt3^RL?)dqyh zw3GzQ9f<$12g9N`A)Yi~lL4c20O&se{OAFV5sftFeab*knO5ll(B>P4tZ{IrcAQfn zm3BQtSSk(F-tfW7DhSEQCA%H)r9>ecg;KEz^%bA>!HAAjRj~5_xm3e!^Q6${425Ik zrH!1RW_5T6=+B5jrYu*7G2+9~kp8?EZwoi^qc#dz+ryc7wp}I<=Y|z|(PaoVxJIyT z%o5OKh{{fbvDn2GdC3Q5PNx)d@?8elH5$t4U`VhcFPpYZbaGD?7SoFjm*r z`3mYJ{>K!G(W}eg{Q&%{TdE8EMG)9y@D0GzK}5h5I1@XBMh6fxT4Yx#2Dq z;cX!DCj&aIj+Pf`RZLD1aG`h+xOX-7?r!Y7OSLYhT?n-n0IIFZot)Ptg^a}l;6O_#>P7t zr6zM2ejuQK^TB!GSx#`(rLc#&oX|HR{=I~8B{a{&k1{Zr2-cbN5#oRC!E7d~aT8}Y zUDsnpHaB&hYWp)mr^UIn4GPf|IHOp|-u+HYlg<*&c0L;NH)%S9C@^9r8IkZY2rZ_s zMeI=&KHK&&^$o><7PPj(?PJc3@5%gMhPj;53>Vs>27? zQ0Pk61Z}aT%cE_L8nC~0SsK}}+*(dz9tZX%jc0NNJtiM?g@lH-!Yn47)Hk{la?h4} zTs~;iEZUJV+pUeH+Y40tXu5-;olSRqKDtf`Jpr(@HIxgfGJ>~T2HD@G><8>64OPok zoOCDWle(X>e{0wer|efNZwx&(AGBLScLS_WLw|;;*t$~L*Voz6Vw44mwI85m~yvwac=V`M?aL_7raYGOE+%Nv6e87pyResCfKFcmNH z2Y^GjF~F6J`mBUJi`JLi6A%a>RRu8>pgnxRYXePIIJUPJm;u7c+EFVCG5Sc{5UeW= zu6Y9}q*9RhYZ9#7YCTzk`gPF6#t6=n{_r?$2TSk;h4P8IU&; znv={%v+&3B3STZIzX#mEwPe*Uu;kVGB&#N+d^_y_fm31?ln!H0JQED1kvnTV8?c2A z6xE+mFXTgYN?nf!;w%RxpRcvzJxb(Pg6-_-fJ9E=$ANp6u(A@=iiEb+}?iQSz>w(bhl3_`L{J7Z|dJ@Iy+WP9436X^gTk9OqRXcqon zUg0WRZwKxnEm`FaOa5m*$tqjF0qi#|Rzc|)`^3k=P?`>7jdvImvL?VeDaEMI@}X3= zE&`&*LD|_lh6H{YY-blbxiVWX1nwHb$`n>lgbAAi`*on$u4X`4**5_D*eCXB^yCw? zVI!bf%{pR7j15_v!@0%Yixs>CZN~7|*Q_J95wL{>m6cU#d>&a#`yc|uwyJRim*bNG zJx@zkEydoLqD#;=2fyUj23a84=4XI>Lkm~v<4Es6f`wsBfZYJkTnv{Z9U_QXdNpU-z5v`bO4(*nEM~4ygewvy9)eC zXOB=gf0PogdC44Fg-!Tuk!xa7{us>_O z63+PX`SD8l*cc`O;8aCX!p|k)6?wquJaS=Y%a;N>pz%sL<0t0FE8$lGc&i30;loBl z_~bm`4paE2z^>7FC7kh7^W&B9tuf$@!MQD8iYdT6ZXf7bfNjYB;bvp2p%+Lo{~9qzLxKQ*dG* zA>IS#6T)4@gCiBtBE*mI3{S8FVyz;`v6dmsvYKz?wS1P`Bx2_QI` zUUJ)~0w0(kyq^Ro0Gy}6Jd#%6gY$#CCHPnX&(L5VODizm>B^Vdn27L|8_D+uxIWf= z*V34aS7~TqeLOJ+&0#o0YaShsnuPUVxU!qM^e~);)+enEt_Rl(0d3RpQaRTBdp@u^ z+NJU$&Ik4~jo(b9GCbvCCCxm$s{@CMQu0rPlyOQu+cWpe6dv98c0xK+* z{sxdAYw4;2^2qm={L)qJ9XSQ93Y-$Hz&wh*Js+4xzpQsNfO|V&n9R7l^W!?D#>WG5 zmV>i*kMMx-{(Sb@<54#GX@FlR0xqt0g7K*E!93FK5h*KPG!^}SICp}x0uQf60hQo0 zft*8VDP5%*OMf)Kbd})80C<`ft-w6KT%8Y0<5Jf95dfcZz({b$J(C}&68u+S3a2^t zs+N*RrZ48Rm&c}@+B*YTOK54YLi5=4=YS&nKG4r!4w5AphYL z&EwNI^N8jVDvSOWz~6nMd4&3IKGF6Vm8DPE8B>37?qbGc)c5m9w@0b0Kp(J&5Z+a< zd6fELJ_YP?Dl2d+zz_Nq;Bo55c@(e*s;s~oV69!e3h+Sn(|iipBUM&l62O&2;Hqdm zQvE!S0`^dq73c@{FrNZE)ci7^0`^#y6}TPXhkOb!!Pjdc=#~x58X&D*9rb_4FH{;H zZGM|aeS5UZ>Q4lAPr|!0knwBs$u&qxCZAI&jf{QA?TLF)}=rW zXtWZ~!_IZ`pj1t}6Q~Xa6Y%!;pbi}fl=#nq{9dD#cpiAJn@_xJwOi^-NH<76K*yQy|x9v=YyQPu?9L zsJuCV+9eHyLA^YF7gAKEp3y$ax4HG#682{u^^ffD}- zkl$*w63+wJE%Kp#BiOO%z*fM?6fj4yTj%5O4q^AxaIAIHtY?w>JQ5+rRL3LXlj*Ar zJJG2Qf1`+ta2PIw8vt;||UzW(td02@!V&iIHoib8#YmDW8|)@YD9@8SqCZ zF-)@up8@?fF>Lb>l?oK0wWl3#ewCg@!$Aki8^JjQ;KQy2xS-@%!FudejU7{~_+a=#i;Cj>H!x_DzzIiT;t?uu`-sY-~s%(GX607uLdR#d+$EF2D0(BRq zT|voeNxfqY8UZ*}lq7W{j?KwcFX`-Rw@M#(lTHAs4vsfYno(2T(n4ouDV3j+yhnrc zEQdGxG=xS^oq#d0lz`IL-__oj&Gfd?%AIDkN}qEHte6Ucd1LF8dRmJ84I;yFk2y%% zx}GIz9JfFRS5>vPE$ztSnpGIbeQTWy6;mKAUu-Sw87Zq1a(YRYEPv9lcoydlR&~tC zWR_Z`-?&VPO$56UZYQ3da?eWI2SNF?V*;lf&RwP)kN31=J6}30Yc*aMuu9LRcFM`} zit$0Qpg8jT1!fP4jnR;(%(ij~tVe{Sd%godoV?f8)!NtB(Au50qD`0l!`=i#aiY~? zF5FA=!5xMv0LEl{VTl+-lBs+2Vj1BwPBoj`S_l8djtN{~cx zAYvWs#8ex((DK#wHKSOu=z2P>kq??O8L*$wi4w;RfvP#dAxg~qGC1ES-sdkO^$sNU zRtmhw-IdOz<$+@L$w1zi5hvb6rPLOyN{PV1Ni1V(9V!8wl5yV{DT8ijQ&H;|1d151 zqhM{oFQSRBWDJ#rSQ(R#PuvoXXOSNtA0_SG_Y&qCh%oUS}P925@17>57I*~5V4hO5c?Msk;7_119gIC(fgH#kj7bU z08#5Dwg>xoI7du5Y1S498UU#7HGuvCKw5*YmdEEb@KJ`QBzr&Q4TxJHP9X#*QS?@P zrEQTrLET9BYWymuuSM)p6u#T`$nA|~^1|d%w;hMQn5n(3aPrK3>v1d&&iiTY!r4{R z>r*YU3Y>kzUt&0Ri@%J=88-A~8jjbZ|5I^94gH^j+vw>3WSl@l|0m(LIr={lhs@Cb z3Ajg&{#W4082TT>9dY&LbHTp}xY7;(CgQ9X{F{W^+VF2O&SAm7 zDL4xb|EA)Q75tlqi`DQiHXbLa(Ek{&O{4!6xG0VOPr%h^bK`XB+}wC`3PP&!gFf+N zczGN@=o3Fm%Ik4$1AW#&Y9)Lk<&`yb`5lE8mdEiuQeKVk!^*4iy$~@|Fu5Mzhm|+t z`;hWRd>>jq1K$hED`(+f82{imq`b0aE`E-{e`44e|A}=2{*NykmN)E;e;eT+qL-KB zaxC0hn5@PT13m4y%|4ub;~2`C>DhEgCfnKCgY22v-$h?=YMA3&*`Dd8*8>s%^9%Rl z_${-0miA-@2rxa{(wsvVwKdyLnVM~>#M5|bE9EPFU)0*u*-CkfAL#W#io~cNEmhgh zBD6HOb{(*&KilERL0!}GS3V=QYdx-!dJmqI9$ z)TDd5TeC~4lxJJw9V>csRM7DiJz`2Jl=f^34ll%kv^ay1f8bciHk=-&zav@uTH%En zfVdpolE`GU*p#29>fm^yg=+0vgzd{6R6DXQ)6?1R)}9qI2h@)ioTRuU)79A~6T$Xv zMAy8}PDJgQ$)&O98a)y=21TSbha9BW0i;FnNkcO>X-0n!QhS9osSZb5lSyoM9S%EQ zA?3})CDE!F@mD(`#v!?@PkC|LS=`Ni2$?7t#88#a`_1l)@q)}!-ZMHLw!+z~tYsh2=T31N! z#ti#&A#2QJbNwA?3HetO4pF9D=i<^NQ=C&4Co|_5#L6}IQUWugc_59Rsw^4J1D&}8 zl%ldCY7bAg8c|y?da-kn<)-?xOVcZ43eCou@+@*L8i_vnb$)*b3UMnX`VecQYK%Z~ z$klKX2TwPlHBcT#lVJO(Q!}8r?K2mpC!Oty_hSH+rgkHngY9-`JYJ1ovOtqMsdrTOQ7O%v657r$wjO-y$Gt*qu~pcSN3Khp`LSS zW`7ST(C{HsEBkQ_ba`{S7xgWP35iH~LuMK36png96{_o5)*`8ktc~WT(@SfJAbGI( zhNLuu$0|oSq@=KsKU6+9-3}?KCH+W9ln+R0Zl$6_JT@HK($J;0tF;5^ojgvAY6cg1 zwa}3z;NtI9No(OPIV*VzMn%@BZoHjkCGQp00KwK#uAiY%-Kb$s zXm}LH`C0vY=%{X_p_RN(p$kW?;NSPF-|LL(Mz$v(;2MF$!bh!0qnITh6mm3Ff_)*m z1FYnGoMCic{1yX@|489CEZ9^)|K!6$44aKjaByXhv0c*tF(EdC-+0GA`ZPkLFX97R z3F`VVl;~`?lDt6aO1z3x_Y@Uu_c@nte$|=xPmBf`m zUhk-ZLx*r`HCKr~EBQ(Q$22FL-uwOt$gdr=Tv%p`n${H{cs+n%3J55a;9DYZcY>2? zBjLDZx;oR{+n-C@H7fab01Zyocg2D|1tQ~cS=QX{zLqxCwk540)X~AwlXFvb_3@Te zOKoL!3J29ChYb1gTAaRHASg#stgYm_L+Irmsyx^50m7;=vy%VzYQZmut6*Gg*fPcb zZi(NaNR&icZS=;4!|{cmLkGhI)^Z#Q05yuTRc-BY&^(P}R;7wqU`)3%JTN(VuC35G zR;WLl#XQ7(F4b~n&8Xmf`gOIALVQ#0US?b#e)K*O(m=o(XAc*NEtRRNwvs>l%$%e~ zi*_93K2;^L?L5%%0=KD`Y?G66*~?UnHqj`>IF3g%dVYxmh*D{WBhAyTz0yox2QyQT z#`<<}ywhzXC)#RTIZ3yJ*ajy%BnxP&%gsYtAvybTvaGV}4;yOjAl|~0_(FWYIq-1J@*(L>|kS_9~*K)Z(z-O%;rebypbl7zmAp4@B@E0Z?s7qV8>3I$}a#a=Gh@}5kl_Pq0}ry-5<7~y+h(@MEu?x*=au9FlMo_i$bFCFR+>% zTOR$2l*GY^bcTj%%B^J9n~bpvGXj$H>f=!~nnAO-LnT>oYO@#2$0T-1$kB-YcLySq zm!(_DzXsxPlTNN%VjlwTS&ikySJ;E|V9|J>qZOCI8{A(KP-(ml0BGMNS;juu6{6|b7e=cYg-lsD~RMqDlD>i$Y=kBUJdA! zBnrawnoHL8fZgTDmpu|rD1KOB1mz{5Gn3@=Ri~Jw`VlnYR@)SQ%1Tx6khhYTdWq;1 zC4|IP22KXD%0Q!9O7sjKz+CQylOYsj` z9GLP}mH!AJPSz-HTNR2=rZamUJW=jc{riAgt&v>y6_QV!^C4Xo{}&*KwK>M?il54; zPC#7`Ekw}|3_`sIRLI897uDBmpcp)PpchC0(tDfvCDapvtRE92MQ}$p~>@_$hj}kK?xdh63)!2^rAK_GMO2$dM z449RaC$*W`!~G&7j+>gbzZSH25Vh7`R=QNCF`HiIDE@dJ#f?aAc5YiDX*~Mje2N<| zE6HcLxw3*4H{!UdS@Cwz_7b&UaYt!B4b7DxuHxllrLltD{L2-s$H4wixAL;H=Zqe( z!INhk?OY~cy|Bp5!Ai-=b z?i(Zv+~t}^zNQ*30h~^|F2T`XaH=?%0$4pt9M=C=U;4Zuj}yRkHu1@9rf!jJ zI~=0l{AyC^)jX`%%iwt5(97YG%WP0dj_9qVJf+B$vh)^?Cmwn(d;r7_ofrhbNqePg zGiw^G>Wa1<~b+Z;MzB`sckOX4Y8A zO#_vypGiC8*sOy6&&6eDE?nvq zHJZ}3>FK6WO+U@Ae1#dq&(B40F1VKvzijeNe$vilu#!9bOqlGAJpB{3?h97#)bTrSjo(zujv_d>NZ7em+^D%kX8J-9DHHSd9QMAU< z;zLsVjzO@e`dPF*z>YpWjIYP1IvvLlfC=`dDQG%$xa_7ZT+P%pKVO{EvSAEqT{EbmF)8Ik!>94 zBcw+jCf2d%2F{mQ{Ors4=%_JAke`fF{uB_M?;%rNx2%uJwN&IyJL*n|_dxY;4;}ZH z|X;Z)i^eg z@@3GyA4F*kS*_&3K3?w18*zfupkjX<0t)9#1LUdQAw1mAP1EvDj9}&yzc%WL|{lYFwKIic>xlIOJV1>qB#)M+f8JybOHWF^n^vBuE;x*`#IY>MM$@4br4 z(bZOcSOkVsIZnH`&>EtPOAm>fWV4k#pG3GiA#^0Q3dTDy{IpsMiUFdL&j@}Ah3jHv zACp|<(~}w=4n(6$(Zvmb-{xqdmB2>s<`sQ%9`6dDE;LvmU34szzgVoLc|IoO>uIf3 zDp-`m`@e8XL7oF1%S#%48j5)(pPn&#mQ)&%;*D;4(E-{%qL%%kyN@@MP?F2cy7o`B zr&z(-KMDF**QUJ`aNXLqXMV5tTleGq3pi8zQt>=quSu@*(V@u3_wR4*vTv2*qS^s; zpJsSa+K-s6*)HsUPc6Y-ndI$0uGj=u6c}#rb-oj1j|326pO#H`m!A$R(M|+|Y{)Wf zN`X`MIoi>>R9miVMO8XCkjDO}NEFK!-7 zuJ&^wPn-x$hPsK>bmGPiv>us?D?xjwn>zXw6{1o>FCZqL^6|P}UHk;lZ{2Vp>E*rT z3x1?&)9B?PYX>+pc}fMnb(nn3hjhKKxHnLXeMov^FZqriY05kusEd6_dU+)Ip%3YL zapYN`-t-}dZvo_IKBViNk-`87@7T;#I&u@KMmw8Oa}g*6^Vn zE)KS(`~V#N0jE+#sgWWMi%#`*%}`8j?q7s$uY3@QyxI;tGIo z)&$%*mGa4`$?bdu_K`4*d=IF9`;a?tiNZd~n*y;(jjcFLziDyX)QSqS08N~;Ii;}y z?u?NVnQVU$EqBOJT4WSi z01wJb!6~6AZUy`vKZR2_4)wE4kWy3>TbJKJ5bc!Mjd;u+(^}hYi(VHLpMdIHqLU9o%0atvKG#S0 zCyldYoO&c~eS|X@<$Igz;lvg6G9P#T3My$&2IYBfS}4l3@ER{!a4nQPuYu!39}icx zn}c~cJB>$?l9KZvyXQnw$Lm+%Nta_kfq1-_|WU2CE)$DznMY;2t9% zI_Qw?PyBhV$RxR5B@pnC6|e&^WgA0egtXD_a=LQ-& zq02IoUl6z2E$Pk~lq2O06_{1F>z!yd=&rFC}tW-Z6YcIwKuimbxXw(2N=g?w_)p)7$#f`%7wHhx| zzlV+*;FY$<%auprr~$QB)_8^TT4&UNTI*`OQh60oEN6RVpVhch1*{AG$%;t$HfUKa zzmgS2;m2%`qVNm!mBxSTK1#E<8iz^g&il0t>jD2%TsuJ&=iy0lyZTp36%z# zsEAdV5#j-d?eZ{l#tFz*DtRbs1^};G_y}W0I5L&tw55vntYT$(3oihR7aWvV_9KRD zm5#|Ry)4*pMl4#O%mfJB#v65t3c~hOR&J{kVH>||Nw&%Dx!Za{lVynJr(6g77;nT$ z9AI-FYH-UH*|A>0MDo|XC|#i4(HD#SZPr%}Rw|9joxIT}z*}=t5e8|6H`XK$uvvFF zNv8uB`s4&JU?Tb3ydwn_zU1T~q8-SkaAjvwdx4h@IAE6{;vjgQ?F4Wl%MU6_vOK9- zwHYMJHQ}lz#Ox`OCqVNuQJqgRqL)$|qp&l*YHH~96uIDJyq^mv)ss*)gO>0@?E{J# zxGO+2d_bNztM}<^AC1gqY8g<66VlMORPbzBvkw_GBXkGA4+j&>_Ui1xv+6$pTX2fk zW}X?F>&4q=1T%0vK)d>YJRdgC2UM5ku*sbO_4|M`X(s9~ev|8QWm{)&7rm*+=#@aO zBD72}+Q@-%0i*Zzik)hJS?7-c{921vU^=ffxyTE~L8qM-qsmXk{5PB`779gYtR~xh z3gdKErRiRP>_ae@rgR-qveO6CR}rz5Cjxi6gGKqpO+{96saMAd@J20TEgwYm#|a|q zmYNmp_Ck6bz&D8eqXwXk;Kr}y{yx>zrCqGo)_=z&D4etjde!wc&|C7!1AMT_G6?GN z>R$^`i#4*859di9=!5CQc}_*_vo(Tb$*aznp_g!o4@j$ecDOC{Wx(HZQ}C5fxG~R4 z9v(tt0LT)XqL6uOpuBN1AJT zOMuGxki3p~jt}WtN4ylM8+=G!N4(IFG-bXG)TcfquOnXOL%P-xN1lQ15}c~U{4^=$ z^XHOR`H-%4#73YN`j9WvH2BRvB=x1`Gge(5e-e;qIA~NfM37JXRX#B7)Z(YU!afPy z3m&ZMh1})?lVsTwQRu=m@eqV_syHGzeWW{lcpfs?9VJCE3-Bfnh10LQE11IW+%2b(h}an+wG_%NZP?@romx8q5Fq>iAxj6qD&ZmVeD%*GoXychGEv!K| zuq%9cR9}@2+Y^B^vq6Q8>WxeY)yUig_#H&?040lTA?-96>x1*=Q<(?~|242bXnd*Q zrg@wX>1&#|KM$J#;Y`8O?45jIZ_}IxuFHc>WpEsqB#8M4VzgV-iGdO&9=5Tjg{T!}4VfrpmFW2<@cVHPFi313|Ki-+??{m1{58$Tmm?xsm zKa}~O2KVb8{_BNEW$B>v&UV_dWfhGq_E&}qGm5v+gi4BuJtW4W8c%^UtHTXUkL@rr z$^G2ZKrMs)yz5HdLp+>l(*6!FZbXPyP$P6B(rIaDuT^w4?O(OCZX)@`7g^$A;-LJ( zY2@^lC>kPa$I#9t7wv~TF1SqS*6!9Xsd1!sYomeXb+`foFW086aM5Mq-LAmdj_|8V z#9JDhk~mwqxur6ZzyXgQ&*sK>bzN;;yav6{-HaAC)!MPE_oV-p;waj{D`a-!!a*fbSW(5v(K4{ns1QT(Gk_8GJD3` zmNm`lMBOVQ^fTajnYcEfU)Jc9MUt)A2EY;|XKo)3>9)--dLsNIl23%_w0N?=D7-%6 z6qBlv@F@C9zJ4Q(CXLN}6jAe;xddT3xUyI9F(@-9U=>O?u5Lqb2g!ZJ_7<^`p(NXC za6v4bpT7mXBjJDIvzWg6ZKy}BlS#=Y9J4aN31bm91+MH&d<@D2Jl!8oG$=FS6*a`Y@B=Bo;Q2s;F> z>}`AuN_W(Fhu*FH1E6?}xIQ2*Ql2Dp>f8E|_B+8d68;pQ#q`y$eSKz`q5TQhNlXFO#|43 z^{&fr!Yc4Q09UpJA`Qxfi37_`32q}oV2Qw$ZAWxm{_%y3Ffn9C7lcN_J0i50zWUAJ z>uPibei{-M1Jb(zr^wn=qbyvoGx}|T(Z%PDVBjCz%_!yKa$Pmr%wqg!A_93zZh>WZt z(PV{2kO7ZC^+@~`64Ga~1+qX}Vufh&6$ zAA_=E5;{Gm2)7}Zg63-CTTOgq2uUYHTp+S!9Rx(e&*8I}zWObhnOV`7!zmTINd5KZ z{FZEju^k3Y#UHZvF^erhC(hZo| zb_+fRWh90U`J}n+JQ|EA67`)#O?HwZT*qmA7N9Me`Z|n^gzrbpV*0vvQxh4S)$Tk= z4!AA9ouvrd46f{Sd<@D?WTt7SBg$>$QqX0I_gvy7BS{Odkq($Fd>FPx!WSc2F@5!0 zSku|p+ts?lmyh#q&u`yghT-h>WCF@8BvMvBhwp{@g zBH;rOshGa{ZEM73D7l=!j842GzirQf?k%{o1R@RAwg5qH+qMST4sc~n#7ef24rE&Z zlx#Z;Dn!Eb5UH5H`fbBJWOhYEdO+cI!Ce2&{Kj1ezB}Q{#v;<7j6)YKNRr#S0>w>Uk-ab$w5}txs#q1tGWNLGeaV)11ep6V=w*r}IX6eeXbbBROWsn3t$ z2>M=GXY#T*b`#L(cixSw0qGvg-rj1wm7BrY@NXA?CuSWfEDGA=goXl|X%sihOXt+A zua0fsIrm#*0j_i`1f+~y4L(G)U@y!~|4oR4UHsy9NETwe6HndQXx$R%9zz*-7` z3RiY0DH46C5@iPKTA))+9QQYh=XnSxx+7p&gQjrj;Bw>)1C+7TSlLs+f4-LS2qamn zSVjY?*RphKNgDT`6fX^sVMKro&zriTl3khqKbo8OGg;x9xyhy)Yuv{_!{6z zMmW2!A!UvGt=BWw+kF~`Nl(Fo4co53&Qi+#B%YEX4|djc;YS7tkS?Q`8z$H2znZ{WQa zQT%;q{rfO@7xb(6r;r$eUuEPaqNkt~Q)}=!*pQ5MJ-Fuv@9?EtyQz+Dh&cYHjb4~A zreL2#qrn*`2)QqO&?CCSCLtQG?SK=#RR zKY(@b#T27tA4(6Dx5BneS8az?vhN97(Cr{ zsik|QW_j4?OhO$ARC^YJss}Xvno2Hy6Uz)t;P+W2tN2PPw_fx-cA~^P`46M{lC9a; z2f_9+G0JqK{ojvpu>Trg>o|SUkyrpIul#Puv0!%GL|X72Hg)t zDGP^UYn7&zyq1?u#?Wj#Fx&2rU=j$<&E`(uH-k9&Kx;FeW**1PXM^=3AG4WYRteuY z=Ph{5Y{t{fJ2LaPVExI@j9ftGg2u>~e0b zWLIYY4$Qx5_RYkM);{hElyJY&lcZBrD0Ts}juRfk=mJifD0eKN9M$zTrE_!{Zo5^| z6{Hy6)Ujh|b9ZBfjt1R{4yCF^+|`ZuwBpt)P|CY_+)V?YvQHgi6)V1oYUiFz`3C4d zCQ8{bkml4`M>4v34-Zn6&qg+ho$RS(^2v{*4+y7n8AFmSM`PdqML}E@m2GY5-dvv= z0owT-XQD$uaX4mm60<@!4FBv-vbPfp_0hvv=V)A-1Xem9&e=ajjRuLg4Q$n^B{iP(KAYYAC>KT z4^+t_K1R=7mT1Sd69v|vvp*i5p^_xCZnHXMjf0aatMW-n*OkuFwyG2rQmcfIQ}(0+ z?ZfxRL|Y$&{$6jAmtuKmLe`~@yy%!LMu8~2sEBGR)GFb9P@e3Jjn^@B2F_#3&p`Jz zQObrN8Hl}Pz1X-_Q*D(z=}!=BkW|6(CM+}|7PMQ)R1=>JS$o2%3_SHqCymvmxJJji zP|e5{YhgxF+AaK+QiUCjIdSRd0SAXh89a050!RGQmxbvv$t z$IB}v4+f||J}7E@5x1fJ%s%SrkhLS6QB&piI&SVAe$9iY+~Xw4V@CyEZ48QSKT$#@ z%UIrtkaZ5p;~bYy7Vd7QGMTOvcK?<96u>TzuaGg2K673|8F~#Y?>bDX1*pOO*QwTQ zM;b%C_2esBt_+P)r&UJ+*Y!DW1Xi$iW1k6G6>zFNA`MWrjr_MtCQm962Jv*mLN4W}DumGE1~p4MfGFP>dO3QCTa0zLrwXN~|$3iLSo zyV_OlkW~mpyr%1W|ka-}~g(AmU&WBinYrgc<41 zjwPt4mj}qGhNiAF}YQ@c-O_PU47{tMq^OTAG=#(R@I0X@wB@I-PBpNUv7b8F2aXyQC^EFu&T=HS@y(~^IINKkJ%{4ry*Y16mL$o@GMx9 zg|5dPB0Ta~YV|Q?Q#(76Xs&LG#~VOZA8(jlRoTRIS+0OoG6``2dl8tLPR(wnAfCT8 z$*M3*9&eJfn5KVqWn-!?*&t^zbpXxjZH7Eq2)$@BRz1K3zBlJubN%SVU_FTvq#MtF zOPqmtcZwK}wcKw;=z`7BT428drtHAN$km8s=aQwZ=LG zW;mYBN%H!L62tMUNv5oiT|P9Q-%?ZAJj43L<&XKXrj!i$mn#4i()&}F_w43)ll1@0 z<)3P*Y-q;Je;l*JpSwbuQ+17vP@bk`Tat~Hd(Dnp|IQo3`cF`h^`*M_N9ijhq1<#2$rRu7k zz%{PG+PWqb;2>QSl}^AKys3@17y422=+%VsO+J#jU)Mv=RGz#=U?RO3Gup^&d~f0w zlgc!H;F-Z?6<@0|xm>0jGsC&OILAEz@a*D+;9X| z(a5~ED}zsC@^lVfAjxDC>7EWeC(2_1lr&#p#=-;dAS8~)^Fhc4>(mkxHNOgu;Vu4!jCLCcMjm>m%)`(8P8xo1gysgGH39v zSEjwcEoYVQ62Qts6(^2lq+}8=fbE?i#_DXQug~G!HGq>!u^G!?-S$mfeF$f!KrO#F zT)qdG+@+3R9H!<<`~{FS!DItcD|W;s4&{|TguNHxeX7i-0dSE6igIe#3cCNGyvm2P z-#TaHGeEuJL(;3;<<&l<{q8m+hrJcDO5s#FRx;^`sPY;=G9gojk(EHz2P5M_$aafi z%K-dq;i-ge%dkhD>m=&%R6HBR z|!*03qTWosO!b-5h#Y6!F<0trP-6h2PRHz$4=dM6goA6FC&BlTbi9vL( zO$av=lWe`kyI?{;ld4-$_(=p5)7MD&75$Y`zevUS54;04ED8?;l?rl6D`KwU2SV49 z@}olwzr?N#?mc`IB4*l`!QF?C)z2_~4)B<&{1fF>2(Kk_L|6VVqyXYuONTE{k4EB(e|DC76v9kwL^Rm+tqsNIn zoGe2lc5V{)2YR`~fcLu*hew9xr}+rz(LrIr^7#3B;BNI`xd&K&wwyONr4yI}0j87@ zp9A;}f%`a-c^i}jpW_#d2Qy>wEZ*XMOaj8GR3R?BElzKAQ4FdN=lbxm@j4u%Ww99e ztcOEQ?DbmZ=lPYVRXlWIZQZW~_Ikn_x>FCg{CuBid9`#eNqrlLPd!ql=D?ET7x>WA zNN)}$f>HkrS=+;zrk9FKHbQP+=+~JJn~{BOTkU;-Z6~}`dyHtLhMHH2XbYHAP^cfI zkdS&B5Epr*O0{Ji()?nd<~XSps@pM0`?tXHFAqDKE-~0C|KVtWuk?$id-`lFR%KkhXG?7QA+|oi87+dV>~kqv z>1Umwi$9{^DeNU40O=7P;!-hcLnwI_(eV~Rr+o0lG->G+FE;XC5IjsIwvpA8LRSZ< zql{E=zdA!d3R&yJ1>0#CDyy8=5M4z#h6A=_OJK(eur>|q{XO)hq6LTIm0ufREj62} zG&mW072r1#g>7qg3!ty_+e)jB4%lz$*Wmcoql&A5a+Y52=bdPj(cnCt^)Z(I;mkxV z6=SiiS$;!+l{Cy_MctWtDj3ct7TeH$sKVYDppb27-duefG@p7DGHkUo%qqXh&pyR5 z*NzsDue*H`vg+VWi{!aWOsu495|Rw3r`EGKN)ti%&CZ zD;3_KyU&8=O^-s-VhN%4XO)jqHl22i06Vw-7sh{ZK0DFLK zWGa>T)jqmd%!nXUN38RC$QlW!?BkT7HFvyU*Ne#9)>JyF z7K<>=EI#eynZ`7IbW#iD6>bmZlXI>C3FndgIGDQIV` zJMyriVU<5iWbRTJo9d4!Q)+XJ8pPCp#CXAH-lW+*(AAYZtAX_=594248{QH>09o)KcV>@~l3&V?^!8$Q14Er=;j*`x zkm<(STIDYXBu31LVI=ACU^B)md@l`-fOD`d3Us3?3KLW{x|P@GHg0sp3S2US;uts>tK?&k@M`gBh} zz2a~u{BrA|w-lOF`r@}(*_SWG$UC;IIUCSREj8UOi}}_;YtHnS5Fe8lIEsltb2bu1 zU*Z01xH3YED1~Fuf&(`d+aq9-4&)8mDiC*=A)rYI@?9`0aI|PcKt>1hMK3CFb8#pF zPSAlz+zut>H0hiz)w~c*|41WH_;S|kq}&~VJgi}?s)B7D1miY`k>3FIn?}A1HZ`L* zXDl~@7y@aDD6=uz{pF}oCzp)H)UVP0!Igc+X?6N#toLxVe{;t9X;6NHX$}F!@je== zL35m?8!g;bgYE|GF%4CQum;W&jfT2v(071|ZyeFy=TlbmyJjWpS#Xy=@uI)G+7i;5$!q^4bi)z%++e0;mzz%=A_P zg`r@Gr-6B$a7OuMvn1G=@;A`vj5AtEUKg1;0#4?tTrok8&)L(jFD(|-(KbrxIE!&UI^VY$(P`c~3hwqgr!l65g8~*iURnfEwJ< zd{V1`=H+o@P?7rl2qDGff%9MNul~2V%-1^k)zowToButoT@W@Mu8blL>OC&hBvXR> zO|Ij>aw^eXM0E5f7rko=eb+!=ye>P{buszYw{;WEy9}M%5KBQ zV3Wd>;7*D&z;Zs(-9vPg6eOJYQ5afLoBs#Q$Nf(TEvB#73NnB5lJ9t%L|uL5^mt36 z4lC-$%U6c4e(}om#14?S#Y}sTJzX-@)?lMTyg}|GscC7hoP!uxW+#6vvCM6%!vP#P zEU>;&z4unrQd>t`9A-myd>%BAyF+T=lfd?eMBExJ;ng!L8=z!OU6Xogtp+^tId$>5 z)}j28US&@wuE@nhkKQoN^x>R~@PcVWzGnj~8|vy?W@DR$eGnn-17XjaWJ5C56t9PN zCl;0~{4EPLaUm>}D8^4F`@uZZpCQW*)4CRnkV6mel-4z4gRq zH-e_u)jITsH>7c*kyMxWe5=4ZWCKi$Znt3G3wU|C^jExIKwt1|7IEx4HG z!SNy-W)Z z%tslU=#CoSj}Bp#D3zu|SjL&)U+Kt*QvOEEVUW_CGoA-Kr>D-bG%E<9~ zg{aK~T=ivaNTFs4*-^++{s9Tk1WQTdWDsk?$@$1>#=nN*fKt646k*D;pRtJ#P8B^$ zeY?o$&GBmM{er*eqvuWj#?EI6fGnz&P=6hkbtvSV;E~0B7N;u8d_E(e7=CZj6&Zz} zSIgc4?FSAuI=Q!FxA1~9^Ahp;jnjN6b(cwXxBUf+U~sC?%g!P-Kh@Z2l(QC`m6w$^ zYH%^(n9z-qQw)IXV9j?A?XY#4j0^LTVQ=l)8;wv&lu|V$UIX`s4!>+Y)Nu};Ce9L^ z4`K+K8QFx{hdQ9M(2N^~=->(TdRzRhV*owzrqE_W9o3>hyy@ba0-8=_PpS5EPpH<@fm&Hm%l!Y2)hX4UpPX1jvv3km3@T> zB1**kp<@*NhD{)FG6o6^of^Mz1m#8rI^9kotC0@}GJh(z1n?U`n2TLzJqvo#1cv#< z@*T+m%ZJ!S!YqGE(o4%7*2aLH1%eArRsJWEPHQNUybXd+9TK_yxmHZFjrvn{y1Q+4 zw)q|JKfyUE^FNU&b(Vr)z#*~Kp#j?<(^!t-u=|gn6?cQ`F{0yijz(dzb+O>RA%oL0l`rv&!MJ%g&#cLa%aMqFK2gLH373 z2<84STuQkb6p%(Zm4Vazg`0Wb{tnqMC{r@vt zr`1ht17JGBVH9E{4ZckKINhbX7n}zY zFK0?Li^LdYsrC`O%k`rkQY&{6p1Ua5-wX>X*UKR|SLkp}JoV4@*le5#hk~j|r-*FD-psPVXWw|o2vaf;kU59uu zm0>NMO4+d}NK@ccMi075 zox9dIp&74eVt;+<%_j;lPZAr(bf?JJHHRo3-)q{z^o%X=Z|AFO#M&m+nSdt&L+M#leu6^*A2jvmAmbr3@0K z6MIY7PSUv?3_PLr4A5`*7;-%Rfg1Tb{FCvbEN=aYHwI0CN!_+xr@)#3r}CSmLANn~ zHnN#aJ0r5WwJ{$C))W8l%=@iH>9;}qg{J1B_CIT0fvLOTv0npb2-hNg?>|-GEZcVS*uqnTrslXdNeK#%%SXQLD&hp#+Fzx}3<;k_$*bKI-Q|xkdn^Ch z6PN}=k#|*4&v|MTjEgWa0R>-!JZ&x+*mhhd8J_JXmp&Kp%i;>Wr24_kacr|9DdNN* zPDSbc4gL_+uLarEa%nOtqyDJ%uZ1q3&Jme1CQ0YSfnJqxJN&NdYp#LVIo^!8aUje@!;5*~s z@{L42sP%!{tAMk|+qE|K9g>?q#U)49$r3B>jtb;ziv3~`l3WexVd;*V2zk+wz%=tC z)lQnpV%B}g1`3(* z(|3S!e+(%o-|H-03_zw}^p^<#AOL=QPusWcw|8G@9X<8g{T($Kvgtxek4g8c-V-;< zn-N_p;#N5w7Bfw>jgq!siywU%Wb4}zzAvsn0MM)cID!mk^p{Bg5Hz9MbO5(u!bDEP zkpwUXOc>y(10XK}igZl8R|9-`qrL^%)go_GpibwQX|QdmXXMu^yI^{0>-FQHy%@77 z&6K_*DSbsc&u?UUx6MEkH_EK;H_%aopjhtQTiwJI9KC`W!?5aa3XVoa(H zu6I2j;Y))6XKruCcG8j-mhh56>BL%hBKw-in~I1;h{^XFi5P&qv^pchQ9DAhRCs#3 zwV`}=_4QXEI$y+n{W0-g^-uKVjr#MEy)>@APAB`#UvCve_W0)Z*1D)oh4Se=H{kl; zV1663%hpeIx|T1)+w6uM(A?DQL9?fL{2&H_kQcc+{jK?8v5nGufU(U)uvgPg0r3wp zRNu6JZLi}#SxobiPH#m1xfljb&#ip!+Gfo5t7>hnU)soV649=6hO#VEw%PAAm{~8# zMmJ5WFk5Z^2KXa;jrQ+BJ|~VIZ#Ihb@BLv(q zj_Z^8azCyAxB7aI-25T*dLb|AjIwwP>;Bg<>?ODMCAXeM-Md{>#`SO`biL; zjiFHXAKqTaXg}`FsITr8)pFLJPmq5sVHxJANl+lZX)^I(%=2yhKhUG}v7n#bgNFZO z`#Jv%*iU+Zwsz;~mfGcP+uBa`V3~S-rSueL0j5D-3YiX{K${8qZDa56aY^Q#1jHFJ z82{FRE}yB*w`Oey9Qw8xv;V1@wZXlQ(Pf?3DfApu&H9zFd!KPjg^=q07pRCh!|u)e zM&u{#%Gc9WcPA>lusZ^GqzGrKE3Z=6h@`p`#oKx;z&j#8VOPFYrMk&{bO^h9^PB2Q zmnqdr=jJ#2JE zb6d+eIp(*m&a4q^Rm*69<(mbsIqPDV)(WUPV&2jFinl9oKWAyT8fF``KysY*qErK- z^oWuAbM$-%Wt<9@Ru`B%ZUQQf*jbBRde|sO?Fv~wFRJ#0{{a3TlD4c3iUVZJdG#?4 zW}eup9($B^Zy^J}3h1>l_%w+DwSvu*IQtQ@lc5X#q%KU|RCEISW*2BvpaQtD4G2NQ zDO52Q3b@#$vqn2=Hz?z5lU&D0eGp?(N5u9N1aX2a-a8x8^?)=7fqZT1h&)ro6H-yu zCK@&D?~>yML;5es-W`*-p9=q`bx})gZSj(swY75G*Aa3iOqb-E5ahrbM}G;*4}xS% zK#46G3mqM%EDnWiK-$H`SpCJ`o^-^*Zpi+}sH6yMtyes@i5G4D?waDST(8@UCs)AjG`- zrRw`cuL_RzYEVBI&zbEF4f#ASmX`g$manSD-oI1U)}nqiUUIul4cnyEPv+5VuWYPp zu&ML2@v|0^fGeu%8_WUSzj(aW9P5hBoY^fYn%i7o(Og?ykI`03apRIEwdM{STdI(4## zVKUVVRHqnEmVLtJ9}AT0r;jyHof_cr4kGHxa$0~T2GeLQD{X98?IE2WVDph0ZLH!< zXBej9#&|MXY2V~CO*o|_&t$n|+F5rTFK>j+5;H5W7G5du1)iRm=lg*8CY4o52CIda z=vK~~;W1bw)xz5~>v~nc*O(y5S@@`aYd1%YXl-lZw6xjwkW#hq?^=LpEJif4Ojj*@ zT+6OJT8R;LHqX_o4 zb0+yhVf9norP z6K?>p@JIb8FZEj6mvV$T^+POfsUaKvbU(3sc)=E?hvZX2Xv|PGKnz+qAoMZ$nChO3 zRgu?dw^Q9q#d+n;TnxtWu4QTLbiWokfR+tf?gM)A?vBd5hY3peSwF`I>%TJX>prUW z8_0hJWeAtumy|YrH6J@4rfhJMz*2VoyPNCWZUMd#adx$I4yhS4_eFgze1`}h39Sd~gUJ>5Wvx%e`%h4Ygm*JEuB@2g zt{SG)G__Ne{Z6Hj98>p*Cx^T`sEH@XCrCRmWC)i|lki`k95sG&h?t%X!XW}RL!cx% z#G8b;2_0Odh;KxkU5&NL5x4k7eXk%1YhsFAg7>XZhJ<&sHLh~68S=pqhl%-(aa-p$?!)JvjCn0}Sn?7iutB+>RvfW|->*@7sx%Z-*;y#_Vh z|A}uzoDb>MylXl+Z28eJwGeP_&fE=SGQx0Y4KZ?>#a zqYsl_x-;fS6X^Hr?aQu4mrFm?8M7uyB>h0!VHjOu!`3EUri~3+Z^5XoV)Gj5y{4Ta z{py2E*r+W12?5{71J_BQ3;^WtcC$xAjhd^cz@Ufh&GJXc!g#&^hviUpbAi3b9Hmou zKukD$LNJtVT@;Jpb@xvCNg)9b>2{D$h@q#gl~koKTiR&bM|N>?5QZ9cSt9(z#BdZa zw1^L@Li!mXUx~xcWf-H3&4sHtz?beAd z6ZYQ*vsq?9PlBU|s+N~k$?hFCZ?wu}Z%C(5V243o^e&=kq{)wJ(0pWRZ=hi}BB)f- zUIemzVj=_MF+Q_PzT~&HG}MD#18#d9`zpaUjmINew{rVaZdtMEa*p~}B5-yfkYzRB?^0P7b*Zd5AZG8Iz?!{pX=8wgERmKk@g`a){lt)Yg>}|= zN9_e=JbJFYPYv;Gr^l2~T(jo)pldSu--WSjxuuMq>?u1NMVG{t#S3t`biop}9o~aB zE;Z=J*@L=MJas>!DkVD>x462>E+(t21wCjZZe1CBP~gf~xm=QfDs3$ZfBwZ;HPHDobjzF6Cqb)mcx>DD9z|IK$IhHo;K~Ag{c{ zs|iLhT$j{MvDtZ>SU@LGKzrowS@W5m=8b55sf%X1fTfM4%erZ;>K@%}61W>@&l=D2 zG*0G~3&vADrca_F)Y2%Ou8n)g(R!h9nYk46(%TuJbj4eb6>2~nQ*v!33(5c6R}Bo_KKe$I^x%U(rKz(!N+>tdn)yd!BL zm7Er1?95zQ3*!QFsdB$v(J2*MbF-O|i-pL6L80^mC2IO7eo_(6C|V}bF?I3{TJ}cA z*j2yX0GjS21%v#NK`8xq25qV!ogqllN0L~D-NW&Vh|_G1l+o-cCZ47-1hJH^ABO0|`K*p+oIVfQiN5of9^pUA>)r+A1n z)s+=(VdI_Z%Ero6_cK2*>|TDFxfs`96egd_{!3`seBZJk%u?MIogw;oefgyNp3cmY z(lOv5N{9SN>5vaG<~mUCKDNvgPdr$9Y_B-7A)jmN&x~dTcM2g$mq1|_*L=C%qLLDXA~4uiGgnVW0EBPq}=Ty zqF+b)!!8I18T8CC`Ue8^{yw}n5!@Y7Wge)rgmn*i_mA;=WspKnkua@itrtaeR7ua) zWy_h50cJ{&qz5hFi6Nuo9Ps}rI_?#3uTTdBRHhsb-Wxb?dVrUH2&I+?**?B>cSO@k zR?~NAaVPt+PZKlj%6EIgkDrz1knLXdnd9OE8Bc5^<8=kF^99;Q!c09|Je$>(@tA&zL@^*Ec#oY#A*$fvnDYhf9myX=8c(zP{-?i}E#9U!lqiAG8J z{HoUKDkf_)m-Y(I5e|k2J54Xfz?B0vF9s(_mGzupmRZ*;%tT=*ltU&;TV&eQPN#x+ ziZ$>W&~A$%rkx`LZD4a-*p0umR&La3Rhjj@!e>tia#~wYwa7n%nslHabpz^k1ZT>} z*32Wf1sdSApr={T3ION#2+Ea$E9#rtv36PS!26jpEd6bd+k&5N!EXcj-Z=Q3W=KYd zo-4XcQB;{t(mn5`crhHY>tQW3$U}Z--oG;}dS9wETMX!6GpM&OP5u-nXSAMCF~=Nd zAY*|UwXLmkZ_hCKF2Fe2aAqIS0}CaNOYLV=Otmeqll~7a6{lX!&&i^0^je4Dpw7s>~9%L22!)Vx_jTpBT{aqKaXsbk1VM-ezb-^Lh zhw*w45~y`EfX51`sVdS&ko?kvuz_b2a6v3ESlKhu6+;8HO|Z5fE19S_A^CDl+Cp>N zT`YN?noA#CY8&C;fRXg+l+1IF>?QY{p@S=}>u#Sb8v7SwYGHaX-{@Cid`py= z60Xjn7)W1igewUkw+eJIj@vTpWwJA*cL2td0{?GdgxxXtMZ~_av6A&KcvxElEwIv& z68w2{VmGNOy#gP~PAk(s71VQl(~i!uCCzj_^6J}`SJ8PII=mZ(?=e?edGjl<&Z{9PBOqbIgToUykvNZ z#E@jrl*i$NdjihhkFS=pV|$xvoNU1V1n^(t;C>n#@Lhg2c!Kd5-p62n4{j3HdWQRH zZLr7wO6=a!JjG}@9Q37e4JOT>^pa-JU#}s-d@t=&je`5);3nO9Tg5-seU5;LIK$?M z&42Jx#V?TbZiSp8TR|F2S8`vs?H>J3EzlWPO3i)$RBCSd@q70yL}Bp8cAktMp26E0 z^Gt{{wVL>7lX0ES%X3sQl(Ea9ya=+VXiOFX_n7yJ?Iqnb7fZdGsJoCpO3$}sZzU@F zTHrT`iamW5a!Yo`1HVbduem?lXd9T%r+Fx@?WQqkd*ruin;49cEX3*IW^JSHL{yy~ zS0^328b0ZB%QZC?IC=s_Pto&(=rVbgCO1h+r?1?s2K974 z^ILRgX9r|^(pzHmE-7$S3)Dp~U2}VXc*e`WMXB64;e8m9iYU*hcpCUEF%@=&onb0o z+StUjz)}-E28$}=_1~gw!bD$NS0EZoeMlkezaX#hvx3JIV=q?k{1!#o*#X(Y#mu^Z zdwmSsUcAh7cxhw&jgrlH86W;8Et9&)7nVz3IRVlx(+cU#B1esdyj(jY_L;(q-lxAs z@&2Xuu6U-@5jbk7G>sBjJ!g&n3!9X;$VLKene*q z^_dsHa?x92tF@mTJ)DqTLW}2t ze{ruiC0kzu`|U9iuLF}c$JEo(2}`U?l|Ftg^;LStn(z!q$%}r7I6`BTK1fSQ##;Ri zXg>+!ku#;v&SO=VT*=y{Xs{4UB5KyMn(L1_z0WoT6S8|0=(Q~ArPCbM3R#6|rYOa= zdgV#Z+UrT1oaS%M^RqLt1nDP0elCt~3Q!!~R)httP-to5bveBkE%7)d2z0O1_AMq! zAuleb5;X_j%Ao;XRL<^2iJ6Ox@fDVlwpN?cX8?I&9QH9rPLxKIVe1@y10i?CLz~Gr zzcjAvMVCJpb_~3Z{t)4>y8ze|;UrhN+d7uH93)K9(0T|($Hp-}M3DlS>ShxX@tlv! zbfb8LUGXt}jf8%MX@N@gpyATB_)ke-P?$KGmspB}!#feu`bKozBPM+!w}W3&UiWO?#(^n=!~Q0H#fkfnMaz{p8jh*)Dn6Vh1;N+{xq& z+fdb@Tojr4tL_$0*nb<6h2?T}DbvqR`mK5ziuLc&e7=Wj7xX(q>P zIqsev%0^tj9pm>)a@ds&V97GSVONv<5$hpN#;;x93EPd5+Oo)YZ|y9veBtl%_6TRs zz1d|zw?G-!tR}YsGM!j+1EOql>j`MPeb$G;doqZ5lT`4friAu}x!s;=2GQIR@(ev! z>sie;GQ(D7n{bsOQ@Fj^oINp-Tgu>KLEGnOi8hHY)l1l2fnUTr<(ILGcw|$bkp6tO zAw61~8ftCI|5RqC;AGvS_faWgR^&30NMvQhdyK~~sG;=1XMwFh=I2W&BT_Gx@P4uS zVFfc1B~4s4Cl{TlNE0!W@ktj{zFH-6(kx6`xhm#otqi3PGm2)FI;sk?iqdjX*Hs&f zE>vV?&&uec#eijXMlZT{G`b!|&$B)0D&l)!L*c6G+GevlHzFtH_8hZBPRXxO`UnF) zl4Rcn@>1-^;Fb0)Qf`s6K`r{lW?HFR!c8!%;h9;gH+S~N1UNat%&f$dc?G8&oQtZo z^Q0SAEuU35JjUMD8@aM)baNuV&xPFue`RK34pU84&CN2MRn({=f71fUZR#<9AM_Dz z_?fv3yZ68oc2f_d1d8+cHGF|e55F)7_xuW)EOAH=iVs>Wj-;#9qQc)zT`!(y+W|{S z*EMGHy-%XH8cQ%DpM#Av8FM6 zL`2>+I_TcnBvM*UqBpfvH`uO8;fglfJ!&auR?ie1$-q!QaP^z~d=^(A*lA~>MW&jW z`>krLu48DV!ZIW>ZCZCd*)mD&F;X$h$A6>5GsDgrGlOOHm6ki|a42J$iS1DmTl+j+FqDf8NAy_f+ES07-9M5@Yq7np9(X*j++MM3_>IGwiMu4=Grw z?up|3da5|(H|)NIZ71uF(VU8&a%$*_N@hTzL*z$_;b^nbg+iEeVd%v<;4zydF86Ij*llb(`p;In%BA~85R;=R_BsF z&iO!v^zNu04_Qz(#A>@F@ai>y@MD&?rIvZhWqwQRD;I{IYf&Wg)QO;ukb z%OAYhq^t^^?NV4BO`#ahX$U)~Tpf}aO5VpeN(GZDwpEIv(q{5lrMw-Icu`}8@La~n zca`!^ND77=sE=1Qea8w`9?E8ply^ga))Ep9HE4;fZ;HJ`lS&|{Eqi>Ng;a6$oY{_A z2xa_aQgX1dI^}_oZpQQ2Sb!~-cIrM*5LL>GjL(z62Zu%X>KaD~7E59v|AE|%zgyVk>vP?`-`f8?=bRPI2M6V38@ift~8u;+! zy=vwLtgbe8eaTF2>a#(*Acm&)kw(xr(cEKYYTsa7Wly1J-wI_)?Xx29%$4%XDtaEm zu9Os(lWYEO2Y13@epUKyNR(MUwDjr;VI(N?gxh5&#o2^x$+^^JxjdB8FnvIPnlFGN zFM!YK{n-@}YSN(${P%<`qPP+f7r?h!i*(LSIjRD>2E;>ou7okyK^ENClmVfRE%rQ4+czJi-Tt|Rav$XX;WFVEa_%yn9k zec05oSzkmz4d%QLWlUG}WA!B;MaWlB1Fy%~9upyO`&8s6&gm zF=DcDh!GzmnE6JI+7)^V=5q=8Gh~ermo;Jz&@bv6`E7nYN1Y9+5~Vg0zEM}TELCp0 zmIq_-&u~4bKRiY&_ZuC08}IKRYqPi#Gjq3N#pn+F7l7IXO$K?$0!NL4I<&|O>?XYu z&d_)~s~`&}F8z`uK~YGySG}Z{EN4f})Q}{|%etAbG(1pTNS#eak$`UaYYrEY=BQ>A zt>O7vs6z{~Nu7g?gSV&1ICy(1j3Z2}t^mZ%4~6c zFQ5)Bq7tDOb=nv)OexOEQ6IxI>DqzpKzmh(_9QqDvO2|;n3B&@ zUN>t2kw1jg&NGy%;&~a=p+(**Zub-~gJ%IeR}(^IC5qEbI)>J{8+A4Bj7kcJB$P^o z;UWrN)ce!^KeaJOy$-EMxX(fn=7tcJG%9pEi0Ig8DzVrzVD)SS<)RXmG&=MLV8F9} zB~*4XKS|*WFC@12>(DS@$3r%@;!2F|Q6{#cT>eHuuV73m&-0)TE%FlEP5RPZv8j&7 zHYfl`VhO7+o<38lb9lZO>d?Y(#qSjH>v?v zp1*)Pv>*W3q34P+hVYabLqen~gC9<7p6lcY#vr2xpEoana((21~5g*vnd z8_=+E2&mp2(AhjTL5ZL%0UeWMDy+>~0OYS8dK}QxdA=O#&?0O=tBgaci3blbymV+d z(z;ZsdkA?Eveksi93)<`DROcj38DzuMCsI14NRq`x9~;G(Y5lp%@rhCUK& zk=~-eT*cdVdT3{O4}xrLOzoTavI*D+)VYNla@1NB%;Gr;b!ZXAGNSOsETz_N%2C%r zPrybrI4hLa%8~3R|v{O3WF%p>++f+1JH?t`mEQ9;H+!%%XNODtAZS*KzvlVLv)dR@24Dj?P8+kT2s$hrpJaDm*U?{;{;2ZYU#O)7EG3RSnV zn?rZtX{QBrG9cFqR;Bpt34;60w8~^K2fg#`WVk|Kpk38 zOn$C24tfxm8%GLiq8JqVgvak8t43Uydi?+~RS@J)Oii!g|5_G5LLFL!#MD%GEYFdn zIwf~c;8*^#plPsAC1^Heb5~q8cLidTE@De+=t!KWK~}lA=sZ?8RJFFwl%?5e`KGmr zybkYXeK^7L7lGD*e?8BCg*vprm`Ju8hqMmWh{)d<=suWVAml5^8e=pevDJIU)22z7 zdBfjDuv5$Yp!_jTP@f?_a0$n~zWd<-J6M&IL_%Z**ubKbq)G|XTe`(NI4vadPpbMZxjhH4=ee%~2S_I=QF#WAN z;}$J5bm135n+f|TWYbt&iJcai!`rL{LH_oIE(X-759|IhpC3v0hR?>uN4Q zyCz3n3grN@4zb%}AYYixa6V%}S2%~om^!54KCdqo?i!y*zCkd`@e`CA0dMfx6sQ~0W4O*Z))PoyIvQ%PB* zJ+vbt!b*?VHZM|UrLwDP@TSdGI2w^y+g3)*Wg3FdM4kF-emC5iqn?D$L+#$+Oo^!# zmwn6Sds3=9m0#v0VxMm8H=#}~pPaUW@HX@mD6R0F30dQPlsB||CXzUJYWa5b0^F}c zYK~IZ67sigO2`+W_u&2znvBHDaKGP8g3*I~&G{In@1bTG!$->KVF@2fE;b)Zr1ZaO zKEyl)$Met)aHYCC!xuS}?<<{pKEE|@<)~%QTLk74R1Vqrh|8wRNL-!zMz|Z`JQ^B0 zSE-}$UDKUA)wSRs!G7CwIqFfU4EFV~J{)5gmwl&J(r<_y9C|F*V^d%<+4p8P;aJr3k1=rmxzg=dFlzRf=D8(REKxqBRjPJKCI&mhtXJ%ZRc zf@QCmjcQ!X>%WjrvJ>MkLRc>q3@7eg3QS=IdMrWw(8|gY%uA!t2A;(t6-

??dL( zT4ccAq=orA0Y8$xJKU9{>Of9yV89G@XaT^w4*j}uxb}N^LsNT;Ez$GgddsX>vPaw* z-yP;?k=7;rWC(}9(3<#2z$U#9zo906PJyg(;_6aCW;#dCmh1O%+wLISnb!d^7 zBHF17_)si=SvZZ1JZZ+#Dt$h+*>Vm)gRHc; zkY)gNf~f~y^SGrMng;hI!V9``i&1+#W{oO_aSeAr~je2=~;~bTKrw7DvACGBq561eOVgtur9!J=C zz~OtOGaJxY4aeOcM~3l$!}l19Isf#`5z{}zoP#lCK{s<=F{f^)Z`KlIQt?W_K%c+z_#y$I?134SUj?d6!H*|!14i(z~Rrq6p~EPUMB zlLz7!7}J`V34jvHfFNEGU`$!S_#dYHo*2LP7#l&%f$<2KF6+i<``mP;$^L4)X(>H9 zWG*&dx9By!n1yO8y^rTX<MTPJPIe)|MTS%sPVhAE~l#lGt*#$2c6uxiB6H z)4CXAyzJIC%Gq3%b+vSY(@zNbog_+|2OyC-4}hBx{%Z^%kmCpc!0j76* zVm!rT%!EDmV%+B`t6FSqhOrc;r7=c7l5gmEtd932 z#Mm2J=ScBSb!AIUr{3zxNuT*GSZBchV0q+-U3-!KTgbW zi?u4f-H|{8V&$*$SdKkCB^k$6FPeK?Q0*tS~_&rQ1ZCz9g z;*%a@2CCDmlo}0FevHvaj1_6$(TwQ0XX3_8L-Yv5+GC=Ae!Sr~Q%GFmNyz)N28naw z{&P18>CP3jG&NW9se=={*rYBzo%#(=THcBKkbV~5t=*+N^@L}wVd*e_2IDs{^=tPd zACJ=>7uyjUwU;L$uj$yu5ZwEBlfZ@#1B{DcJPf8qF-AYmFqUkNC(gw%9u3p!Ju%)H zVB7-Z)iB-B6QkQ<5mT@+rCOL$4V zzDQ(tF2qa?_8Fjm8KOpMXDI%W*e&v+6CATfR^ z_j184i-fz_km}xuXGC^$bn4ytl|QNb>X3PwpjTot;xtn@l8J3 z*AU~T_75<=0%I0TvT)a$5@SqttrfCNSpLRB>2zsJVOdvIfYe z0a+f_)Lc@#qPD?qy0GKf=y4pnp>;O3#^8VY%i_B6O)hS=hikCDNqTdvtA9toC;0it zIOXE+S236U15EXB zgfEBg4&FzA&8ow0xtKjMk-2cOWaRr6IsALhe}-&E+6{M@j07uSW9Z|zVK!&01)1Zv z|I2D<1if7}*bKyWv+<#sPcM{|+L|KSATM7722bcc4rWbtd2Kf#yK90aQL>_!Sbbo1 zzJtC`!Q@XWu+I>@ zkDz}*R#044P!hIN%S+;OkF8hgeSE*}&fTe{-204+VBFz&M~#HKz;tTuB9c}*>xvmJ*$*TTLRhooSA!Ba%kF`vP&{7H)6MzZujf%YG= zB|u!ZV2L?=P4~E^7=8fvA%xHD&P_{WPVcY{%r_^R1mb;@6J7GETGY@A6CJkp$JnyR z$HTEcbP|f4^nYtX*VplLGnA1c-rlnJ_!%ri+q=WbYWElifVmd%KNj#?z<%xu$l2@- z#=7&n!-;iXIAF(Gu&*sx0r8s-dDhXEzB`5v+g;sJ_AhR=d+Bod{$e)dawsQ*J8uu9 zX;(w(GfbQ>1@XEVYT8YxRGjDMS5~p4d=fQs5^6jpCw1Y$V3P8CV{Ax&Dwv;|bTlWJ zcv-@rQu2w_`UFM9f=<1NU-^@=k+qEPKLkyIY}pW(EgO<6scv1T^qVD{e3`k(R1wzP zU50JDesZH(7&^6Nh%b5`qLN#bU3&Lijw$XXySYk?eQ)T!lM zBl(lp?*_OZ!uQ$k-0$g~Uka(((CY;K1lhryxcKD0N)4|)fN?&n3OtvJ$jKs`wII3I zu{IHU3-rvB8S+4U2_b~&bm$L^gOvqe7)J^x;uWE4S!f!3Q7A!GbDJ8zOng=3aic-d zKDahVIcONVocSM~*FhaxJe{-)JLJv_k^XN;u|b3J`=U5;U9$t;pRdsP$PBx zY}Nwv-IY0N&#U+f2J}Q2ra~QBK#bKck7CR}^~; zI_cFMwH}_Sgr5o7yb+fa+l`W-nT{kSiSw%d0f`fkcukWMBOE!QC$w%ZQ~vQMR%k`! zL?NceQ1KwlUqP>+;zmH<>#BklS_;`tj)a&`+>gQjiP1r)QVq{CTAXz%*-L4@M+3YT z4gpVZ;`{$;)M`+I?Equ@Xh#AJ?iq039mgHx$Wb&NuADy8spX`euU;lih~+eJ4@dNh zuDCW$b_t{j5+@*G(qz|!H1VHElTIyX^*jilr1~1r?+5wIuIOHJjCCZ$CI{@F7X>WG10$f)I@Mc{G)+6h4)L34X z&oKLGp1*}UwCFUi%8|ywtFp>CSV(=UaWr)33ydS3mq(7k3?-jIy$@M4#g+K-tUp*( z3Vg$ZIqCywY_n2R;46YUw8-0+M|esIKN4C5&muyOOyFTH2r4hwnxn3QE{10<;pam( z#l>aod^ta|Q_FdnV?jFr+5-0-gl~y)8(UFrTe!@kOOcU^s8h>|$c@tl^k=>+C|e13ugFo`P~MQ|=aXF^#m^taDq{Xf*9Mbu`k@QAY6&|G*9 zBD^qxXOn(PlD`tqMUd4dE{v9A=r(KNe})wYUqa`BaXinbLmgU#L{F!F3yd2G7rv+9 zxtfqWAX_{PoMn`AAU`H0cO(5(EA!tl{ZEY1&tXnwK6o(mHJ_g?r{jrp4s6&gWEhCbBr(ym*klV)G0U_z<`i05F6vmCZ&CAgEcv*7nKBQiT zrXaZoQqy~oWMjL{M9BvGnoHh{MeJ0l4bfV}+PaBS)bFP%Uvvo#AhZF-b6|Qf#waeS z3AgHcDV96kU#Cq)@~+lQL->zu43U$(85Qg!)#VfX%HNLAdqBPo$S;t6d&DJ>@0x{n zS##X;tj!TQ-ajIz`*&(N?%zkqY^VN%Cl~4wVZMY&tRr%KKE0NJa3#Wv2tKYi;lm{) zAS{RR`=Ra_ z(mhvB_I~DKq6-%CUO?9Xxz``~EULL%$~*aBRQgg`C?*A~P9~|l1u*meyx~aMsvGl{ z*DyJ~5*5;439SpO_q|O4OuFD}Hexb6z|#sf2UVi>X=p2|!{!|HEm{tZAALxuk>H~t z`$~(8dPa_K->i?|q2LKR4p7EQr7q$5YKV?LzZh%P+I_peW*PU#eC+uTqIqaEN0orl zspUL+dHN%C9zOp8>u1R3t1&>JH|ec=kqwdad2bnE^vM7b5wYhQkU|44b-7U zRIJ>rg(rpZU7-Sa9^(0_1fEX4^WL0WM)=SpbJVf$yhFIUz>l(t6kxUj7!{QeQ=sG$ z8dmorEP{QPG1NnNCxQ>|O}IruVx^Hq@d%6Hpa$yN)og7e_^RH7FOv|2|E1UWl?CMW za_QcvKS`tHth@MlJfzMW^=Dh>F&g(On2X1;QV#`FmNUvg$)mt5`D54UQDruA=N`v5 zF`F*8yl_*DT<3y9*1?WX&2Lk;%dsR5-qpGDQ;kua{L{$G^i zj?i1X#mCF8*CZs6;&Q9ZcL=`L#MlMl%>)m>uxn!cOW$Xbm<@(pEvQC)cpnjP)~zRz ztD5UQn?Lem@`2v43)4FP_M#v!k=%$h-*ePTXccgG@%#|fp+(--48kLS1EJgCd4=b95_mT0 zTW&$+=Xm}E1yrI!Sf>DA27Q3|kc;X6LmgW9Ma7HyNyMKbMu$F@qq2@+B{$)AOIPTy z7ctblTW0fo`R!O?hRGf99|HgDCSyW#R^KcoPbMQa>3`h-(;7g|f&vMOIRbqiqLPSn zQFR^9w?Q3R_-z6%mIQp5$Csf%Y}k?AQmsM_;;ka@n-mmT`5OV92i`Y4r(Pm>0svlz z-e??BT_3~F>CjL@n;;8pwoNl>Md5P)tHs~j>HMP(>~#Lgywv~JAM3XUv8e?vo#fOE z$yNW>pXe66Toyq8#~2dXn~lsZqqSwTwZSkIX+vhS7Rw$XBV(kDr6hl+u zS7Py-W%ksHYWX7qoH@t>H~0>QIOo6s3fzCwfh)mtE@acpz!4i^mA`(_WW-x|J{F?C z&#&ZVr~dpl!(vKrGdvd(axG+=X>r*ZfiK9-PJN5VEe72H_v3_jcIP&G7iUzhlDi`J z$}$&5j>(J8=h!Yhi|gwPXHMYckYYAQb6YJ{w>g%Y&!ClD#!_4vtsEaMoJ4)uUR%h9 zM!6*{hl_~iPxQWp-sP9^si-pB^tZAqCz$`YGPO4*&sKN59gAZR?q^!kLEcZht8zwt zW6-i0*0TD>|E*R;&}zu4F-?aQ{w?|zsRyqOok7@@kbQf^ zmH0C5Vwx5~7z*N^&=gP~;dvv}p+#N*ncTREkH>@$g4*Ee)Cb)m#g4NmpbhwZ18>rw zeOpYsV{K`_#n>{Ku)QH`i?|YPdEC^hf*^lVrr!g#gy-2%hZcDO+@$ZL!VlH*cp(&k z^Nw7({wcG+jxEv>d*p;jt<>y9Mpx!8V7aZ zX~q#IDIO9`{vuE%;><2#ko@(9&ca7u!Gr*0vqfCCs<~jS3}=7vz|4+y#kd2(UY~9B7t+3k&EG@UApQqJu7s@3;<7f&MYC+lqj64uGe?bqw!-}g;T!APW zJq(*|z5ho_+XHwExXO>0xZ?2&}!OO<$5&U*E@d|-sS_G;>xdD!8fz*KraB4d<`Qy$i8#pvTxBYhsrxAw>!w+P$+y7 z<3GalW8C7h+;Teu-(3RS1#mAW{HX5SoqBkF9QIfk&xGmHo*3nJ1o;zd?}G6zn4XU@ z`qtVnKp9WS20t2XEcwBc%R}yCB&9g%aKV_OG@){OURV=#nIxZH?tOH zW=%`VPk?q_tJIfrS4~}QZfk38i+=>C+H+nL#%lc)fkjmft+i^;O}dRvzt$}rjiwsW z-->9ozG<;qbZIq@mf1fctBg~CI3(*r6Tr!kbir9Gl3yX=%D{@NxT19OYnSt0RceM?Ymm&S zZPN8N8@U^(sc-(?sM6-U&-BwHw{f*3D>pl}*UAt{&A1`7`=Nel;q1!j z%vmKRDqJ5La9z-soSTt5vmly3tuTLjNpVGGUg_LuW$DzZC4~hle0k_v7IWMD5sb=d z<%PNU-yC{70i~p{s4`kmI%lQ|-xj*+`k;sjnpLL4w|5DcQCv_^Qs_zD(Q}~4-Pt9m zym;y~U)fzfhKbbOT|#D*Ru-3H-aTFXDYJ#;(K*F4v0jDm?Gjp2m^-^L7J6T|P>FSZ zNpb#k6~4bqpdURi_^(}py;yjm4|EBwD6EVYmFCZ?P~itdatnPt3-YHGmlXJc4~68K z_jq9Lthw1(gaD$Jla!$AB)Gj2Tt$+|K3xO06yMRfDiaYm%#jKx#iJmrKP0U z)1f{75-ce*b7vP%&6RA5PA{CN!W%>B%zDQoCyA0jtGuGLT!mi@HQkU9Ry@B@g?|iv zkbpR&bT+;}g|53X$eUkMS`p9GpF?YI35HfolY)@s{O;DEZ*hLFy{M@q2S>^mcEqCTrd8JaEhwe%MNMOUXN)=9Z*54iEDa$RUY7O^w zmfjTfE-cSQLtbwF^r_{gvt|OG=KNAW*2 zT~J(6R+2j}&M?3^_MRZaVY3R$=S620&Ou8_VPz$WJPGRV2)_FfpIv<>bd*yi()9zy2ZprKuYif1x~R8X8t+oi(8oriA@DlDd2 zQsEt)5eZe?tg?dK%EIWZVinGGKEER_>Xk_0=SfG_R9Do*k+FP zF7IN5h0*SDJ+jP3XSNsD1U?!t-tdwFb>v}|YfcYbUb-Av zZdvAf>5|vp*4D)RPPR9(Y*0K71!P*&Qa_|&cs#_riZrijReqH@>R&n{%Lm69Y&-9D zEmULl^IN4tkDNe)OLFJe)mAS<+Q)9%D=sSCu|QDssCZC*T~mE^EJ2SN5f5PtKoi}v zsumxyB@hqEi~4F=Bjbm*jtq#JP}`&P!`lXS3y&My9)K>d;!^v7wJUat3)--R)LprA zJXq|>=j)ZPbMF2&ObS71dt2#}a=B^Oa5b^NK+Qeqz}w|J-~#e3@;)6HQ(A22ExOx^MC-`Msga!nu{vS>+{a?r7)HujI9oyr|%PsEwuDstIumr&hHujivVL{_%i< z`j*79ade<;6Ij7Me>t|Rj3W=5*%8p9jt;yx`Ay3g2h;4BfUvCiCtc+3I_Y@E0gla# ztB0wKBg|HkDm^d;N`-7~N;GtSejG+ZyIVTHpl1%R`k2E7U)A!3mPpMnw5;sB$Zf2r z=PUb}^hb9%>KmQC6W^lumD|v{2Evqi>Bz>}en0#v_-qcue1pZ|_kl{tti}oymE!`b zIkTy)c5zeFGQSude}FaWTfM1M>eOARXTQ^9sb5()c0e?`w6Q%}y=v8jiP30d`*N=C zsg82&VSO9Nzq1=`X>Ch!ec_~Nl*3#K)f)a*eXl3|X5p$;h3c1IemVXJ{mtY0S1Vp# zI5`@v;liV~)B@cD8d_W1o5xkF`~w&8A!h2F1RMz4DuNewT?Hc^bmXjx^sa_dBEa+z%uD@*7F=9ZL5A5u9thp#}5>t4%m zAN>HoDG~WO0tw?%snNJxL2-Fuer0L-ynQFAq0TMg(?d+#(}1+JanmKsxm%@PUddp! zN;$WN1tT;@^(}0y=^lKW7wqQI+*+K(3>>XU;f%7%dDdwL-C8`0C#aD5f1+~a|MH2-HUCfM6lrOC z=BZ@)UwWCUkGS$G$gdRRM@KxjGJl#%m8Zh;a)v;C4U3fHG;v`@ajpy!P)gvb9^Oze{j~XXPg}F1-W8On)IlV>o z_drl#L9{gQP|C1+JjN6Ed&2k$p3n89@yRbz|FDjd3SQi&EE~$AM)xnq_}S*oP;0Wp zL>u`vo`Lhq3yWwjOqn3<3d?7c5Np=hvQbh}IF+pWldx2%1K&lD!DOkzvyD_K2u32+u)RbQFD4! z(kV7P%rmXLN;=g(rz6grBk)P5S+5Kr+LyG}a}4$A)@NwHXxsAUC5`lmRni$2I8!;2 zCo1Voc^#Z++3cd(N)P>>2iN_D-yL*1ZP)6?D7AdFMur#qEd57pmg@XwXaTdg70K-N z{Qa(sj?UVHcabUl{^y$~Q;)23`!6+5nIeoOGdMtv8wcJkxM@r9@qVt2n~|&1`RE>OKoJ1(vmo$ zL)xZs@Fn$O!@iY0N(AJC#1P4`bOMH|VP>{D=~7|SdU%V(Y&Vu(%EM{$u!po7JX|gh zd)kL#(dep%XqD1?GjB`ajy%`M^HkB0cb>+P*9BGdb2&=FvK zt3VjZoMCs{zzybVlv(VC#Z>)DFDtXM>*>6Y6ntv}xzpfjb7Ll;>K4y4MLbRS!F-iz zXlt!$X=;luX=2J&>Dx_;BsqH#?JistSC8oqk7;~2rq=c*pXpAIX(CLjg>(+7r^&qD z`a4XyFKuXAyxk7ZWD1l}YdMG}BSlQ<^hU|bBxjAvRgP==Gm6FKX#IZMT9?QXm%m!= zbuqO@PU(ToR_|n@>FeEU^zOb}@3C!L)%Kgzz9wpIth)EEdhVD7`eWTXO~DRXj~&EV zS2F5Fv(IcB&9x-2`ozR<-ZtV_-P|5sQd`y5PABMBrR~sjb5x{)NK%mmg-sb%dZd;- z7p5gtCXb@usjXV2be1@8#LKB&>_2vz$7=uaee+o3KYq_6l~!U8HR)Q)NT|PnX`L(& zI@3HPIa8$gO4$mjN461R`C_!BIeYweBP(&(2|C$Ec8NJ8gUULh{gBb8plx%qX#8*+ z8k<{d+iRNkZmDf(s@|^FEHv);2R=uORx_-tj;^SR$_iB#8haE99XCqTR^Pz1TrA{t z{9SKQ5sI-dIb2f1jxn6KZx)`O#u%!!-#jYpR5?Mx>$0n)^?eUUC7t}#+y?T+4D!tp3rSacA#Naq35zy4WGm^a zzU#&8F)69ujkNMfF6nB|H=HVSQg~eBd8DVtB(L?nGE&VKucYg|=b@@^ucjwm-}e-( zOmge7Kh0!vtS=w-`%}p$?7(N@i0MxypR~V?RmAkClGi?FtaT%{Kb3s44Ni&J{#5cQ zHayI;^rwvJawPSQp+YaILGCD_ztTYpRD8k-j6>cTKa;Dci)R=T&@+#h#wwg1x+IX?-;s zOMH0a0ox28eg%61%oNegZ7W!TQ0dEH?@-f}5G|#-knClYrMJq6lt+0T(oG6kr|At_ z@BXA+OO!i!l-{%>VEsuMCcf!R#U7iO`|;BA8N;$-LbR@?g&ioOq(6?$uj3fdze(wz z-xb%u#@dy9E>>I%C~@E~$(7hAxstv~u4Gz9luT%5Vj;>-1nCHuYpJXnp!rmUdnD1h zlp`8+d9~#IIyHC*MquVTdXRKP1z;uXM{1+XYnL~1bEMv&MY!y|9CZo+mjN(TB1eG4 z{|Eer%KB@)A&JL=Q+bpn;d+B~aZloL2)&70uLODt=YODC>e{BPR3z$YwnIpN3-%jswMUE{^%)xEjZ;;ouI!Lidk`6=Ni>l(mbhDJWX z(>E|=-Nn;0;`0PP8Skc^L0K+$9g$ z_Thger2alGd(lHKRGQnAZiPNS#li@}l< zan4}=N%XdYlcvJyyOC=HWP_5{;gCEGv=4pa3$my$3s@?S-364&-bK{=l$ww#-J|ym z{8hR4Aa)*mevZ2R|JU3L1$zNqrvAFmW%ksHuJKMuYdxBsuSyRS zO@9F?Y}$FVO;HaY29wi%&GBiC<#HhLjsiXSOnxH5{yd@m)DExnpHMpXiXE;i0p4(D z=$?4=QdL*Cw6(Tvx1yHUTB+J%gYzrtPkTXs^nx;yS!mco z-E*OHn?V@bLtNBQ_hO?wxuAA&`%<&f)4jx*Y|K%~VuuHNsq(M>L|t!_6RcrWBK zFC-w`9fAhP;N`9FBHdBzIm|nC|E^zdL7Hg?8 zK7@9sx+vsD7lUhcKXmOimu#mVjP~ne+-^Z zm6rGWV}uu6kfR<)<~HdXn~3dhBDPLt*of_JBE~#BqZmP8-dT84&N2~_Saes`!Wd*l zZ9rd+(I>k82~9zE7pgj|FUQl@pE;lvRzse|@nX38Q+ljdzIeSVN=2QWxaFHAZ-zGy zyjrgz@B`qb-rMbLrOpx+bp;LH{ZUG``Z)h-@huR$LpiO7~%H7Jlb zd@MBgq|!Sqqq*6%Jh@%^pf6HB9P?N5pHJgLTdhAy|*mE zX|26wermfl{vu*6^rt!4AB~pJnkgT{qGc6@vkFT2hE`IV?^k~XQBHylorjUMKMm6U zcrC;><>d5OPiDD9;{W~qU!MZHzuqNfV49P%V@iKLK&LbO4ehMb(td{*&F*03q#T%b zP*O2Na(4&(#gQ~f?G_eC@<8pRq@}@-rg`iWvD`3C?o0jP2^*PI5vG-lNhFCxnkNCi zNQ5~hd!YD7MycX~`@rAN@F$50;=hCO=PgS|u%9(d1p5!tyM={eKoWvf5Ce7Gtc-D3 zDK=&qD+lq--8>58;Bnr=Zs9D{4e?ob3rlL`Bn#%yxIl>H88%KI67~_M7_Gx)yUmUx zboM}znwvJyD9TJDaR*SBjF_mh2Zk_n!>AwwLKKxMC{hHBjWWO>f9;k_;T!AFZPMzG!E@blMEn zb{0=OTAM8{+oukR(&kctcIR4DB0oI_BMO#m&nO73^s=>>Hr$i;k z1f6;uc#g6#WHAASZeVAK*oNY1EFLZNZ~55KxAA+TEJt;j=^Gkmzgbat9K8?Ig@bXQ zL7R~?(3$sRXFk)XHb0iyv)ni?oc8jw9mf)e;p_1}wcm+CccowIDKvIX<7_`u2^9oo ziKx|6d8B8P!$PX?s;)@!OPtTxnlG)uChr&ZS!RdP=7^NSqE`lya|@-A z^Y~oxC2h?6!ndpJ6o$HBTbl1ZMX|E4F7U-AC3>!p5$(t&<2gdw*(_J~b!TbKC zqbu_$+Yju?LlFEd>8z#lbLrEX@n5Ki{ajCc(B5-2KtUw)@lZ0fcs^Kt>rz8xVCs&h z{BYzoUei&+*P~Zy%jJ;$NaWp*qWF+x3JVP^(t_YI@0$^A&_RiNW!tbGkMtQ`%ar|6 zon5!&^%+r>YW)qDX&qQgo1LwvlHp^^MRV3F9g$!^r z*a>!%ti5?l3R_*QaE9;0ZRl2U?~$)=&w4k0USyEnm>1@$>vM3`4f*rYtiy_R8|eVw z==C)h5zaC+FR!bz>$ollRDL7n4j|r4MJqc7^ILas)Bl!Bkqn}5M?tn}k)Ged zqo(BdF%<^+j?F}@!UO!`1}lvXQcqJf$?rztYcJ3a_#Tpb8qQbV2jUc$N%Xb*2izT1 z(0xCA8YZb6Z_@!j-WTN)XWQ;hX7E2r5KQWyQ~7}&%=`t_?-~`1ym6N?!|lmW5d1r$ zitbsWpSTcFPP%OT)G;!`vs3PHW4`!dr^1hT!q)_;z`u%wLgG&TbAN+u@ANn5`G4~_ zSndDogyml+EdMJ`SnTx5MId)3$%7Vmh%uCh7{l}sV|a3fd35|J2!XKU#g;O z!MIGf>Bsr4q%13sk9LGS(>TG8$+Grl?;jCuMl^vVWuo-Q-#k3Ar{TH+9vvNX6ee{U z+?a@xL6c#UkDaD~ckDRz?N%g3(GE(_QO1kAo6FsfTu)cxtj00HZ$Whg)?q4n%o43i zk_Ro(;mIT)r#*8xIa=B>C(+?b?C@lAq~x<51XJAM$<(N>7{s*b@Z^-}@Z{9!@MJm* zU8{M9k6jK=PGjw;%eQ<*VK_bA7M+aPn(>)hxNLt~v$NdcNp!3dpQ_Gq(~RUmXM!^w zr+b*QqQjH3qtIQwlz0lWgr@O3(f(IMDD?%!sMXW#NY54?3#rVj>U_;9Cpu<{xC-Cm zWvj2}E3!!O5mfop0O=Ib`HH4NTTe~YRW$G4^(Ai)Pv*2}UrbT0Pdif-wXQm!&m^yh zEiQTV&%8GHkTqXgfzd$=ytz}0b9}&2BWDmz?(n3UhbK9-4Z9tqV;JR4JJ$bmhbv5_ z94V zTL$R>pLuuZC9zYMs71CqWzkr#jHSbs56)ZM6FY5q3_f<+qFspz`BAI00DI6PDUR3T zk7Myj&rg=&HM@5Xf@@nG%q(*&RH?7)aOd{%*GIuH=bFVEEE(5qH>x<>H|-(Je$u9*8vZFtjitAnlNJrF#VJd?>X^Wv#ST7x z?oTR$;1^z|uLoUi#inC>qQcVBzQRgh=hb*LvKJ>Q(Et(aFj4u%4p-bDpbZx1E1VHx z=O2$nWl66sGrA$^>>9!zPG9iWf<&UjksP>agtk_m)I*Wj80t=0Y^zGP6?fQT9bHq< zT9PA|#Bt6>o8IIBi#vAlf#{Z-%bmR~_YVjx1_#G%DpQA;rQ{uN_T=68%Qr>Z?M}ae9 zJ#nF_i#BGSwM;08iuApxNZFL5BIT(IPha%h1+`!U!(J?$)amF~KW?^!4T~@EERZs&;zlPWwM=+=oHqU>#2n5!*imawwlU1Of7- zXU{!d$LPh5(K||yc8oqC5*=fgH61k-XQ?Tbm9*4E<@pTw7Qqa(jUr_snAj~6+|EzF zUI{jLF4g%=^*;YSiL@i7ZzIyGoG~d%m&2MfCY5S#7tfEI!Xb$0mnpl^5$;Z1m_{+ECT{QOYej(!=~QUYs$z+TD&!dn!Se z`oI4DPnUqa4;Wm7Xj7>#u#`yQA8+u#`vPC!guylSWwl{>MMS`5-mszgWjgN^;DxxO z@TLL+;G4B7HRtfT&q39Au`PgDTQghbd3cAhq7pJ*i-268Q<3j$mDZ{kbdz+@u`tN2 zPo?HBH4i*HE1S-|d%?`}ur_L+9+1i$bYD6{Uug_*AD!%}8s$>gP(GZrp^aPiX2z!gg~XRk0LGqnrx zX8`^b|72F?jbKIf=1lvU_|tA?)vQBL!Ij2$DO2|!2^;y~Lzu_6Dzb6M51w69KX~5! z(`(ABDss&OhYmV!&~R5c;Da*tA#bmUUlbtXpg{48W%k zzb4$lb&4cBes)H-b>&oX29o$%oS17}}|9n#Z z6B@{s|KGKmz0bN=gp)yennxf-h}lvWq5BNB}q6{^~&NqU8PM;r3>^fhhHF&cX84Eovbcp4Yl}zgcdRe1B8W0l!uIO z#8y?{4lbw&ycei4zQ0=ETq|FeQLlK1uA=SeDvCPsE+N4Oi-6w`Q9g(I=8tQ(y0bp58}9IqGJ5HJo5!OljqlgXE)4;3&X}|b9~K}=9EWeGZycO`NXT()*pUlLP`d{oQQx* z>lfgSbbRPqV~pRzHSXkP@bwM#4fF7c)p_-{Ht^zoEo#J-N^}R$mHgdT4c>`htHK&^ zc*~GN8GgMOVwkI`ZC0OiW7^SW`cWQ+Anz#1vq}LBUqmFk(y*W&HS2 zZ3SJ>NbuEQq*Z%TJEo9w-BbLDGxa0x#P#sme50sG?Ow zt$)j==BDxG2c`Nv2kJrkI>=E0sgK*mscpXk^(m=d&eukUwWNJ2%q%>2P3Aw^@XO7q zJR_+{AJ&O?Ys_}M7ilA^We_*PzxYcZ*~xs8?&2JmyamT+^W$mFk$NFPZDhh0cSu!V zVtS8^GCGq!|I{&6mG11qTTbfl9aCG)r}#`Y(j_Hi7Ur2M?9*(Ddi)NaKJ1QS#V=o_ zvUw=88Jj_UkWP)zq>-v6^@p^r8MA$jMogzwbowNns$Oh*+4QspJ>ojh?`4plgBdQV z{;a@{G6>g@GN6up3e<-w7Zs__q&^~5;Cy}gG^oW{=c^rjedk(GpSN{oMJl%oY88C_ zE#YfPl4=jd+uw$-cLUpu)}g5Ielwa;rDigpVLt#bzeEdO6mg5mJ%cY&nUzZJ1CKp! z28pI#nLdE2CpCi9%b=tVeA(>_r)`_y^o>+5J&K<91GAdRJKU*vV2CWp7k!8wK|Q|_ z)KSjU{$NgG&-pBss#;WKZd$9!=>&fM6X5Snr>Yj)Zbot$$)BUfVqEY%xZksgxlzf| z+fFvL_Y%~{(kT+uwnyOW&uvnnS!K@Mv^g_xM5f)E!P>r<#U5-Poh9?>JfHajxXic< zE3p62Q*SrDtjZ~^SJ+n*w!z{&#{6i^ADO-gB( z+x8Ru$yGS9L}D3LtA8BdIG7fZ1%UeO|6Tf-}XAFr#rYd zPG8GcgIeA#b$+^kEJe9nz;5W4s!#VSNDqjW+>vy6wp(gWdbCT=c82g$x72sjg^t?E z2KsupRHj`n{q6nN4sOzZ&-m`8)BA}48cF?`0UYZBSj;egd?2!ub<|eIyzD@vU4|ou zHJ^p^=7A~JQA%niy%1t%1pnlX5_Tj0rVU5Y47*Dv&z!84))@h;z8wKPkAhDh$Y@A) zeg)L8Ngd*-H7|ie8?w!czSh48>Zx?9Cf%#Ec_j+)A_lmE!@i7B65ws1ewu+-DIQYy z-U{j$nbeQcvs@g{)7P^ZGz{-+39082R1u?niNWJL%r?EGUQSTeOz*2yJi+FZef`XebBRY@&lT0X>VtJO`a zmYKMy4I1HoF09Us`1@_*VLd>_6{MuPsko6bu5N>{WY{CMozxu(>S~tcV+>vf8TxvX z!T+NTdYunzHGTaq55Y_4=mK9ee+~+RNV=;_)(BSJjy#MMzAY?fve1L7bgF0QFVfd0 z_A?)0Ba`*#wivY^*8WI;0ZZrmCN=8em`CbfZ{oRJ&%|EUE>4|EUyrp*nck7+21fC8 zyVNK<;4p?96StG9zDf*3yRtnf$tQiC$@2eQdlZl_jc$zK_w?ndsg((; znAFV)YCgldJs*YL#+Bo}^mSjt*OT=1QGUFZX3*D)eG#LqqL|dx68ydhOBQIP%1Pal zpn5Q@Tld50*Hg>q0QfuU*C6|2);pW2AhVUT&(lGw#(WxiTSjtA3$l=N_0_(2Q+XPx zYd8i-;z;!&^&9$gDGUph=}s=zos3{5*CUbz`npmQlJQkXu8_(7RgycBp`p`O z+qstho}})_49-WIrRsHUQlrdLvs81|6+YM83Ho>xz&Iv_Of8wsTuaQo+Z7f0=h-l2 zIU(a4sgCrzElACk0W&>F7MXc#o3$6yM>&;#8zB6%T=*MF{XKAL!xx&;0Tl9Zs=z2VKs0 z0m~dsX8R2YW_Zdj%6qXy(`KgWq4h%_8|dR5F2ZDka1f{=KL^zbjI=s3MXi|CPr}bJ zwj9y}kiKEeUUzstiAi6?nLtL&gF($-4r+PY726sn<7NE8;t`7+{%ONMd0g4Z^2ShV z$3N{qS(AQ;Kc$*wK%G}0pk*!~x~KUk!#`R6X~REx46H5x82)L;KkZ*OGt~SrueG#l!DWko4gTuznaycoswRHpTf(-9DjPSC`onZ`tC;Vvt+;^ zWk{_{V^oznffRpcJhM5Snx7tNXMM6e$-YBfnb}BvKng8tlpSfvZTK0uYcj4vWJj9u zU6DSfeXWln)<>l-YN=Ga0m1%^jkmYY42$3)Kl;(vgYRZ;7%!Q{WIn*oY?Iy190sy@8OVcE=SxKxZUQho z^e!N-O`p>F#Ps2|QPA@UdcHoD8fCX}{lH!R7`Qi>K^c!oEnx);B?A(v?yN1LWJDs> z-}-7fB++fF3jyhfHCsBZaZXwsr21?`;MhRN2GMkSdMAuZvYMoqCD+2sHEpqUJhEWv zD#@iBnykrN3np{!Br{E?HhS*-3_O2e;2Apv+5VF)Ci`cTTBK!k9J^yG@7*hOAf=B7 z>0`(4eDsG8b3c3>jIxrEjLfktm>#ZSlL_djXPhafpZ=-2b2a7xG7vDcS;HMcHt$pZ zU1~QY45N@5LlK5d*JS21RBSTfGghER%_h}L z>LOA%@Hjx$Y@`f>`UyI#4ke`4(ASOhCBp=%9`v=EdwCgfNcAUmTY_3f#XJ2fk-j?8 z*Ig;M_9azIYFNt*)1}zDXmJe!Gh!9|cE)OVL>=yqmd0kYK+E4>I0skJmaaMpw>SMYCGp1S@MwD%GN0d zZ!-6gT0#n|20I;*dXdz13F-|}*W)BmdpmtCWAJyUQE0MjBy}Zy-9ts0BS}3#U-$VL zi_|LmdK3pyJhzaV8V2@!=INJg8=_0^}{xPbUimxW9Ris`^ zP}QVfPf+Vgy^)}XkV5)n!EYmltwfC4LF%mpwV2e~o;n7pzq|kx_K;f0r|Gm}s?)tY z3uAcDlge9)zZlQt)FLZmtLMDauI6~HJr-$@J;SB$#xMY2qa?fb;vjba}#QH1*QQbzz`+Sjouk_frx{ z`e;H1!IKr`+Jf}4^ou*qC{MRqDH>)Kdat0p9BZS~+;i!lO3%_fROY?X!kLd3QfV9g z*M(9O3#ChXDF3HAmhJ#oLjS+b3NzHJz`Sch$yBo5rKzx0Xomk)tG*omA8(-l-`DD^ z+FZWL&XJPC=RJ4NUG?T+qMYca=v|49y!)Q|KN8?sn!+=pAz)Hxj7EdI32GJd?%p>?`15wl4F{mp-pcx?k7#%#QcWr)J0d?_*19{`y{e`~m4< zUGNw7iNjJYxsK%e75r1)yCCeY@1=)zOTdA=%XC1vnSIiyq%!&GekpkD@@{%aXZ)Y} z@O$YYDLgzhldf9$BR+C~@$)GKINxaff2KJ-C6(zQWt+DyFLTcJOb0V5b51VPp)z}X z=0Cn?a+TR*G7Hl$vzYN9I(vK`*eiuIxj}X@na09l8V^_gfkl)(StZw<+=t*+T9Qxp zKjwqQ=MTcNzXP{Pq~0d=+(B-&N7Z8PudrXR$8@BIlUhzn6!~b%*e%2eJs2l7BuO0$ zsw21Cj|Zu-k_Yba)!ZP@ZZnR9pPA3Y4_22W(uXotq|PU`84FIE_+H?4@YrcN&lluC zkUO(A4}!WSm0E<8D^ru6)`kC%>5^%ll-W0ZcuIFgOj(a)%1Cu~qk9YegeKILu{sumWx`#uZz?5@CatR(#N#gvYX*v}-r`V>NF(E6}rpneM=D|k|8Zuan9GxvWEbr#yHHFA%ceggs zFtdx>CE|9MR?vI2M2;Iq({yv!rqD5a<ewFYxZYh-%!( z_rJq1x1yo0LQNQvM4B&J8*oHcS8mNVRREJTj*eL$!p)ob>aV4#jjzaJ_#3nK{U5XN zWy#4KDS1+g_-Sg|KjP+JroUoVyf>!5E#4c5Onvvl4%jv&G_1Wc{7u;GI`iIE=D@Zy z?ba?Bm2QUut#z^Pg-8;=2_7{74QO|kzKs>`?FU#2z+6{|&vzD8GK>(i0Yf3d{4jRo>KGQU^_HTZaRacbF@vkn(NFwhyKtKK#L~|M5 z+(42wme_j~HZim7?E50o%MWmAD&;#SEO-CAmwQ{jgxYfxbprcdak4=riN zeJt1Juk&$@ghp!T22eY=p=i&fk?PJp##eriL8|ddP*=c`Qmw#9ok{95ZlioyYu15! z3WjpB!ATeLfvH$nOQ;XS@t0ZWpL<5h$)0V<#Y@tLT&ScC`9^L&S7q^JN*-4Euv?gw zL$ifhxrCK8D;MfNVOB2wcV|{Ej;#&GPogdFT^&;MGjHT7Gq>dDw7`z;l(+frk=Ag5 zW%dCpb4kiRht?B!Gf3-`nOwXD?rS@tTDr6HNEMQL=77{3?8+9$Y}C7x|2-eyi#1c8 zEB>Udxukd3w&vp0+SXj?7S_+k1s=D4_GHZZ*>k`5cFmrOte-vgacf_@v3{max};1V zvq>BDRAk@osmQ*)y;Iz?O}d1Q=VWo4bm8#uFJY4|JeN=nRvB`m&ya3#mozD|!!A3m=Ft7TKPPEV4Zn zS!8=EvdH#SWRdNu$RgWQkwrFNIFUN_7TMlYWRdNu$RgWQkwrES+gwm+I5FE6?>TO( z?a7#}w&x;SZBIqE+MbHpYI`nbtL?eSR@+mNt+uBkTWwE8w%VSGY_&ZV*=l<#veouf zWUK9|$X44^k*&6;B3o@w-RupsJr&t%dn#_L%`;c29B-@bnYgXCC%43GwLKZxYJ2J$ zzq<5PWUK8d3(U9T%L-|xI7h^ zad|2-v$@%*74L{SnGJdk+se@T)c6U98X3j zIi8A4a%l3MrTy=AF0ZUnAIT5+S`$=9t+a27kX-WuNEvGTas^Ck8!2wb(nmXL=Z&EL zfOi2_KKyV@c|PfXZ^IRv*@XYz)#U&K>Bg1!6?tw-b>@e3+R2vy=%mXhQaiSRT2AUR zvEL@Qo-f|6BsbJ0V=<|l64Z`YK;6#nY(3SurBZS&Xk(oiq%_1Qp>rK zgC$h8+T0-gP3yJb=vqXD_xx&$)Cf}VC#b6#1}ul|0*t=8)AaHoZ`?*|rlXS66P=EA zPMUgJw{PX{89R~6bWavIsoO~{qTQQNRQ!lB|0SJLqgypn${TELZfX3!?Rt3lt=n_K zM$N3#O)MRk(fS>hjJjb7utKjN@8vr~5nV zK~gu-`dqeh^wpo#_B2|p{9Cos8cEaE{yVg_e~_7#mm+9RFa12SWAjvGq2{T`Ld{c= zg_@@#3pGzg=4hUZ%+Wj*nWNE||LU`mRK7{sx6_E6waq@F)0#YUn5_df(|VW1lpY`^ z9D^^?>lddb5Ffs9!F=A};*Q-VBG&2nD(ZE;q^16bbj&P>WP z_XwS~<|fi9(xYhtlC}Y)%!)j-b{ES3EG^Y;E!7yd&8O00SC=xmJTpt9{4dd&eetr) zD7;(wXe=;kcd7JH7C{CE>1SYsSc~%j{Fj+;wr9STOCQ-a-LDJYXM{0CLG(YKesdh$ zl<8tNW=_X7%uKqu(&+o4>(=BQUv16}VRzx8)7R|N>5-lC?lC9)v$NB=9Xqn zUiydv+>3X-$P>R!I+~aBP{7WsyDP}dvSn;KwBX1?$XI`R^3POjk3>OxFJ}m`noO*YrhQ z_b|QRfB*f=#}{ljhi3a^p1y$gF+DRcMfNd$@-lb9KBi}8yvb#*#LrPU8<}1h&5CGf zJPM;(502bCwO#!A(MTR|mr%0$hnC#F`e;%E04N`^Dcw3wGFil&x!q45E@FHA2i=5Q$ zJj^5|YH2ZXPMbk^BTkO`=%vVN;Rrf(HFYElZ^IspaJtb$(g??pYGH&UIone>;vpGM z@h=h1tvGr&@9AQRwJH8~75H8L^xb<)TKKSvYq^xc@d-@$nL|=vz6NTuKe8e<#QHM5 z^x*@!x2?BIeIuPzM;4G15loX4S2TToV7P#deZZs~XbY z#3p->D!rg`x$RF9JdDm`@2SpXnGJa^ zW;W!xgxQW~lV&@=Ld~XwEAY3K*$%#rC*Kj=)AtyipUCzhSKHhiHtL5N{qt_LAIS3L z6Jqq_6F2TVL>YLY{Q^H$B+U&raX&;QU7ku^gCbAm&CJVmon*e9x#PlhH!WTD?M(iN z%(>flR1NrPX70vJfmxI3s%M>pB}a$vF-O6;Q)l3#PO~+c!4Oe7;%ikZ>l(@{tj=CV zlbfH5spKS@!aYY*))o+G!*hvD^sI9FP4!D4au5Q~tQ+jbHn0A_hfRD9#8LsdS#61;OnzjQrURa5Su;3(CrGuz}NYCoX~)Tq=R4X zG41#c;n#e4^62QplmEF^)!1ASmNyJ+s(_`9rqF+W4UNUOGc+RbYGa|iv^k~a8=+a` z0D0@dyy2)JGkRem7f?eo1v9s1I>8!sO}hU#q`fW7o0T5eMV}BZ%v);Sy?#ojd12;0 ztcb6==K9R4TZ{uX@D~lzU zgScGnQFZf#8xN7u@p*}~0)PLh@gRs2<0~ar5h~iJ|F~g-*E7LgQ)bZFp==GfIl^F^ zS3Dh(6!=Ox4rI|H(R`upZ)tfTmq)f-$O_>9)%+y@DrMfti zZ#rd~M`Suq+MEd&R$rD0w`Y#nzBJQm-ILuFCAcB2#~*ST!Ff*&MdV zYzFb3H&6^{WKe?A-u&wbPha654x7$5`((3A&`P^G#g2tR2i%X@&s>}yyKkGKMVX+F zb?dl)VesvwTY7x^C&oO5FvjKM=K`$1+D?TMo(seAi(ByBt^eiV_k-;GPh^0&ckXL; zAa& z$-39VeS6IP#6@i`$zGZ%Mv|T$^NdtuQGR-bz10FZDRwsoX+GA5HSNm6U`wnm*svzY z!Wx&KZis1*&EH*q=VQ>}2+1MA)mM!zUzR1^i{U;g=8pQBgTU#F$&xlof6L&nXUyM} z_Vh9?|KvT?7xVNbbUEm4fLydsDwxo*4Oaf+J7mIK-nfpLbU43bUOGHKeNB5fjzNJH zY0MqrzhxU70r!l63d`^`tJTW$(ZI|Jm zd39lMS<=s`>IX$Ww*3RD3D5 zsoc8aQ=@d8SYI}FK`^F_UYbvAC<~iUY-*@GxnjZWhEn*QUfmGZmQSp&#wV$B+sq9bZu%Oe<|_t~e1kn5DU3OtTFtn2fI-pUAHbkA-C?qL?n`+%p;!Pr|2WCzmz` zOy{vP0cmSh68u`xG;+j0j(;E*sl0 zUmOu;QH6ZVbu5al0zsCSkE^b!Er(Bf@P$xPQdc^k0i%p+P#x3p(PI>MFr%TUA)F1H zco*^v*dI#J2(}FBMosfzFLi3)R78GCYLl-RF*X~E`YsheR)Y`4ZfsX z0>i|ThRUd<<#Wa*x!}}_x`w$GLE;O;Op$zfTB1}Rn*KUg3co3hOt~UBdt@-Nc}7Fy zl+w91Rr0a!q6V%(5ekz%2H&xjaC~)o%^tMm?Ge^%ol3QfmHhOY`Z-pc85C_7n{6Tq zCFB^@)PxW3LQCs9xWIn|*=BJJ8Vafbbq>Q+_(KE0cZXU3-zu#QS=8E`tUf%*XR%qF zG+J$}4V#0yl13JDGctT)SX;YbdSgXdO=S(*yl8zDXs~lCrt(AD<4|2wE4huTLEk|qK!`KsYv(6b)S`C;vn#4lq*Ji_4X`c6 z*S~`ckXO_)bkS;OVBc;#6LNH7ZE01rcA>h)(x!@_2489BH_*`#=2R?@Pc%S4q~*gxroC=SZACpkR~%)6{-u;En(>MC1p%^a zTYs~!>7HKd>!rhj#-;`uHnYXM>OI0s1H3fQOM{U-={&ZQPOIm6MY(2Nx-(jCZE17! z#QMsHps}H`q_PR^)$5P&(nv3ja$y#uG1_lwBTy6%$2WF*`Eq=s5uVLvGZsTpT@w6%9W=xzqrKAMT3{^5TE!Xs| z22}l&3Ur|gpSbf`u=v7wy_9fWh+zgV$~4s1W1xTqy@oka>uDT1TCQSBL%lR8?85mf z%k-u)L^Gb>%4ZXqQCdagacS=3kXknc&ZwKxFpmue;}M2fw63}meP;&RZ1OCHWVatR zYNU*$W17oqYT)Zz^1*f%jE=`aS!rV_zL&j#lx+}Wa!3@+#49*{omx>j4yA{|mrdrR zikhnGT!8Oo%QS&$1;xdWi;w5$w0(h$7=h6SuW-yqXR$pTMN@)94|I#h+R`$1WC^;u7z82YDF_X&5eF! zzj!X8^UB?}a6w%;3zP=PQ zOT29clPl_GLtP@kAZXju__{_^UYVo;F9cOLP{;O$s5xi{i!TELKf<)kGFKP1zAAf^ zaXjkb3gL)gjOO2!q$KZs=c2eoe+Ey@5pr+=O zpmngG#?&vc^H;?=yJ)0Z^m8%d90AW9`GcaGX0S4_G}j>*8C#;1qqnU=MG3+xX-2}>@B$sCf|7ajIZnEJL@rkX zyR>8Vew(9TR2X(4lbjxPszg>a(-IceDx3T2Int~+?Iu6!PNy6ps{E--7 zF)Rh>^NsRG6a0>mPwZo&!mNO_VjRb??8Y~z*>hwdy%9aXp|TSBhk$j7&clrhFnwat z$|h)MuhzlJ>Y+?licPvMLto;!ElIF7Dw*SmfHY36k2*G%-i)e(-4>mp5kExH&{SGg zfu%TRf;jsV{^}8P?R+WRV1e~Vp*E0y zqJt0TT`s@5+T^s2iN&@uoeBA4O-LxGVJwQ6cAd$l)=MXqy$eT)*a$GOdHgwHY3+;# zKjOQ0uCR`ph|Lp=3yH#{DLWAvhLD{pSQW|;!oj_vMrNZHxt0Z&Yck2jZ|j0}ox;wJ zjTljD8n6&;&MlDL!8A@TNK1=lhLo<)ksUQ$oQJU@WJPddSYO74A!@I@run1GQ)w*+q~>v@wPhie@C{8^uc3FdKe=MH4W6BxW2(kJv51Ya#7Bm# z+GPs&w6;UZdXb&NhlL*mbHVmMoFm5R6%})eO3}YjQC0kvE6Z^wq6@I%hEl(|kyx+; z6?xLcuccf{~$rh{i@x7#QQ(LMHa5uao<-(+ z^Tax?jyb`&k~?CgT^$8jr!mWZqAcgeACn!X*4$A}80r8yYqk5rtEEVD~% zSqWGnNg<*SA$`obJFCH)pZvM8Xvq!VJhnmk%c9etl<2f0fxC&+K+o6RWqLwR^!#Hoev%3M`~Y)s1y7RfR4=0z zIyzcTQ<sh^{O!0z2JfqLgidEBV3bbXT)Mt4 zXretegF(CDn%1@(&Lc7_+s^1VRve&Ebs=_%oVu_bLOxngV%U*$VVN9McDv|7>`bbh z`b2Fz(P?aL%haE!Jrrq1$EbIW|$`}W3PQ+ZEI8lHa=Ne2_ z?ljX6b1gQ9?B95Io71;j(?(;Y?*KX;$lT=GEt^gQelRYYt+0oRj#_p#7b8_}0*kE& zc^2XFfo3zU6uqLNv<~YjjP%GvwD)K+An*FLQD@_55Vprw?vMmS28JMZbY0fUc!`7+ zS5)S3co|#9xim#Pd1RU1Y_?k*6k;fh4|jf*9i3HsA9m!Fqgh04&q?I85ADq@3}i!9 zSE9!>E-_dJ$iUv>XtCw_A+`%T%e6Y7-1T1E7J$uJt4)>+?0Wj(Ry20EAf4Q8H(es- z1Q)B~iuuv1K$GKr)M8B)RVNp(_QXq%+c@$0qxoHL5u>HKOn>o{hxloIw2z)Bzt^T4 zj7qHHCMAf$oLDGI~hj zY6hz%d+vi3C7wcHiyWK6?5?WSOqo3BjwZR->6#l$5)%>YS=X&PKDBI}m>p;9qanMf zME6B;$^7HWMV8HpogtRZaO7deu9p#5IPGCM57k{Ar`ywZ_ozlI&8?1^20T(~y(7|< zogP{ZEy5lq{y@Uz;A=yPrga$~bj_tD=9i+F>B?E0(Ndzt(oLq^T^)d+H1(h#f&2N# zt~Kp(8z&QPcTjG-SfEoc{z$;(#}Dg0B(1XFIKs}_euPS_HQbXCPqvuj5xsjVQI%Lt zwOnnw=H!k7kwm)~K{u1-tWMjd_JAqAZE{^9cJPQ5DfCX2qv>WjP?uKc4~j7}h@@qEJ8tos(qQvF!MNTDLRI!s#obDpC zttfOkhwixKY>3@V7KzTs`LQE@$Jw5uC$em`vbT1weAa@IOAdSN16%mP zxjy~gasQ0L+1gIE)+U$lLoznHez+Mk!azqqw(|p>3 zE*0PH+CHf(pXjN+edG~4xpOmc;KB8QEQJd4Dqmbf?xT>VE?sOA)jXXP%HIIMP}ZF{lZwQe1yzan{VtLF~lxG#;J zsM-S~SZCPnB8pN5MJ}s-9CB#upIXHZ91%geeRAwuTHPRskW)Gq5o%1sGUAz)ix^K}vZ00OHGNPPUueT{SUwMn)@C$(@ySvvDT%*gK|{0BstS4d1~ZA0l2cEc zGGpT8@g>uyk3X$wYRReNi>Hn&DV{ieM#=aoMPK8C`b9IQo~r(NH!gPfzrzp^rn`7` zB$p-9Mi{ao()K$Yga)Ggdl-Ko!<7oA5olVS3Z~<){Obtc`-?^{ODs^?5YG}hG{o;H zpCx`5mbUR2#)JD7F2ry>;4T%P2fVA|$AFzGW}tfjF8DnZFjU1808>IYv1*#}^#>r4fyU=2_Q46O!an5Cl4JsSrVv(bI<^9F# zo+VzYvLP-PIr7Wy-C; z{L%T(aIt+7KUdih{~>Z{i2tFy*W(YB1gPmWkY;Y&Y=}P=IW)v&%4do9Sl*?%&vS)A zUtElv*iU6cED||1#PQ1exC7j$EJw_!Y=}KX4h^xV@?LM-uL^@#aWOvP>na=KS0aao z$nfdMrE??Fy~*Z-xJ6|{9B=iACyJcQ5^F8*!r*1DD92(-I%4dl$S>A=Q z1TVy1hKp$<{y=3zyk6wc5N}Z4r$2}L&~n6iDjVV@B8P@}sq$WLBg$cuEeqlnl@0MD zkwZiLlk#3~Cc>Fz!y=xcvLT)$a%hN6%6q-#xc`aeh$~e##CC5p-=QJ47dfh*9=}6f z<6^lGd#h}S-w`=9#4_c5d^0u|2B+bo9`SUQ4RMahp&`~P@AY_JXE|bn%7(Z?R;5pP!65T6toeH8eV@?LMmb%nu5Tudi%w91Bfw#cC&mMHJ_I7S?9#|h#9 zl?`#I$e|$)Q{L+x`4rxp!NvH9gH<-faUzF?$PW+Dk87Wuao@#q#I7nE;^88PhIoYX zUhm7NF$UveSj4YXHpGHw$cKi=->i(s_Z_^dUy6$yu}oz{Y!W#%#AfBQE}c2thn6GG zQ`rzN5gGjfc&YM{-YV$fVtmATDjVV@B0~>&sq$WL3GOem9PtM#8{+jMqu&B=P~Pj^ z{&rz-2QJ1(yh~+6d`9HZ5H~9C^>X;eP>4&?37n_0AzmbMXo%le-s>&K{l%6eUaGPo z-YRlvh_@;4^?KZl{)db45qqm_h$n~~8sZ4$y&msJT8=ncWkakNIW)uu<-OjvO&G^< zF+Sq!DjQ;V+mDC`x9CT!R}}`g;G!P!HkA$WF_A+<+@QRVuLt^7PusVMy;U~E6GTS- zfb6FX+qJXjHx>rJ#zl_!g35;Yp~#^j@~0f*dM9B`Fww3Hh$pLTh>JuH4Uub%xZeCH z3WEi>$Pv#|*$}T5IW)u{EAP{}4ENVrj(CI0hPX!L&=A)u@AU%wo=XZB<0EENHpHyR zp&_7I5l>gy5KBc4 z4RN;eUhiXkmF(YfQIGhk%7)lm(i|FMA5Fj4Tk{6$1{d{+_o!@$HE(MEh~E`C%HR38 z|DNTD7piQCmxzq<40x&XKE7Jq*IAC(pt2z@5IHo&bCvgcT$J;9I>CuhB!uK)H`sj@?P(4#Q!^+2I4y^ z8)ADqKM?nAF+U8)I^}3xvt)_KsBDO%L=FvcwDLZ_t(Xs=wR0lzIh76Z_acXe_>S^k zZ`4RMZ!mnAN=yc@gkh2Q&BFH5{%WkcK{GR7L2Oj92z3WPL`u9m({nU591<7yiH|8d_v^V5T8`u>vetwYeQVrBX(8U z5RVo)G{j?-_j+qyLb>6h9`PQP4e=q7LqlAryw_X*BKB3ds7HKEWkbwbJz|>{dKV$x z-?wQeE>hVLZxT5)#G94(@pZlp`NJjo19nx}5NC-T8sfK=_j>oxMW-Wkb9}hlgKNUGN#QT-^dbMZ=b+#=K8&o#Li$x9%@e<{|UT2hB7cIjqv8&34*i+=t5PK=_ z^&UX{KeK5d{z7F#Tqkm9hz~38^){lMHrcWwZc*6~-w`=9#O=y^J>I`-IpX^&8{$_Y z<4gz`v?$N3Z^b$q7yB*oT9pm)Mv+59yh-`2>qk!_zfajb6Q5Dp5I2h)8sZk^z20hs zbBhg&c$>N~W*2OpT=NNx*F`dLSR5rw%$e|&I%6q*L7&k`Rv4c2TWkWne zxOu#%7%E2$e|%#tGw5H5#hXK!y>+-vLS90IW)vqmG^p$FJsKX z#rzSQRW`(HMGg(|dgZ+y>oaBRl$cT35Ic$-8sh%Sd%Z@)e~wK9u~}t9oGUWcqQH5| zd%g9j&qr*X5+7695PvChXoycJ@AX)|XW6ibB`ObKSMM8;-^Xp9iBG6( zh);_g8sam`d%Ya$CA4)zoTsuOeqZF!5Em-%^$gP8&ZeE1ud*R_5;??&F>#)wyw^Ju z>!q?Y>0D2#u*%t<4`<*-H_jJ*gO*tQrQp>5jix(LzVaOEk}NTV)IN~sj?y7 zBr@iA;LXZ=y$5mskmZOEt89pmiX0l^W6FEIV%$%*9C513hIp#T*h2!REARE@Bi##Z z+KK0>Y={?%92z3mEAeu<7vbDz!y?|VvLXIl$RtBSj1;kHpE|x92(+p zl=pfw5YA~fEaK@Z8)BKrp&^zl@AX#W{uav-Z&TS2*NTjFGVpHYy&l7!~J!ZBi^90A#M;kG{nc1_j-kIV-1Ci=_HO**$^j- zjCBEUit=7>8tzZA9C5nJhB#YftY3g-%6q*&xbJH@Vn3A)@d%MaLmZ&I*V_m8`&y3J zL1jbiEi%qHfDAw0u6H88f3tZeexb4Xyv`$lZgK*n+D=DDjVWv zk+CiSZc*OrWf6ZHn+9TAl?`!($e|&QRNm{Yxf5*`7t5G0P@jI|T+KIOB-jh1&|@P3nZCvH*M5Pu~y_D#U& zl=t}#@LV`$pA8c;DjVWNk+IGPPEy|My@C3A)7BaBEtL)NGm%k0z`rW*_0C7T`krlD z#0yn6#G6INb7$bK%6q+?2gWLqoh?d9Szq2JE45G0nvHRW`(V%e8EY^F@xzb_3iWSHD@}6Dk|x+aiaC z_&eo&d_#VY?=a$Ge8gcY8{$}zLqi;=yw@wj{dmg}C#YDJXvK!EE5@PBVf7mUXSIpz?K#9Je3Wx;|h(B zxWC9ze4TOM#d5^1DjVXHBIEfo@G0f9#LHG{crMM)qi%m~>zDX~%7%FG-RhTkh{#dg zJ#gRCa>U*$8{%S-Lqoh+d7sxMxWCMD#2=_^h+m0}{WmbU$CfSd1d*fheFW}DT6f}T zl?}1&y_#mC5jjfp<;eRFCI7iB@d}j<@%UA$M?68~NRRbZVe5=orLrMjBQn+$z-yKF zd0mX>gBROpgv3i#HpD*HYkb7MB1iG{c>o+Pwk={ml?`#U$e|$?D(~at{TRy;$Ej?H zO(Ns@IIvlHuh;!n)HN=~M?6$zLtH9yXoy!SpLK2L-X}1J;-Vh$ew7XJ5s_iz0(?|? zulJqbV(i96Jz|;4hWNS2p&{;6K1=NI7X3$UAowZfSzHW*m{Hjf`-&VI;$h1BxI4d& zK7)&T#I7nE;(;Q={t0-H@?Ov2zMbWW`6?S?Cy}w=1r{jp^_Jgt%l=pf&asM~V5x-E`5Wf@|&rE^;P~Pi(fcrmKj`*Rl@2(f1TxsH>hlgKNC4L#Gfng^|qpXpS5L7d`@LU+#xdd zk-*QC_j)^S0*8xfAbzg0A$Gr+d}xRViyWnMHEbwuQG1Fk@ivtW@fDHrTnI=TjClSQ z;@nq$Fe3w6lF&`JhB3_}gA#N5K`z+uV<-Oh#j2oBPv4i*nl@0MukwZhgOL?!? z9sU1cI|dLBRoM`a78%dIfZtT!>-9%Chug4-15`G|b3}#>9k5CHEb$V{2ln4q+&^pG ziO;EQi2orn*7U%yl=pG3!Tnmx5${pi5I2b&8scWE9;HpE*+hW!xm zHs!NK+Q`Jm@g>)yoN+M>;ty0d#A9u}5|3+9ue1&8f{S{@t|}Yi$s&h_SggEHGw&x` zjyP3iL%d03v|HfK%4dncu)Hhh2XX(9btgWovLWtg^GEC;axP2kZFv`lxgYZhE~cND zud*Tb78&Ovz&^_R^dF0MbDV8E#1m9D#8D#Sya6~`d9N2BoRkfVm{Hjf^F$5}v90o6 zuNdJ>wqX&cs%(glh>YRZr8{!y|VLt*KtGw4c z@+QoYxLB9O!73Z#jUwaO5b!4Dy*T%eP+ii z;^!(G;y9~EWcYEtoo`}Ifr}jR3zdzaodeUrOp7@%i~BZ~Beqr95Zj9!8e+ckKArpE zeqYNGJE&}kokYf(30R=K*V~GGJga%i5}#Aq5I+?;G(?s|Jb%kDCthdgMdA%A8{%e> zG1ddODDUH&kNX9dBc7+SA^uoo*tP?&QQqrSufsEITucLTj>?93r^slZz`K<9dVO%; z*K)*uDjQ;@$e|%tDev{NxNl=QVq29Bv8Tv*#tQ7Eyw~f0Bjy%dOeb-G%7!>jWZ3rt zifqfl@0L@kzsQH zyi<9vcM;IW)w5mG^pU5YAc~7V#dH z4e>>hLqmK?d9T+4;qTWXln!s%(fgB8P_fUFE&rh*emF;$k}^j#k+a&k-4GG+>kRUT-zV{abKRk9eEP zhWMDsutNrJP~PkD{&C9@pHSHlKM^@J#7~v?dS7C#@ef&Vz`h>%mCA;=$ga(aT*EU> zf&E9jhlk#3~{i9fW;G!P!F_jJRRgptOd`)?;w-xi-fv+p?_1?h!o0cQK zrLrM@E;9C%6q-@-@th_F6Nnd zp~{AMnaH6b{+se%?{eJ#&~n5pR5rw`L=Fw{N6LG>;V)p%hKunLk5SnW$B2yeAaJbm zUT+5OPqQ5Hbd?RURAfA}0M1t4>lNXCyyb`!R5rv@L=Fw{ROP*1IqoYgN32rW5bqEf z^BC|><-Oi=j8i|cV-<0w%7(Z~vg^ZdrMr5kJwdZLmVV>Xo!QA_j)^T$NCx<^@v}nY>1t#9-Wza#Q~hR% zZ>emEe-$}2#Lt!Y@f9PS$u=zFRFw^}Qe>#k3hWLu|UT+BQkFp$bn97DYNo1V) z08dul>utmRtCl0auCgJ1A~NPp;HS!ay&bs!%yPufRW`)^>@#L!2a%(Bb1~d6R=-)| zr79cZ3X!o60j^Zu$9H)F#wA>AFT^WUHpIE#CXe+saGuCfeDiU?z;eX%R5rwdvsI7S zS>#BM_gySU?5eUM9wl;Uh(ne4>74ci#vfcvCvm#UhB#Yf*o*+nl=phwaeuJoh=;0d zh{uW?8sc%vd%cf0!$trX<0F2mvLR--X#R+8M2_$zH%SfH{Y_7NHT0AOF`z23~{ z@hlt{(@8u-WkXybGT!?Eo~yjqJ0JJovmEh4l@0O#h>Z0T@CxO<-VQvM`piC?B7Uy2 zAs#8u0kH1?4iq`6_caeUsu@>JK6Y%1uf!Zdp+ESMI4~AAr2NfG(?Uk z@%X;{4fc(=$PvF%*%0@8fqZC)9Yl`WOZlT%f8wGZu}Wn_yhda^9|vBmyf2p`+>f^$ zae~T*SSm8s$-vpldp*ui!*DS^;?XJ_;&_omLp)J=ugCidmLpD7*${Ig!!{lmD)05G zQQkFL_F3W_l?`#J$ap>uyh?em_Yuys|76d!iGNYq5Hoh)LCm(;cksTA<%n%nHpGKO z4h^xp@;*M^A8a||p(-2VFp<%&fy0&edLxkTkv8qb(JC8ag~)h^23V=Q*V~En(7)L; zQQ{XW8{%WZ2~Z%a!+fysxkvu}Wn_JWu4%5Vks;-xC?O zV89EM_wikga{93?E8?{(8{$hMhlcpF@?MYab*^o*#Q7>4;*}zYhPYIDuUB|2_D8sw zcH%gd4Y5Sz&=C3Eg?Rq%#dvU^jtyDj{VE&c>mrAS$ZzSy^#c4$;UY)Os4U-%Iz(jH z@Bq^e3tm0wJ1!GXP}vYCiHtoD z@MPtEnrVOVH(X2)@e7p=F>B{+Vw)CocK6?6%)muG;-M-VVqcMAM*}=ec^_XRY~jwq zMLlA(%7%Ek$e|(rPLVbEb&0gyRz+$`-81J@lcfwagfN^*8>MD@8hQa?%HiG7m=fR5x2Y5>m+{h z{0mqh^4{s4V)ckKtR8WW^_IMMP);LL*&p9-&B6D^4bF-Gq1#+BJW+A z>HnY6>u2?d{YBop_`YZLh!SYyVvWc#J2c$yE{s0bFR`!4 zQTk7M4(ktG43~Ja%7%Ea$e|(Lr+k*k?=!I+5tRJ<0At4=Wc=(M8ya~ zgF$H81{s1N7-ZVfrj-&EL>m=9lb&o;tJ{FyxA&(+R5;BV3{Vzaz_LJu$JV)Jh3}}2Ht$c&1LP;$pY_47~ZC@8+DtchJz1`_riNk{Khb;$qF1OY}oa7w(OaB$n9k zntWXB9bO*4y@0*b_~q2{jv>5$!}p1O%jDx?-}CaT;$nYq{FW!=x#rJ8{7uexv5UQY zqMUd+CvRg9i|58*H}Ue(csu$$u{(VR-dR3RZ1pT&_oKK!M3VLt`-sWM#Xj%lSH;D? zVEndSM)3M~zEAACCLb3&>gDm96xjES-|~j=`VHSF_AQf-iyijz`29NU+s1EsV|e|6 z?-Tn6laGrX_wuXaV*hCTmN$XdfAM`{|7P-Wu~S}tRb1@<8o%WY;Ps2XPwdMk9~b+Y zm&fmXVSjD>mRB>1_cBP*o?_28`MB5%y*$>`fxXE1Ew2u*FZO+6FE#nN*eks}J~M{> zn(7*gh|h-@(HE!uTz(AFrSHePVxU@^P^*d3ikZ1^cq`TizgE zzvBDEzH0JuvA_26coqQmb>p|Z)_%N?Mv`)hy~X6?VmrM&zB7fr*Z3_j_g%c7LK2?X zy-YqX_CPO>&qiSnGJeaOM!)>q?;Ek7ntWXBmfrhad?p9Gm6wlp{>^tDobUB$k$>Kb z&7F1L;^mz4%Y5hgGEW2Fy}%yl<)gLB(LPV?F`t2Fu=p++oMZnBynIgs--W>L_Zjr& z%RAE76T9p)=*^dRv9BlglFy*Gjn5Oi?PuW4cbv`le$ZU=@Ou-Kap7ku=X|f5^R3^; zUfDkT_1)A7pHYKv-n&FQ|K`j4Z`W_W_pS4NA2;85cfRL?Tzuy9{%^k5m6@OO?DHAU zhYY`*Vz>Sby!npn`SQ$Mgf)#(M)RIL+W9bFz4@LG^Bvb)`TZc)^vVCb^_y?I{I_}L zJrTasN4v;}c5?3rV~p6C6MI)Ozb8m9~Zl$ zmtPeZyOZ(9P+adA{{cR`MG~IaKbw5qvp#PDY}zbd_v`rl8cBF!A2Ip3*dZ^E-}u44 zW&Boe7_Z;^}Dyp4ff8e1f+c zf4|aTV`9H<@^P{M`-ULNa~!%F;_JX!zlBP6e!upgUzT?=$KCzdYd|d1wy!@)TSotmBOkO!&pWyq%o@DZI zu@`uG{6-V@LgTmX(l&x;=#V6q*xOA$F7{q8kKf|M-e>%lm)DDDW|4#^c3+c^i!Ji< z_^kr$p~i1{9Z%vnR7k=TdymP-#g2Pnr;()cZ}4f?nGWe|J2$;ZXEd-+vyv3D51~8>jqw!mw#5vUWiCt>)aj~a) zdHmK3_H^U7yg_{b@d}cZQ|zlI9~b)%FOT2+!2Z+tEpH88|Iqh|ec0sVVqf<1tKwoM zzQoq^eFE+D7r(8<{>|j$Vz=@8LF~4EKZxDS_iK#dJ27`7NnEkNHTk&Mg?EV`pP|BL zd-?j9*n@q)#%Ra$Ja-^T46%2ad|d3yULNI#9W?%!*pGa_#wbM0#lD8vLrgv{_GvGV zwT@w*F@D|@p}f?>x|#>s_($_@&u1`(0l5`987F zntWXBfS1R$?1jc}c@pO(KbF`*laGr{J4@o> z_e-!bFJB)Mo8|jutjQs-cl7@*cA?3~#Ws3*jB(g+8GlUdt-jwxNJ+U3uW$GNF7^(S zkBfc1)|5l+ke5%4cX|DW?-To$$;ZWRe1YMK-Nef$cyYYm)c1+q+~nh8_we#~_84|g zm5BG?nhx4ntWXB`(7UVN5GC5 ze@yIV7X@R;>&-o1eN5~YCLb63u9sgG7dvYFF|pg#1!HWF*E@K=`k2@qO+GGm>BR;s zw%E%j+D={{?)$_ZVe)aY|KsIx9}fGm@!PhW!t4L_ePTZ``MB6yFEx5%Z}al?F|oh# z{n~c&`nUey#s1FZ<6_6ZVPc5=qnF3~AlPTF3f8;p7kIuDNzN6q51M>j?BiY@>(;~m z%=m5jYo5b%DM-Q-d%nrX#eT)h<2SRgUp0Qqld`8G2~TXA$$Ou-C;Pmw7;z5wV~IV& z;J*->|12iFT2~rusgyX(sRNKfvbX&9|J%czL&DK0=bV61%U-$Hf+Vd92S4 zd${r2@iI7w`&T64iG9`N<6=u*5kKzhVUP6kiFR4@GVWiIgeUf4laGtt*Nd?#E;ir9 zi-|qT_eECl#&64m7Zc*o7t^7rT#_$6f-k`x?LH#qoMm-zRo+laGtd^z!%}2JCjmZ+TM3xyH^4 z{7u?c?AcyE(YAOwCokEYQT{C6yCO-Sh&{>V<6^Jy@~h%v>y1BBInz^2ImMduBw9H) z^?719^YYPn2lza(1+#c{PvSj2lGIP^r6wO2dyAJ}6&EY-IRyi{cTE2V&$1zjPwb~A z9~ZmPZ^geVE_P!tpWqcB&Vfk66ML}9$HiXgc>_x_J^+pl*J);p5`@YG?#ZGy7 zJTnRVzs7HQ8SmovW=NtZc3YE=i`~u34_3H5Y zV&5nBQj?F1z23`X9Ua&kjNkI4ymOsL2jOqhe_{{z@`?K4<(#~oJ#6^1)pF0!ha~MH z_F0pUi~Y5i$1|F+uN%KDr@S8WePZ7<`MB8a8YLc{;fLMc%SS8c-hMg7?&Ia7@#gzo z>3^Fy-})Wtw~N?iv)V=4cL%?X#qMbGaj^$_c|7w9dyw(l{zI5K$IJen27adpd!Uz3 z^g|(DFZO+64>9?;*mJx*e&-8YYy2^>xB7mu?Y>`ZkMD=^4vGB*qy;HA$IgQ_*qd#c zFNW_Lq|}sC#k83${`U@v_85|kWU)Up`MB68ygXLifql~WZB)FRvn5{eu-MfLcEHQm z$Hb0$`NXfpw#Do1kWy2Y=fX&%A$t$Z!zPRWy+eS4NKHA#ILw)Ro98-trt6yq^&=Rm z0SH4dqb7_0?J?JEu`v*G7K+`(%O`qK$aC?^J#3?L?zfs~qZdK=7IlYJiMZIe~t zGLVn=0^M9S1^Z|Gd1fK2;kyP2?Ry8z!zTL-%-bdlbc(0}a0txJCM%+kn5>A7o2-hy z3hM7Xx^4XlyxiVHoq9fu@Xtm2m0r~TJCM)N{g(fRT zNHc0E965X760;mBE#-==8pw;)%`h@|St`hsdX;tg7EwGLLvKF00>IGHa1GN~y2kc=woO`;a^!tat2+ z|93;eXc2ROmpxU?Qj-;PIFeUQ$sA|=Vpf=}m1(DN1C zBQ_Tald70~P4)S6?{VU+cyzoxy0BD3E{+;aLXm!37&Vkh66yO z)!{D}BS}@oUTX4ju`OO6%Ot?I8h=deYrbFXknb1!zVFxA|DW1bP`?pr07;rmkBi^I zcWg-iLXtgO^tc+Y{~F@|?(MiQY{z$uNCzS5aq4gJ9T8F=lB_4MM=TTH-<*iwl_P!W zO9{WMr6=o~$oKO9L6WscK0#`_4WE@EWxS5>rjgdZg5QfF#b3tf4oIcQKZ2C|Dn0{2 zNfezmYZ|eS{?Y#4mdqaS-!Vq>6{JP7~6GUbH3t);)sv=D)+*I7rta z)ggTiN&4a)q|&GG87-1vmm!V9w*~4~i1s@IX%KDjKGHDy{?AAqUHH8sQvDC`eikVo z=`y4-`1XOFx*yL=Aioy&Bczo`KSpYT??$9SU_L}z4J|2q*BY~Dedb&E?ixwUu?AtDTvdcc~JHRjds=ta<3jAeAnMg+>$v)5#~HQ6Io_C=LFPi3D|*~3)!EjhT47~RPua)wSfqUX{RpIf_+CbmeKTcGOxXuh_PCTiBW2G@*{4#T z!O8G_vUjBH{U}NHc9i`bk4KXI8D;N9*>CXyq$%V-MUwpxWv|0>U}W#Y^55V*KpMfh zvG5u6%a8Dk6jBX*-$auA{$&3?*|Sgf<_6hgPWB@c?ACX~ha`Kt$v$qfN1N=+CVQ^QK5MdXn(UPpUyC()fLYpUxUx@~ z>`k`EooLS|>?b9AMGd2^K0uOvqGS&!nXBGFlKr1#ZztK?;#Q~TavKNr-|08?*$bLSu zS5G#|EPL}ex&qU=i}d(OxlEPKXCl6_!g@0Z>2_xPj0 zBFTO%ve%02ud)eJIr4J7l08pkKa_<`i1mYv*BAt&EL)r}~?_R|7lkCqRdosv= z3$n+8tbafJ8+=EJ)QR*EQX^73l8lutkYvvR*-t?B5Rm-=@{smJ63jhFvS$A-NOefE zzP`ki_3~wnd|Cg#3w7)B(?4NlO?v4gxz@<~@m;t+$a?Vd+}B@_dY?AywaXgqvi`cP zxi0Ie%NpvkKDw-lE^X5e+)t5Y&2m|fya#z%UtHD{m-WJBEw&qwT99Nt@50y7-bfWl zvfg$nlB}OygY*!Rtbu(mlB{Vh>s6289Fz5@WzFf+kz@_&W07P{=mU^sjb~ZES=MZp z^_XQ1=JSy{k)A}7HIilhV_Ea~EhJgPSk@<&HHl@tVOe8X)(@67gJnHn`QGpxBw5?? zP$XIVb|c)EjKaz~wX!ztSxALQvW~2*4JzwN%G!-ZlE*mR14-7nlyz8T?bYuh$y%zi zPO7YpDq|uCSXmb`3rX@RND{00S+j0vJ?wQz>wbaz6C_#7Q`YI6fKSRMYi)`~CgK(P zsRCBYA~9vHN?C_eG^A{@mgH8_&QD`rMv}E2&qk896lI;n37i`-^pB)$Kgs%jvKFDN zGbn2d%DREFR-mi{D1R&WQw^*n>1!#2tfMDu=gGQwdSqUNaf9@GB>B!=k54bg*trzr z2uZ%j*5d=@-~9&eHIU?UXFaa1$Nak*8s9{nko4H;Yq)L#yBOG8XW)N{wmKYh^^r)Y zBkA!7`gG4h%!x?y{hJ>0jEa2zDQoe`I(xFVp4_|2u`80SeJAVM$#b!?PMsV%NHxfR zh$Lg+^GGsRZiIf5_1t8wHd&ud)?SnEZDlPrSwBtILz6Yo4nUG)JMaG#@3+#Dy$DIx z4U;v&n`73;M+Z5Wj!mo7nU`tWQ{3V_es`_l69OUFY7)@UXCp9fAg7! zWQ8wBu`%9v)RNWmZRGoB+Y5ca#@l|@>m2{}7QWrxw@>?abKh?1+k<_(i*I*;m33NV z4Hj8<<@ZQ(EcX64pIl0IC;xRdZ1r<1wU9L{WSt6GgF@DwxED#*k&rbaWL*eZ^FfY-z5mU)kZi91 zTI+S3|N0o;9y`nK=f76F%zv%+694tKzSX$e-V1%dwuAnC6W^ab%g+A0_QTFTF2}d3 zr~R(=+{gd>*1lC8wVAX0s;4>`v;MBU*)~24r*V|Ong4gSTK{u>|K78#^0i*7r{h=S zs9)`D{Gonat&if=>UpZ|rdG$b;$~azC#{E;SNYoR>Q}zLo^5r!DPQLk#R*@2XCc3# zkl#(nZzAM(5b_%b`CWtjWZ{vE4B=7y@ zIeahw7X0{|JjX5=dG1~E^4?eOjpVs>x%ZIg%;gz!d2U>u3C}}bp7ECFy5*T|c}`oN z!ItN)<(X>vPFtRlmgl17S!H?7S)O5*ygZXE&k4&j#`0XT-gkUUEz&rf|7 zNe&rT@_durgUItr$3KgC23D|g?2IJO2gx-=4xNv+|8!icU#vX;BG0zS^DOeLiaehp z*E@N}M4lg!XGY|C5P1e#GwK)(MU#cTOKU%tba@8{(^d3lc_-?7X0=b7)}z7df6nakxA~6Ll$?|_v_;sl22S%e7cd^+S^QszRuh(KykEo9WIboCs6Ti0 z1@$Q%3C~%VULvk;>)9WWdM}#9NrCzk_}iYo$C&+VR@ATXJ@{j23HLwyiYt^PGg(@y zUKiAB><9i!8Lerl%Oo5f|MWfSuxY91U_kFr7&NALY>EHVQst{TDShzR+;?pScUtNI z{+EcsL%uPTL#~o(shy$a+1idwR!7|uy!1!imFHi4!6oPKhtYTTx#wSSi5Y7-OaoGydQI!gDT{mx;P-s~)k5 zw(9w-F4+H^l`ChkB>OznCto!EpOz|PCUIyv#w-SBu3y>&%H;k7|H!i%N8aTmfEs6S zWDEvzJlaVxP2W~l4t&xI=1|r}7Ne}I;mLSTS;1CS)}0oktRKO%_9{G_vg+WevsxE`g+b{b+8Wnd zjI!>4rx~Z7^io=Cc*{R-G0OTCJoOINpDadMshC0rY#Z(YMIIXqEk;=d@Kjo@okCeB zSd6mHg{Q-^E&&V0@egf{W{XkQ1MuX$rmb-l@QO|3p0XHay$Vmo6Uve^h3oa9#VBhE zo;u4q7%Uk+=1|qGFrW=aS&QK5w5&Yt6A+CfDk<7-jt$o@NK@FBYS$G+YGNtXHiZ+kTSK zHe6&e$~p|5`YvVZI|f5mR+Ysl>q>Z zr`WQ>&y-DZ17$GE%7JJ2=c;uyv~a@thpHZ8G0Hj)o-W(+;U%oG7-fA8o}BAdE1cD4 zG0OTOJnPpbXZ2Z(vVILu*|(Kdj2iL0@rlJKYggQKtbSBkJA=jj^+k(O)_34(x2*81 z`C}HNtheAv=~JyltHW#i`y-1{)|QxHrtH-roVAa|DC63_Lk@?hPM@Z(5A9#^I^BOSR;^3Ag;lcoSkU%Gwp44c*Er3@zabi&56y z@bv9rMnqa_4p^8Z{6j}cA28j68W}eXA?JmBa9}VRc_};%uP6&unsMmabg{)K>rQy; zEKBO1IF$9W#VG4zc)IKeKMWMbCUT1r%wUvt2|T4g(h`RE&i5=vSwDd%*RpmA)q2BX zlr;&@s4d}sU~!)wyp>{Dh0>oto}Ryy8Z=Udj% zU~vgQZ!yZc7M?L%!ec^N&smJJrs0{oMN4=CSc&;X>s5lc*9N1ktKeB{S>Yvo)?$<; z9}cdxtj~p(aGAv@>neDfY`qd^E$Fu8AF&u^{RW;v+lD)ZYHggU7-j7T&uTm7t3|>d z+8VVMqpZ8(>1x+D%mIV@>m`d(*5BdD{H3yTLs^;IDMncb!PEV`vT(A^IJAUkS&Xu- zgQvG&S;vKH{lsFF^(H)xwq9~4lQ>lCV~bJNwzx&jyj`_q93~EBl~|0jYT;RXo3hSM zP>@sB-4>&)SK(Q2wT=yCrD0+)7-by`HGiX_tKx-qbr!Akdqhge`4?N55=*7u4 z<51QK7Ne|-;3W8PuYN5+#9IEvPi&54_IO*GM%ggs1d{*XLjIvII zr|xzwq0AeJL$$877-ii9Po{0d@Or&$G0OS}JUzCAn}$4xWOpv2zUl;8_FGZ z;!xHV7Ne~D;2CqU-m(~FZG!M^_N)xA*ZvlxtmW|Z+tvuLSF^<^>q&UJtXB9v!21@X ztc`KQUipCbSNPrgz80gb&%;yPuB;q1AdijjT8y%O1y7UhFB$X9`p9CGwF7RQhOAcN z+>&0?{wlE;W!1p5()QOrGq4HPtrnxKU%-=M&lFtRXB?{aKNh2`J$HqcEn#?neaT{! zbv-=2j$VG;VwCj`JXKZ;m%tf^mT;>a#VBhjJbkt`!b^CG#VD%-o_gC~;iLCCi&54G z@WgGs!pGrOixi`*LU^j|yn$P~8Hd*EOp8(0HSlEF)(EfHT8mNEFgzWO*4T76#VBhr zJnI~+T8mNEZSa&kST9(Nvi=UwYFjUSNHODB;OFykLt!w=Ivbv~4y`teQPwZu>9<

NK-%38QbN=lBc z7cLbcv(3pCqpYjpDf@-aZ0CZN7*$%rJ1j<755qIqr>wn0wcfE9Wu@VjvvWrfeZ{T8FFcj3vktVBzog0{am+evLB7|9$u4u54a%6d;cFKHXf zJC0N(*&4?17SLdnwHrJmKUUTeV5mYOcbUZ~>q&SjzppG@s6tr3w-{x`_QxF&K4FoO zp5}eCpXg;B{W-wY*jj}5=?NC2kypVp)uH7%6Y+V3KVdP-`WHMMwiX9VsgTT}t&nwq zVw80tJPnp5H>QeBMBFm7Bsy=Hm${L5K z-~rVNpEEZrP>iznf~VBMI?iI0bsjujJ*u@5 z-%kWQF?v<&M2k_@`S9f2ty<@T#pm+17Ne}Y;c2$4N5M)r#l!!q)oU@zdIO%~dsJ%> z*e!_lPm57j`ayUG=DW&z1PmUTD=bD?KY^#l_F(wA^Qy%tYaE`Y>s9MsXvL|7has53 zDC;_Sa=VljK3X2M7-c;RPl;vWMabFxdy7%lzv0QY@94h*7WeYeg^E#DGdyb_)e>$A z76z4nXn(D>7-hW0%SyDmaFw;` zA&ODfA@Gb_mb850P}XXTQC1H;eLv6=UII#@MU?dqi&56TML3snNix^3L72Z=F}-q} z56tj-jV$M%w1PP_a<|233umtaIQQaA>Wu7-fyaQ((2iuU~r} zrWj?N1y9{tEg^19L(ZmaEk;=nz|#nDvKD&@m`r;XB>F+xOj~TDrHawWOW~Pv)VzEqKNqTCrlqC@UYHcB>WM&Zk(6vaWz<&|ZbZ+xb?DQPxl4 znfzYz-uacqDC+}wx_+)KOhzHCF>Nu*+VXJB&z6Oc#%3JaUwIazti$0cZ&s}#a5l3% zW!-Ku%9?*J+D&1D?1-D67R{l=Xdhny*vVPr%}Po1a;X zvIgOK5JA0}1RTu0@MQi><6r^}Y1QqIRE)-10#CVRT?AI5zqHIX7Ne}2;92Kj^;nFu z-h?N9zm_l?TB?x9ePl7p+G<%!N~axBt5Majrn!*P|xDC;43R^P8J7Cw4kv>0W* z4^OFswV+fn%F2c(*RsOL{8Eci)@ktM+nM$&s0ELo4vSINJMfg+YXLr-vTcya#g0~t zvi5*y(#~Vc!Q%H0=UI%h?t@1b@k#?;QcB8S;hB0xTXl2Kr~heREILLp8s{WEur@kYG0Hjuok-Cp~oX5vLm z8^doeL@dKNw2{Nd#_krQkxSsIeMrl5R3Ngp^CcFetlQzqvE^BgV!ck~c@CIdN94a- zj7Hw+IMmIu!fR1xG0M6Op1hm27U8p2i^VAGK6pwkE4(kBvKVE(4o}PDs&y&qy^*cE zw%9huD@Ix6@Dy|^E4+jkS&Xu-g=cgd?e|u+^ZQl{FTCSEV1{4P$eY-|OGeA{rp0LF zjXsYV+p;zbWi7TCWmUt|XjyXSkZPH#)n+ludJdj=rry zS*y-slywI@IS#FtEk;>W@Z>pIOHNRXvMz?~E)+ju^KUP+Fe{FuUVw80tJcF+&D|{SYXfevV z8=htd>lKSp*2nM+Iamjtq8MeJ2hWIQ$=FC7+VZzpjIy4Dr`@)Oya!1f%KD4NC~K!v z@lBuA+A={wPFdv^qpU08>9Jbjz5JBLC~F#?POG&~s8&&hVw80$JPme4g_rOii&54~ z@U&P~crT}(rWj=%3{T;6IyS^Y%$78KOLXSS{81_ zLgx9SEJj(Y;OS`9dWHAbJr<*^et6=KE9+a(;(24^FDOP?N5M1pn6egymhcLTQP$n? zl)b8~@RonxVwCj*22&do@Fu0x)q+O_T;QVi&55p;2CkS zmV8k$%DMoaaz_b&U@^)Xfv3~K+VM+@QP#=u)H+x;FBYS$eXH=^%E7wSVwCk`c$yrnzgmp4_C5nMNt%oe^;`%~35GG8_=LO| zZ$P%RH)P_I<62-=A3u}zeRwiYoauQCp5>3~sM{7S8^+Gh?^ukgFE|sQM_Ja+p{xTe zMp<8gXTuY!wOuIdYKu|U8hA!6EBwrT-C~sWzwng*O0~l4wdYxiQPzp@6k1kz3BPVJ z%DNw(xV`g?qh5(auW@f!jItI~W2`z@#TKKi%izhlPU|HlOdP89XtiRLwE>>WK4tBe zpdhELomVJESx3XuU|Hd9c)7(W>rQwkyHx8?C?wt;tJbi^C~NDp@&00svMN&(YiT7) zc!b3$s}`OdM;m_MVwCj?JXsEw{M_GQlvMyvii5SnVw80gJk3AVdOWsSj8 zZ&~3jzfFx|l(iI|bw5$9Eue*F^p6F;z0_iqbvHbDcFb?41kWn#Rf|#9C-6+z5*7f> zT6>?X7-h-o{P8|5A#SfjSl3vLvL1ma(~gatP}YL;6r-%=@Dw^&-?JEHy$Vl;qnA_9 zSB$duhiCXft=CeNFb&BZ+J;}Z7-c;NPo6{TUlyaR{93%*dRDc<$HrGJMp;k6(;e6A zMLLR>D52(tff;vTJ_4qF)8ug$U4YLe9GD}4Nq1n*1SZ9S`MO{*Xr&Hmsp0jy2bgLb zCkMzFk~y^QzXfK*QTLC58L)A}wRXG^SF1g=Jh>1-Q2$V^rN9h1;+z3Y&5yO04@LxK zr`Q?$TNb0e+zC&qW$gl%j=n^$-(r;YK0L#lX_+&$xSmzj{{WM3G2wOJ<{~`bf@w!M zX{o5-j6>t>35@)D++f1v905$T)!K4K6vGooB{1cVHv9@O>o!*{Ia3mcmZuGvE=Qcl zfRSIxnlf*n2!fo(c@vmXN1Q2OifsGr5E^HvI^5|w;+zCbi7n4Qp>di78=W*g}QcN!}wOeT2cMR1U1*XAKuYXG%2WF>B@Hv1zcf!ka z5HL-S@|+ILsMR_!w9MZFX3}bf_tzs*9vdgTzXpLxxBV4f=6?XwY0JDYv^-gtV*asO zTZdu_fyvrZ`vTw@hxXU;z^rrB>s(;QZJER8!$x2xZJ7a{aj4d+YHc+m zO5(hD5tv3BCkGh5e!UOOI!By;15;!%;eD~qN{mCR6^_{#7};7>>Y0`re!iao%#b6_ z1;AuE`t(|0avWL@0#o9sS1&N*HV(iu4(+ekfLU$h06gPR%pZXnNfPI*v(BnN=e)BD z4mwe;-8ud&_aCs133v{;EG6Zem`=Pl^mLAc=c<6`On4dto_cs>?Y;!-DtMX$o_pZA zKHzx@o*M$5VR%{so=JFG1D-7|$4IdEwELip__Kd#?(-I-_YzI;OuVf3Em^?x`+@NTw3t9KF z6BzkpT59gGC;_4d4_U7=2QJ(u0h5EjFQWpqxye}zsdTT(!Xh` zKg-8XViczuo=W^Z!^3oB!qBlF+OQg4X_>UtBK)5SqoT`!X?I|*0%pAfa}O|agiogR zBrqio%sarWc3}4Y8lDfgm~yEdk~y?qUjSy>V#4cwIWUDFBrEexz{r}h$uJKC(`7N? zk7GPw( z#bjlE5SUg6=2>6{9GKq$BNIz9txtd{a$t6Az^~mMm@;72I4~=K8FgU34oud@$;*5z zFtQ3^vU)uVOtS;?8Zdnh%m!el9GI=XfluQ%NnV}u@fyu!A zbF%h%8kjPRDZ@a);Pww4t8W9-YBAw+!W1wA4$Su7L|{zv$;xveFhvf`nZT@cU^;+V zmS>>t z;>w?_%)`LcIWXCc=Chq-aZUkd#1ZE@U@~zdk*w}70<+v=!t4HbV45A69lvEhXG>P* zDqyA@ajpfX05>+t;@k&}d~TBrvmO}vTq0TB<5!#agURBQ1Cxmxn`D^p0#ok5yar5@ z#gwOno$otdgZmbX3Ga()VB+|wAz67I0H(x&`3*3u9hl9UaNpv<90$y}19K%XIk@pl zR_0D%Djk^DfN6DLJ_TmLfyuoV&5s-7WaT*xm?DcQ1tAs591DE=U0@n4CVX!BB{1t8 zm`{P3w3zUI-{;%-3=KEoNy`jOjm3nQ=i9(^Ixs&2X2gN{6EIoZCNIy{*I{mPU`l~$ zc3>_7rq6-74VWnh=6PTW@XjMy8-4_gte2Mzvs*LT(1H09FvAYaEx=@Kmt5;u&W(Vd4VEP=Gl<#2vv6wP6 zXqtbONJ-J_#X?|87A7zADZs3DV6F#dtpoEgFyju)tH9)BCoj)`fT?s~_V_Nwp#yU& zFar)u6EL#fQnGXTNnnZ`n12AX(t%mjigD<`EC*)PV#53MGGOv{NnYmrfvI+227zg} znDWr^lXf#c194yu1g2ouaCl&a`1T<~(3VEGAs*r@-Xyl|0Tnz*JdGcz@;G zj``VQ!pn0MFryC4CBS6mB`?qYz$~|zVw|U`Nak3enRa|9WijF9IRuz~2c`j-X^SaC z^fXnl_X$q}Q@Xd7IUj%L@@#Sku5lI<9_Kh<)>%w=obLfMW-;OQ8UiMJA1zNf=0Ctx zI53Cbi8i#D@bX*&%sPt+*J=l5gT;i$c@dcGeUq2@pTJZ&FgxCbGCMGb0@Lrn$c_-x z4$S4i6rwuj91QQ%^}sY(OnCeJ9he>m=9mu5Ee_1(z~t_ykycpKIMv({pYL(i{Uftj$F@Ou3cnBoJpJmHvs z0MlYI;dS5cZj2uX=4fE19hgR73JbJ6;qCJTFpU-yUY@@Kv(ACp`W~Ev4oo31c?W8F z!nM8tOtr;?_t$;Etg)EzID^29Ixt&z;#zP}^751cv)qBX0hne7<`rQ29GH#n#l4mT zvoA0O2PZG{$-vY)FxLRn>A*Y&%&-IV2VgP^lb2`X`*8p4!0Z7`qs4^xdo3^n78Bm@ zHv%JDkte%vc@CH&2j*j7Ryr^T+>deSz+48*r~~sLFj-5Im-%zos0R2Z1R#Bzc)%0;bl1`6Do$4$P)Mz&LbZ_68;cLn4OmPm={ZLhhrk0;bYp z!pG0|foXMMJ_KgKf!Xx|6yZ=UPq@~Jz!W(!Hv+TLfq5DjS=r6hJzQ%Vm{A92zXvf6 z4@(~B9AK6^Fn0jc?7+MSOrHa@Xbr}p19KWM1xu5cxfK{${ViGhJONCn1M?v;!wyWw z4>1mL3z1Cg^T3ojFjoT8Xfb7>Hb67XV;StHp{4HQ=9het^ z>2zRZzvE$x$;PWq(aFJMiyz@T#FFIYISiQmBa>q;0!CIqOE#YG1g67*c@dZ)2j*YE zq%TXZweOGdUf6+I0ZfAfa}O{*4$KF@OgJ#xKZ5r}MHNbRROn7KvHcf$4H!-UepGfmzgp=VWl%N;VGbfhl)j27qaDV6q;=@7f%g zI$$Opm>&R>e_Zl1%W5Sx4$S_KV?K0Xt^{Vtf$0M#{rI`dqdU}Zyq)e)fANY7F5xZe zH~$&FFSyQ}!D*>Eq33dr#o$@}R6s(G0$|oYt8wxJ2%V$Ow-|lqe{cO$ihAwPMvK?V0(jIw?MPsv@$Ssz=BvM$nqR(-2==s_FjYN&5)Yog!-EJouT4^Poc$<^yD zMp@UxGlkhr>X(+fh1HRaYV}!+vi<>2_OFz+Stx7YpDRXLXTh@)XN%F=87yv9S>M-S zlr;uVm1TwZMaC0~QPv^wbmK0~Xk7v=Zo^d;qpTmplZ|^R!wT1W%VLx@s122(p8f2l zQ9XB%=M%&kKr&i5L1r8pF!xEtXq-1F&VR_Wk4$Oap)7fRJm|TWJPS9PjVXhA*)v+g zM*KOh5IZ(jSd40|f@l0LWt{;AxBM*@qpWUtnvhIu>;{&$K_d6I#V9Ma7iXMhm4>o* zwismar7V&?CFt20ijbUeL4c zQ<#zN*D~*hO$MmK}lt*8LWvtasrVaEPD60hX%7A6b3Bat2EJj&3!&9?f+t3bNPfWFbW--bdhNsT5!bgkj z{cbSI+O`k(62DNbtxbje|5dBRVw6=2Prqe_m$1WPl=U(^gAUdw7Ne{^!D#JCUczH6 zMp-N1$$eE>;jMAC#VG4;c&2TCgT} z*&f-0IEaz`g@Yd1J2>c(eSw1>+4DE(k^Ovw9(ndK=#hPRgC5yqH|UZ5bAuk)8#m~Y zeQ$#v+0!=Yk^O3e9@&dF=#hP9gC5yKHt3Q4VS^so`!(p1eO-ed*|Rn1k^NYMo|0W= zd)}mLlkBV-WO=)$dM+IsvLk8`BfFgjJzM3>_FO`q=g70wqS-9jIn*4gF1vyTJ+k9x z&{Inu*{L&#$=`jpM|Ri@Vr2Ksphun$3VLMM%b-Vgvc&$Dl`cYz%s2H^!hxc3KR2WEaJt=ZEBx-4BBp+1W7Yku~9i9$D`_ z=#jPDgC1F@J?N1YeS;oZtvBeAm3V_5S!Flqkri}<9$6hX=#iCggC1GcHt3NRYl9wH zjW+0!m1ct;Sw%MJkriTt9$Ec0=#iCOgC1G6HRzEQS%V%~Z8hkTHBo~eS?@IHk+n>N zo`2&C61z>WO?Ha+Knr~APR}Yv&(nk88NXFocH#@LPPQ0jT?9|}bIRHm=u}@J#lH8r z*)GunE?=7Ne}2;K{m6 zwd6!ng+%Tli&56I@HB2?KGRK0Eda|-*!FYY-vKjqr$(0Pn4Zc|drrbsj9~%`Gqmi$Gr)^Nn6MirH zfW;_l2%bEvwY90V|G$o?9ni)GqpZ{5>3CSRP6%b)WHHL>gQwJLN&6=b)%uggC~GT> z!D0ui)MAuX4^NvdVYpV8#VG4lc(NbSddUr(mMf8)v>0V&zLb)Zv#0iH1-fy_R!sZ! z5MU~8WSO<}FNw%i7Ne0H;3=}K@R4w@#VG3+@Cp$?Uv8-HM1IcJB z?Dn!^lyxLL6SkdY4#$x84`p3pG0N(MXZQv!;g^BmSV>mv35!wItMIgUDC>++)?X|} zSsUY=D7;Zw$jtcuR7;p+G0IvDPqQuI{$SbD)Ryopi&0h+JnJmW-d=lkQ>}FtqpUx` zlV@3Hd1(DlS+Q3XqpWOrs;}32VM+-p;WCR+maJZG4)w@t;X#kA1RnIrD&Ikmtl%B= z$U56WkF22`^vL?yLC-lTVcUsPb}i4P@H`Xnd;^}+$F!z6(L&ChTP#N7biq@-pPrSn zB2KA_TmCv=UJPix1W(pUGs`my&uszEG(3$M5^@I5wSxCHzrrklwocS*4|w8e>x8EW zo;?GebK%KCTPHBLz_S@zHsSdNJlmrk6P`_8H5HSwVrG>Zc#3g?BrvzaGZpYW3eRsa z*blLHzygxiOk0xh*!D5spE0dc;Jzoc=*v65MHdwvO zVw5F&U=G+aUkw(|Bnw_sjIs`ar`58;wW=*fS+Xv=In*QTIrZ5xV``dlr>8CUuEi*8 z@vqThoA~3$3n*)+n?o_OE_%=-Yn}%^vYvU+^Jw*K&t1^UyH0y&JN>g~wS>R37**ft zbzDJum9+|JZq*YkMp<8nXU&t!x(lpLt)6PFwHRfM!c%IsP}C5uZE-<07-by^PrmKJ zv%%u)&ZQQktaf;^ENfe^c#OSZG0OTIJaNm~B9yiJ8;VhutPO4s^~k#4LC+~*mH$** zEDwL=@;qQM8b?+F55|#IzJnfF!8_>r2yyBjPOf#pn~G7b8hEB(Qr2b~)U(>B*ISIT zeh5#2)mjQP*Xw%r$GZSd6kBhbQk|Wz~Zl z_jKext=FF|Mp+AovG!x&%>6Pvot+xz+hB8?R*TU%eeirI5GUnrJYj<7HP@7M#4$5D zGB&q8FtSctGR!`}WMalKts(uzEq{!~XnD?nr{yta9S#Um$UbwajPM-4 z?PV3&*8?6|A+|g)1IP-o%L5)+X|^KZk(Fjo3wW-A=k$Q*9(cYG@W?8%l>v{eB3l*k z$SShc0gtQ@YYsgNWcAmeM^<(XdSunsphs3@4SHm?)u88TwCeU~qeP3n4Nvf{`y+S~ zchtHHsCn;zv5eewfa!5y zt^sDkf$0J!_w!nwaw)m3lQzNtFe@#l2!BUK{X;Q-1!j!{vp2@qr~`8zFj**ujGVdJ z=Mi9*J23A8(`+%}^;+}}&Nzz+uh)sdq@R$yJYNT<)MCQ5+JR|xV4eVG#A3qB^JidW zpR8oFkbLfX19K!W zT^18Q*IfY2hy&9JOy=oYp71z-0H)l5+5JPzhYrli!1OvWHvlu~z&s61{udl&2ByY= z$@l~2LkH$iV1^u+Gl5C3OkSRE15;`-n5;tX6MBJZvzYMf;BSB#bzr7}$^W93Cwz?U zG>&=0Vv10nR3vjO@NF3|-40A6Fk=qPy})FDNy`(iCBI^;u$Tc9VJ9t)wJ%GYGm^*o z0GJ8~W}81^{&8TA0H)u8sRL%(V#-j?G+S0}!-s$=Ju`Wk-vXw=V#4Dr`4h@xG2w04 z0L-+-6r(KMr;h?tbe5JUe2h&1(_}H>TD$)l=d#6wYn=wnl*NR{xel0uYAsJV<{4mW z9he;^@E*j0ISrU$2j-i=WUNSDo?c+e9GDM)X>?$+{(^4<9hj4W+2Fw308HN5TIT$e zuzr6CmR`xc^ znp>-sv{Fb*c0UZL7p^6(SOl$d(wd?+jFHwF(i)A>h83hWNm}wDK(Jn2q_sYRRuySY zkk(XuwpIsebw$vsA+0ge+CW-uq}32XtB$nBNlSKK3$|f9X|+bsswb@x(i$hN7Sbw> zpw&QH8%S%Kv^q(vH-c6ZX^oQB1ZlOBR&@le7ShT-cUJE#9?zKNDQuaozGM>jEqfGZZylYqzEQJM22lzAmZE~dz% zo6OQ$l8w7%S8H@q=X#2qMUmy5rmF{IROa;*xt=1IM`(>fDswwU zE~dzN5k_4DMJ}Vr6Lh9jMmSSODDoPLTux<{cgL=FUQ3Z1C~^)(u8+`zJruc-BIi=% zmI#s8QRF6yoJWznB1CSa$mJAy1NC5CgdVJ?$R!kcj3O6Ah}=h!TPSh?wT65b=^7hd z6nP~@&ZNls5h4#$Rrz5n+C`In3$jd2mZ-mGdbf)CcnbJ>@3nH8;auY=! zj1W1WB2Q7|4vJh7p$7{ovh1%HZ09bDTo)m72}Mq)$ZIL`K!nJ}6gf_jyD4&4gvdn{ zIYyD!P~@fvkxMCZ21V|n$m0+QioA{@r$jhY3Mle4jk->XTp6Lv75FAJFvb?k zv+)5>VL!f13V4=`?Vz5yzXNJpIs3bJPdts&CNA*~70Y9+0*2wEegRYY2`bS<;KA6!FP`S`lr z)O7B8jgeL{X~jvao3zRzXibn-Cym288iyG)4mTX;uGb`K6;i$AVfDaBDD0woWkjgg zG-=hIGb{4qLYkw7AstX(QeCc|x%(@2+3a~U3MSv*bSu#@UF6k!~ulU5sPRZ|y??#iW%*=hxVl+CDlTHq-gF zrc5z&w_z!16;r+B_h^A0EbOLw^)6D(+*;+N)kj(_q*XxUa9}UDRt0ITB&}l78l`%T z?C#d8BCToC8X&DYs+a8YX4-k~Hmo77y0ev~_W(sSHb&^0(!5Mrb8FR+Rtn7^{OYWGOAZ5)oUHqYpBFsuLjauLt6Eul||=QS*csAiL}~jY}8O2#;Fb0@8Q;J zA*~LoS1r{mo$57mlv}HfwBj^2hNxbvsa~b~xV1V+D@I!DNvoc;G9zepkyaLIjgeL} zX=Uu|u2(l{4O4%0QGb~y-vu844t zJxN-fq*X^+8KhMoL2H_{Dpt&DvBf#`zOtXRvWt{8_xTmOeD?dVW*Qr^CPuKorf6)) zPe}}BZmo3EYA3B4(u&jA7>uBmNm?m14hKnVC28gE>aJHdX~jruJ!#dGR&fNaT+-^G zHmoJBbkZ7+(1!V>)k#`)q$R&g4~&*2v4!fHdu$YvRy}FSZ{!1!3&&_2=0%vJib-oV zX_b=JIBAte&?+S@`DIX`7K>vvAFiP`T)9YDbGKnRX_e9(l|ge9mNp2MXGurCdgj)u zAgyX@!+dJP_1uOLu9a1!)v-cLsPBntX+BJ+_YNb6DQoU})sU9_QYqM9Bh-eCH1`fI zR@U5Fb)=O^TBD@ZL|Ri3wCYK#jQUH~zzNi}a2@qmeT46B8c3^+>Qzns6{G&DkI-LD zq%}qL>Zf|u()rcBi`ISa{%RquNz&>gts2s5jG)y#(dclw^lc4O;8)Iqc*IfHq4IDhCQU! zMOrIKE0eUUB3vtbNvoaOu!hdBIGtazW2_lbbN5$2X-&}eYaLy`s_2?h72z|YLDI^m zaX3!z^;%GczoK{nbVNRYv{Qva_ns-G(Ei)kOUzYl{VHQ8-Cse(X@i z%&j#>TFsL^D9@7NW7IQuf5ont{r)TCTxIFK%}B;9Phlf{N8j@$#mudhPFgM0 zUzOBf)2SNSFVB*!ozye8Rwik6lh$g|%BHc=8DT!mCapHoswS-%X|+VCS1xIdl2$iq zEhnws2xC5s`HKm)bDa)x| z6M3pW_c$yityY>hs%YMjW$1$Ss)%r{EGMme(wd?+?4UM`AEN4W*QD zhDfWEv`R^<_GGtKH)*XWtq#&EA+4eaT0NvyPxD3z%^PEMT~5z+*Q=Mbx=3p!X=TzJ zRkNpCtDm&eNo$zyHyWs3jk~(F21zSUT0^9@nzSk+Xbq88CTWe5RugFrMbH`{Em<)s zFxM^ar~ay?{_2hJ9(Ihh#z<=|X;qL`W(2JX(ppF7R}-CId31i&M3^@wNvof zT0(ul9M72LDeR_kxcW53%-x3hq?J!vQ#9r~NK4kVG^1s1twPf3r~8doYQsWm!_tG? zTE(PQK=qoYdUaC01|!s~l(dGZUY%60QmR+x!R~sMlUDuN%F?k>LSuf6-s`PfrmVU9 ztAex!==^G-fwVS|RxfE)lU757dw?d=T1#3Dq?JQj z>3eBS=N|Jdq%}q7azCBRwRA4G7rM3DNNbX``bevWv}z(~b&ysQwc&DV!%4a>59PY+ z)kRu)H0CGiTyCc^UmD?D?k24=(#oXsYaMBgL^!{CNUMk1u#ws@m&RdngmKtQS~YaP zQ9z&D4AI!=j_`a`KWVL}ao9oQu!OWWM7S;wlGXsVVH>q!5w&4nggI)6v~p=|Y@l)2 zMq?v8!Z;iut>tuG&L*usx-OR-={~>4NGpZ325D@pB(2=fxwR%pYm)k_kNT^I`l}ZVIaIF+s#h!3tLzAOy{1X4ipEAB%^QO>Ha2|Ttrc4}`~6oN)vKDuMvS!Dk8*3J zlU5b=S044(-~x@T_W)D7sb}tMWhQBjlU5IDRnpifjxaW|NoyTxHIY^xY1KuTdvi&v zm$aHmE1$I5BWUH5RtagPlh#`5uhB*B{wgG`3>t?cbbd9``L#B}yirVAC8Q<$c43ew zo|Z3MOIl4OikW*HmXg*mT`Rk&US*`Urr51jPFhV=ujN#)Nz%%Sa4uJnRwHSZlhy{( zN{OIVMOqCs4$Ej9PAt$C(|Myd!u??lY30_;inDkF&An}#%)%_GtWeL~W226=GR{$! zzF!`pHf*H1w=%+KU-hJwNm`?%)kIp25wsdeYYp{RJ@r>s+N`=S>DW^}bGKm=X?2s< zYSPLkt)U27Eu_^!TD7E=PFmA@xa-wMT9wpaxik(3sK2^D@7C%dt!6rxD`;#?(YaiF zlv}Hdw7N)ZC23_+y>d$3THT~IMQzwmZCFd^a{DQ6tsc@EBdxWhRY6)CB7E1@OIic; z3``q+j$K6a;reWMz4}ROn%;j6(EG1CdjB<8=++t}tqHnTuA^&Z6^+B%!`)g#q%}@j zJ)~7hTBQ-RMo4Rd#>P4t8?rYghJE4=WJy7UaX3a=F}i-OC#`zYik+(JbI-jKq?JNi zgQT^Rv_>P;Ym&6asK3@ye^pR_Wku+(Y0_FxS{LjgFYQyFTpR<*cRx4>$krpQ1S#xjg9_pF9zsgCgmb3~?1!?7ERs(6tu4-ta#J$AgR=Phdq;a?=!u??rY1Pq~FQPF& z!del=d<$u1o;|x>qcqPq(L6sC;WMH((#j>R4W!jZT6ITg3FjX39i-JrZCFlixPjWR zHP@}xMOw>AE1R_XNULc#w^lc4$2yA69tD~IkyCurVirF&7? zN7a;YZmnL@Dk7~IJp;3bw8~C%YxR>>25F5@y&6fYKEnO{AZfMH+*?grG13}1(Os`0 z(rPEI8q$iBR?10ktr60yCarwZT2I%MjB>Zu7-_|5%&(_;qn^fmX@ogyg0$kKHAGsg zNvk%3)+A{)Q5!C&Hk_n396!O`hSQ|gN?KKb0eRcNxuRhXhA*}+^S`*

wBUN5uOLg zC9N8|eihL5YiPl2t%}{%Gxt27Pg-%BdxvOjtfsM%7h&!#B&`u@!!^`~<#a9&MED$_ zn6%PKYnbju8>qj!7rWcAl(a@ktDEYzoa!|ZpB{dKTgtA@0ONvn&r%1Emyf>s@A<=L93LsR?|2v zrExe;Wtq#(vC9QNizlu(BYgLd|J!zGY))>{RFhaemNNa-nYaR7h74_G2 zg#M}_ty;QP7Si==n6w6q+-+D#T7y)tcB)q~Y4slD)~YA14W!jeTGgag7~wgh2GVMw zu~A85W17ZBeT2EUiM0BtUM*Cw0;*SLgf?s;tpU<%BdsFRYL1}QMp`K}4hKnVC23_u z_>8E7w5I7EV1Vuc>Zre(j&+a2F49V&u`x(mD@m&-f>t+a4W6kb)a!CPeGgl_U>0V{ zRJMBNzApEWRz3As3C$a0)L%sr)@SP_tsbgZBh@RH>$T8buYS_%Bdr$FDj==Z5!!H& zw1!Blle9`nt9oa5y@p7uiux;$`fHH-tE$MYH9}hJsa_pauM(thR_0sjLneJ`!=^nc&!uOT=q*YO)y{y--9D2^7pR~$OSJvFuuR_wArg{xfz3ND- z_Y}8QF=^#dy(USkowOPvJS$R4TIsz*yT2+(Yc*+=lGZqBtzYKWsv@lt8XM`u3gRg+deX{{%%{N3I4Y9OtZXDds`d@+rUQ5qYa%ak?u zIBX)VcG9XLtvHR1zN6e)Eu@uB<8YX?8c3@!!t)z#q%}!7HRd;*r?h~)#vW7F4D@R_pqa+)kO6g-^Z=hO(x(ME!2jURIh1j!{R;MT7#sOMc3sqn&+G8 zx;%EOTWg54vPo;4v|30jJ;FV}2x(2yywOKmHKesN!oAHHX%&-JoV2=Wj;e@ot(+jO z5^BSAx<6b?^=gao9PA`%rIXe$)vJND$|H=8Y0|1Btz4?t0BO}lxbKa9ZT9=GEIOCR z=v;25b2;S*_n1#7tr0qx*U-6KPIJ^?glkGBX;siT%%T43r*XLQ^X__OlU6IWVHI7M z<%@OvOFVb6q~R#_%zgdJC9MY1DkH54>aX!-ZmoRMT2A9Io5o=ujlHMmt{#qa5S&?$ms-$}5QoRO9 zt2N5|FVZTcv5`V!ql@}$I>Pm?RfKvqkk&Yz%RO{1S5mz)BAm-jq}5E< zlnNRfQwy|r^nFUo9_pEU?rkBhQL0xr)oVG`YcRqZ3vHy8L-WQ2egD-O(^_b~RxeS{ z-1X`pttuLac{C0Osa~xS#$gv}bx|9xq&CbXtu-gP>(xzKB{XlOQyZ>L)5zL}HTmk9 zyA69tYaR7h6V3B^H1~E!cs8|{w0cRanY8jrt1p69KWSx=))=*6GmXRS2;*>&wANC8 zHIP;gX)TZN8`UAwT25Noq}4}bBV#`u8~fLvyShFlC50nbQRF;|JV=q(Mu^-(=V>LK zr_+4ye8FAjeu~^mkqhHC&IL6q>R040*--ia@OD1%brj{^KY^k}Di(-PAWDFM1wze9 z(>8^GZIhIQrfqBjloB{ilhfvu)8vqxv?)XlQm{g~ROr5Sz$Hp^aKAAm;&6AH zN|oz>Cao9!VU_StQG|QS0by&4Xv_Vg-zXOSMtg*QgD1r|wgssuS)?VSmK4Ggr!dk2< zVXINtDiY<|A#9C~upXdB*g7EUYm2C_X3=l#i_mX02wRQ9R*|r^L&V0`GxEn_v#@n^ zkxHfRDLcipUWa(rTX>a972I#M2wO*ltsTNvyRcOi!B)GlH6VQ0AbfaO_;6>0{xB_U zm5P4js2Bqbihg55gfT!?*h-6XRf=*AiE<4^=r{U>t$tyvPS`pmY^{&r!&SmoyBOP) ziLuRI5gQc^`Qvbnu+<>SHBOXkyC~PX2<>Z~u(e5)D=W%1PK??1&&^-1L1C*@%x@eO zjTg3#2wUrgt;5CnZEY5|HVYs22_F^-AI2K<+uAB@Z4tKmg{@*? zt2lzK?ZQ@BtIDD3dyk2GAMO-Bth_XTxsC~2^M$PvVQZJL zm5pF)RKNH6t4`P|6t=brTiZ(Wmurl$m1$F{RKHOr`l$V)zJ?l9s^B;*6t>dBR;92t zBy6pXV5>;j>JUDx5I)=|d{`HueU%7Xm7?DmCHjp`qTlF<&~KCqTWL|QN>Q#MQLerS z<*E?2vclFhVe5dfwJU4hma`BG{@Cw)%ywI$`UOur>Ch`D4C8*lG~A z#tB>7g{_07`E4}|TNNS>V+_;8)DRnzW?@JVCEJB6)cJij%< zy01ZDt4`P|6t=brTl*r|+9+%_2wUTXt?k0ru?V&{3tM|ceGQ8GnkMRNQ-s*qDr}8T zdh2ViC|93&Q?qb^N)uPsST#ell*h2g^)pR#t2&n zgb!B>TVr*<8eFahVQZ|gbx_z^BW%?~u+=PVl?hv;g%3ANTM>NNB5aKlwhoJOtrND^ zMJQLhuvI8*9TK+I3R`^#{J10GY`UkXH#4D)51sz;Ke1T9lb_<)@vz|cJLQkD*p{5kaj`@q(bt}6rB4TF zLv@T)U2fJZMAaC-{G^Y69F^GJX1Vyx5OH0c7}f zAe0?43l=OW3X`dx*IY8cVLHh>UiBLZyD|$XzkxEaMLOu`=7(~|N8S^<-A9)VP(sfc z$2+!oz9+c0swt(h{7CF!n3Rzp>7r_yOnWJ{=t$+pAmoKmP6 zk4pUa3HZlP56My6c^-Lo+fErF@uRkrS)tm_a{NUa`H$L8&pg$3Ci089zo;%c@sC>< z#h|=!%0F+~{#m@Nt37EPG#vj>)Hw4$+>DbO=^yDs5+wipZv_ARPWz`1Dg6EOnD$Qv zsTM!-&p&DZoQvx`cVj{wCE3PSkW$Kaq zYx%spR4voJMEsE8Ml8X%Tk7-Wpzljkji8M-M*0!uQ)oB9Gk$(`;QuOIu{K)La5}66{$?-`s-OoFz zzKK)~Diu99k>=QXO-Sfj$WZI#ap>BjJU@hM3mJ1w{gwsmKFvaJ29`s%;KwcuM9x%Y#^t1oJ~@<;VG?h;jB z{yiJj*M~o&>Z==n@%SOFR9|;qt6F88kob|Gw`)It7}vR*V z@9~#ZMeU1r;Q0Wq9$&Bv|34c4@E^6Wi|$eFYXNE(|MUE)-e1=B-i52u7PYUVx_$l4 zSEd>=2P3qvUd%STPpp)YPSltAsrp)Yr<;r8WmfczN0j!JhH59G3S_y-D%ID5(){hK z?_Sluet;D6ZbkL=&~>VP(bJ9mk)P|~L$@Epf*FsWMcLIm%_%!?bZuhoO783F&FGRT z7n)QwLOUaqD+?6~ep}I@{H!!j*(Gdk5w@Czt>y@}GQ!plVQZ7HMbDnRTqO}~^(k9O z?fng>y=Rd41pMP0hq<*P+Lnw=J@cmZXg~LfvUiBGj}c{Gdz$vfJF>o7Snm_oi-h&3 z-X-h(!g^X*A1kb{f0wMc3+v6o`cc$5kGh${`hbeDVbyzDSZ@*5sbk>Q7YpmFM`XQ2 zSf4Mf9}{K2R#;ys7+~ak`&ZcgAfavc5%F-yp2l2xYHsca6yUps>D1ST7USe?k#`9L5 z)%uN)va9~^|6{o->QsIC%pa;!Y*e)zEHh=Rij4xAk>fv6u3?R=8kJ@>#-7xm@}o$_ zVHo5wKiNcGE?Q!D<(@rRfUuW}TCrdQ$b%m>)a5$X&^W$s_ze2eJnLrWq}lJPgwQ z67^cep)(bAs-*u9?}L=XXP{r_xu6P!8=SDTt()X@DSYl1bp1(PE}DUXqjKe?YwGtb zdBZ6?$2in~Tk%~D;t_4Oaf z^Nw;a*(b;WK@JOYOpwtNy_yRJDHfzukV-*n1Zfn6PM+l@?GPj@$bcYg1X(Z0MnSd+ zvR#l}g3u|zJkR?DIV8wYK}J=0^^6syNRaV@&`G8|Khp$h5M;g}?SfP2vR0Ul^}J3Gz+p&khCCuf~*o`tssMf zY!YOvAUg!vEyzAW4hV8skYj?3p6soQLP3fJDHWtrkQzZ61!)naLy)W>1A?p(WW698 z1=%9Vc0qOtvR9D(f*ca$s34=Jcs)N>kRn0G3sND-G(l(w4Bki07o=T~j3E7jtQKUQ zAR7ePEC_uk&U3g^kUfIXuA4m7K|ziP607v48Y9R!K}rOnvog8$DnaT5X%=LmAZbDR z1X(4>T0sT{*(AtTL3Rj2r;ziK?i1vIAcqAxCdlZiUd?n;C%08BNU0!n-Z4*ABS@nl zErQUQq+Cx{kO4vH#7~}Ty&xL}*&@hxL3RnUSCIXJ91`THAfqnx=6S3jMS_eMq(YEs zf;0#+Uyyb|GJ^CAvRaUJf@~0Evmo09*(t~#L52i5D98~(VwZb8KSq#of|LkSCPw4hnKakl1vu=f?;#PLL8o z$^@wrq)w1#K^6*<7Nk#*Rf5oYf4pBE6l9YiTLsx6$ZkRQ335P?!-51jrr` z@~TU|4dR}GRh%O)f)p)KGKoZ6vM-g5xobg{%x@r5cCwP89G?6}EcV^Cb`HmbxT`Ew zs>?y#eJ>SR2(o4crBdV*ASI{Rnm-Ss_6TzG{3u8nK4CMZ_z}p1XWQ0a0V&iuOk{eq zZ5^%M?)o}q>-0axVmoF`kG=hNELZkPAbYcRep*1*F>)_R8h$ojeF&uZLfiV2AnKG- zH_v|n*+0h4^9TMMi_uydqvv9f^_X=;nC0?Q4KnR?n=AksWO{A~SUod`rsq|Vb+_92Ipr_-%7)2Y1hSb$cpb<#7U2s)9(~Hr&vFp82H5q+T_9?Ib(ib~ z8DN@E{43)5ep}B4Ae;N_99{=PKhojqSq##4j-Be$ASJzas&9d;d&!ph9?0NITjsYQ z6;Vp0ZQj4RX^DZL$I6 z@9@0Q{1k|~GrFbN4bnHomiaFbI-kg-dJBYprvEAZ8~%i5(+jh8@5nV!FZ^xtO7eCVxMY#*~;4x&zTa5Z;>9AJ9x2XViWP_`Zh z8D!D>Ly)UJYnS~MkTh%EM?uuOCfC-<$58LAb}j~Scl}ki>OsceVD~MHKsK(l%e5Lr ztrB!Ke;MQ$E5!>SV|wgVqyCOwOUD@1($jAO**fhX_~wymt_4wFX}P6X1X98BJOH9jtafEKgZ!EG>Mw(&*&XEXAiG$ePsJVa zAj?l2WH-ytY>-R7Yu9NT$N}d0`$4K0c?#qZEBmV;|M?kP&)-1?Z?)^a+K#IC zN{~V3)mD&o7uk9~0kRG8Y>g8@N^i3@zX7t7MMAuwAXdnFtvZnVzhY~?9wf^=mIZP5 zDpxuDJjfxI!{9(yOfT&&T-Tb@(a;(ADbH;lMVrpfhD{~ph4yJh#hs1E_b?DrbT$WOaHYh`U}?*;)>=z0lUP24u|j zww`Z*Y+z-74n(cNbIbK}kYk7qWBqlIAy$JYo`~9k9wSo;vYFL;3WR><-aQDS&Xsm; zy#TVC#q&QvvMe@E!X|cySuGcX6tOt`I7kWWdv6Abeb}z$J3(4lD|iazgWs|}_A*E* ztDVd6d3nPEyQFhL)LQSy)wZ5~kS)yCCXhZ|nn^gCKtYR(h2@v|3+Sv)Rncantk_@Zm zQ$J7;oBeNg4og9@tly{sp}8g#QOiN-XWG|V5c*cp$UFx!?FL)sS0KAtNe_e6u~M9g z4~jRl`uZ41A#1q_kTV{z^L!h~RdJhq9;BK1@EMRb8In=8^D~hBEYEL%&=xeNTqmDW z5PN-`t+@nb2fOdw05St!C7-yp+y_EGQx|ep! zwX6py2cbPhO}VN;UccMcd?N_Y&oYoK^q4%a0{O$mHhCCikhPQ-Kt}c3wvK`vVO~A$ zw1U_!7C*Hh1FV)4AVaK9SAZ0;QhW!*J#Ssr*DpXuv8UsIfK;%EIvt+|XLJqH&bR5r ztOgAPh&lsO!aTp4QZbKh1iAfG zJI^~nUY%l-UxCbL{y74&>3myeEIy@dXLp%WkRvSW=7J1fW~aItWH%e7d=g|mYn59- z+%JPDR%xyhN@MPq$SQhwK;{5z1qVUqv-W-rWQgVPtTQnxU@fi^q@Crt8Ki@0PJ`62 zR{3d=Qr6K9FrJo}UHT z&syahAf?FH3HZnD)!&D$Hjc3vJ0D~t^Wo(n1FQ|+1hSL)@L|%!$TJ}0SSelsImDvw zPaqvETK)mDhqaV3A3|(=g8ZX=cmc>>=J_g+W)>T>Kn}6`S_*QE)y^i6J*@2C198tV zQnr2qvXQm!!ysdr=SP1Sc|*@;V(dzght9R@v>Bx1&Ri)9J_!F)|HXedL#Bn**C1(T zt#T{Kd=?2Wg0!<7{t@Kktd}_99E?DipG!cV!C2k&qE~_pvKH40vYYjF_km1)z)tlz zNHgnKp9a~^BH`B{ds$@u8Dul_;gn*uK~~Zf$Oe|`6Cf?$wXNR|vX#}~V<1J$`b!{% zEq2>^4Md$&NPvT+F=sO^`k&a}eYx^Xl2> zxv^kcn9*%SV=Fu1TzEb-sIepDnJgfNXUS6uzU9dAQh}!^3B?lkST-5 zOgz5`;-1r|yzy(0P0V8jrRXzRBwPSe%F2E#$QV{TkAb{+zwNQ-KnhvZ?FWJ5t!LOj zfn0(d8b6P@6tm52ery8BAZy)MgYdWQ{U9Z*1|I`i#hx^O2GYzlA2$JWxhxXoTj{eP zvySPx5#$-xaz6vIh52wZ$X_3}%k=`tUfn}dH6I4q%%bIlkD?STHZB60&&qWj2(2D5 z9+U6idmxj}kc_Hj`8MZHlDW=~jX{uOER}pC{~~1evPgIp2G$=og6w21WhIEakB9R78j$l@gg*i@irw{o2(p2-)4d>v*f{DhAY<4a z?Stj0uM6!Q#z77HnNiL z0oljO^#;f;)?-hZgxX==xDlj-wcHHIZy&SEbw9`&rg;kpfYtl$AU)_4%pL6&kVfW> z6DAkL&cx8vwD%&AI#$xFL55hpuK-!c^85(MPUf-y1UZUS#`-@%wz75+p8`Eh^T$A% zS-Iwe{N+hIhgp!ltewjFx(6YX%}^@UQoaMSk(K=rNHMc@Y9%zY-na^6lWrG@M0+}& zNKp4`&1^S9=E2X}Ia~@d#QL#Mf|RnBvJPY|EBgkJ@yx3~05P@_i&u1~ux!uWwM>=k zWyl<6sg9rO&SIH5Jrksl+4=~`-^=VgUkOsk#v8LicC%EU0vQJ%nmWA?SrL| zecC36KvuIG6Wmmt zj;OLT{jq^~E5yZ0z?5kjvP!`9mOlebh4`n^+Mu4L9(nr+yv6WTFP%gRx!<^r=z3`Y&}IF>)7aY21pINSGI$cG0hKv zWSM`qfSmNKUCS?m@NvuEK+;U}1v5|=EP87}R_k7!df53S!^*xCWF2cM>p=Qh4xa(J z_gp(azW^y^*56Z&Qm~dg9i)NfXA#I*rM8}akUgw+z6PRpKXdzy9UxiOzWxR>z((Dt z))d4F9=A1@fwVL0O(44&=>@4d#je5IL5f&@{sm+WOLY)rG`pXUu0>t2R!{-5m&tU3 zRN(f2R+gK!z6Yc#LqxTdFM@P1>)Sz2VUh3)kY_7wJ%0o#fmSo~cKl3~l<8>z*~ad1 z{UCgW_Lo3P&#^Us2c&|vi(i5qWf6YDRVW3E-s?arSVYN{d)<&JX8r0{LH4ky+YPdt zMbwx&j4GM+kAZAqer^KkV`cve$kns$a{UOTg?ZzbAUj!}PpU`TVdJP85E_}AJ7W*X z9wxH^WDT?aGm!r&vaKHm8A2)C{yC9o?OxJGZPTv7w;|KQdYjX)E{GjhYU?QnDPpal z9^`|tZepwr1dkWa$p&s~>H^urN_r2-=kXHOr1~nzE++FQkP;RNADM+3gy&7F86XGP z$f^gVew!`xS&%|jU*86q#>n#^1B|=|GHk#2%T$5TD9+SZAIPKk z*)sQoY-D5gCy1P8%j^a@#Jq77WDSeVakC3z7qE6Z0p$IxE^0t_vp%y4WQg@G-5{%& z=C6ZXcd4D9ogk%bRPZ}W#Y!<@4*K~FrBZ!MJxDtvEg;kGu{AFTX=3%Y2BeRbeLKho z9laE_Lm+Q`%hq!gWD3I0#Kx&}3t|c8pYb4%RN69Ef~7;C3L0@=>SNUwoh^?+^tZ4i2AXRKe)h&wfF%Pk-XL#O|CTT=?8u#fa8KmQv@ zADf5V4YG~J;hP`}8*J<6e!L)d<3%>90@=&%DH)LMtOh>=LNBz9^^G9?tlxMFWGwT> z3#5l>eiLLpD{0w0=wyDr5@d*tU>AWD={D%D=xC*Yu%6BDflQq)yDQV~^hRdY$0o=O zykwXCDUcdgU;99|GC#k!39VqhU0=l@yIKEJ337n-kRJ!x(qgAdfebNQ_ktAZJX3l8 z6{L{e<9-aXv(V1-??LEiR)W0=(yu+1NYFWovzzN6WA4;rn(=l(`;gMdL8@4by8+}N ztDSp69=^-AE>}%I0-05;6u$%+!|t%}y9PdF)+<1EGH+Z1vW`X6T_6Lj6!(MdVlnm{ z$TSesPG1HY#q^wdE!r~E^I?#7mY>-~Sf6@JWhre_n#X4X!B3bK>M&s!ihjErkR z&wssLic3Hyf7bR-4agy8eFezHQ*D{GAWxiSldpmFF(3W{q>$ZZM&0PnlbC2Z8)Ucc zkrFG~deX_x*fw_eoB^3C=AU+uh3wvx1zE>h!NVZ*Gc9fl$N?tv0?6ebu}iTZghm&p zmfv#|qJ_m+Daa8P8#6)1va;U*GX4}>PZs3-XKY*l1X99k=bIqytnK_5q=nh~9mpEi znodlh4`=fv9|37+_sXk4MzK1*8DvmwskQO%bd@umj|b z=WIO#Alq~fsdhGj9AW*>4v?`dGG7NNVW~dUhG$sjjmto~Kumww3^Iz<&MJ^qY`pOl z$uRPBkbTV7F_2OwQ`wHY6^oYZLDH<(S_MM;nVUZ0L6D8}?RtL-WGB=7KOl{)ot~6L zEwg)5HOPOn*1Z6vk$IyBguH63-wiUvY;6Hq!~FbLkQ!}0(bk&AvC1*`qz@H`a~2~K zShRcsq=ng93$l&bdI4k)YfT40HnLWE))J5#lz$S5#dKzUY!zaJ#x?HPMy|P?j#M2e zyBVD}gN$c6yc=Y`)=W|N2-#ved=ca*^M+jMJ*ora1Qt;jgZ!}6j=Jd}8CDlJfb3;= z&$~djGV9+4aZgxKe%=YPW3H|FS0Ec%`}#A;z?rtp`%<_QvFM!#vYw3w`#?6bSls~9 z!bT2HfT+DbT+jamWDV;#{ti;Z?%iiE#VCd4a0191m)SYI8f1v+Sqn0rdHzQrg-o-2 z`}P`S_Oh|@NjJMAPZRTJfebO3%RqLsSWSUU+G^+UR*()BKaYaYw|J(<{w>HtMnO8ud#8$ zl^`(|2@N27nKzOk3t42|53-g;)H5K3OwTJI4eY-2HptjZ?fi_Ii2jh3tLT=3*q0{Q zGL;}z3+x(f0HGe+)ahc7RjfyP0OV`PAxf9)`5y<_&D!#Rg79y|eg`s*`SAVSh=kin zkBW_pLAJ9#{CbchAGK{Q2Przm&f%RP?M(AGK#sBe{1~M4R@>GeK{m6J9@hhpu^9Uh z5msMiAV*kz-2`$1dPw7qJ`g?&@pX`$tWNiUG&4Pa1*u}KsW6MX9xIpJW8reh3~jKj zUk9=Ukzi_Y704K-=X)T7%=52-(435E-EV;$VR@EssV?Y6o)HDc*2h4~SnXU7GK$5| zr$N5X>hz1GhvnySkY;u-cm|}O^_jl`DP;Gilb54TS-npJ$uK?jAlq5FIzjpv`5ed& z*5aN6DPn#2Yov$Om;7?`^cCnKTWtT7fpoAu%X#BlAhVCjJPty$-^S0oLDHOqkG7ueDJuONq+_5TG)v${C>R%m8p{_!Bc zyxO*21G1G_PlAkQEq5(QF{`id5@FU~1{uR-3U2e>Pd@}Qn#JKfkP4RSlOXfHV(0Kn zAcL$Q`!|riEQg0cHl1guI?V z&wzZr(oXerkZH)FiG;%-1FWR7T<3qHAohRI;!LV5Kz5*KGriV)kS8%WXk_H>ADxh) zHfU1a2|{afO#Ava$PpILzXUnJ{QM`7cGe2c`y_f2CUYgoI@WL81oFatb`JYMR4tA=I~Xd+R5VQgaLR1t=rUT5y(+y zD-N=Y)!-bEN_N+~1LWh6+j<5;HjT5%zk(df*d^T!QpkFV*FZ{H&;K^a2JJ(*KX&2m zxI0{DN7R)d+gP6Gft0ZLNr9BIsJk2Fc}ypovOhvHtoQp7NIUb`5D3i)o4WX4kkKs9 zCx05xm8@JBfjmFiw)HWPLp*vx{)y!$3v%MwcB-`?=gqRocR*tBkIByuK#u5$O7x_b zbhf5r8`vD|e?z9BkMyXhJNpjwNUS$518HG>l>9RBX2|fbI|o3rEH=Ie!dC_V4+!7Y z?JbZyCfemXeHHp2+|f+AkTa%P?{&2<@(8awvO%sgR+cx#M?&9K6Af-p_*6Fpqs3gxa9#GhYEovv?kL7kU6z z(o&H1Y%Fm-$YW>NUd@0sv$qwiKu&G2WxffrhDER3A>?_;Y-Rn=Z$N5TM4k8<@BG;1 zAoK)n%5@#c5KFZhWRSJfO&~?g8@~c+WF8y68a*2uft(9c$Yiboxj$}~Gy~GW-u>MT zvhY&7PS=A>W4-Z@Kn^g?M?t7tH?@4qXA!+D)#V_Ktah#e>0zt0ZU!l1CA}X6w+rj7 z$3~D1>@Kqlq?twdUqE89X;yxYyBlkbSR9T8+0JTk5eW4prgrWI`Q`-MV_yX+WbyMP zNFDNQTES}|1FYr#4P=NtQ_E+WbML{u5?(cWt^nD~V!j20e@7<2@Ixzz39hSDM~T!ZRcM=_Otr>70EC?e+Aje^8CL0 zQSa;?R}3(Ku&uU3NWVdLjUkk_BK{j(UP z)#Y}d`2t8g^Upz$QOx?}&lkjga+Y0+kAsY79=jc66syxmK~8_fPW2qf z4mMBn3dkD7hUvB5{{=(~tM|zuXR?Yeu{F1W?932RqtjI&e_(y@BOu#ZAN5O+ z`9HMvybkhT$Pe{QZm)I1dOYti&zFMiWu8xfe3s>BB?vu@nqK`2AVaK{p9Lvq?c(Pk zEll&@K+b8j^Yg(kBA!{Q86e+muw^a|{0gA0SH*EvD>p7rq0K zIl@NN@B0$!i`|>1g4~NhGiy&B~PmsbcwA2{OPue<#Q$)=NADGM{;MD@d00 zhcAOPvr<$H7Q}vBWcz0p$Wc}oi$Hd>i25W5&GVZWdlZD`giUMucaY_?Y|VcFX=mOz z@gej`YHU-0&dRAd{LA{C2N|kQGkU)aq=?;9nnBjG2=4~j#qKieNQU`m2gtGO?NaOo z*?f_m=Rbnb&scvOYNBSKc{a%KhI*L1f-aG{tA#f*3YLv=uMxApI#7p4{N-!732u(NnQe}VrBme z$aB9@;|gAAbFjr9#6TUdYiBFHwD=ffZindXZhK}50q%myiDwY(Cf zg|+vMB!m1=q`5x)9_eAN`xhYNSbj!5ivDN3o$A9Nr?ET!B#_rY-3UP z&mh;a9^l&`g~*+$iywk)VLm(p^2CL9eooqmUX;aQ8OSu2DhaZId380&F(&f_NDsUYL4?K+(Wa*Va6+d;;%vVRSvokj0=KrR?eN_aNg~ zp3is;Pk$>Ym1=|XZF@Cj>RA7i1lhu(z36?A8O7@TQPRvt4)VLUpFw67yIU1Jj(TUcBX>NW0-1j1 z=cOR;t+GqH4rCqE^Bs^etd@TRvXGVZFCa&eXVV5h@O9j+SnI9;*~s*?fbjh)Zy}<+ zfjzG}S1iTO_H5Q@5HhP+FR=%NzM(VLkAhS%^5Jh_48ZzlxvskjGBnR-Vk`qv#Ab>A z8RQTv#lM4`dA99CxrX6qkZER-aPns4kVSYk$ahf$6LmL$jD}YYxdmhm%a2@r^ay15 zTZX+LS=Rp?|1Slxd+xF|j{{l7a##&ghW2Gfo;QH(W>I%LNS1l^Nstm&U%v(!&F*?{ zf@Iho|GnQt?XW(x1f+$LnIO%qr%iwyVzqo1NSa0O*FlP%lB&M$X%KY|lzT^e8RP&f zSJAi71F#6c9HfD@olk?bGq1{LkS8HS{h^7>{{mUWdhCKNm=9;3KNlp!Jaz@h#LwIH zbv;NKE0p-@$ z_P!Qm2dkZJAn6-y>o0+nF#r5F$Yz!w`G$Ag6KD}gW!mXSK^j=kzYt`+?&lMk?o?-X zacmEZy4xXhfZ3Au^)<*0GH<*BvYipRI_vL{*~ISMW1mFSF>jQDe2KO0YLHUq!#0qX z4Ar2D-W4ExHRc+Sx9+iP`7w}z)i&8d1pYA|`yI$w=CSu|g*RATTmjO^`lucdzHaBM zAQh|!coAeBYbpN&($C8N!S5iVST8XRWHifxxvx@oo zIS`tKFeTjsvH^2whWr6!h{c$k*E;@RG4pn*ZT(D;v)K&8M?vWAiRq(SKr+l@D?xU% zIJ_UEjQK~Nl=nDfXq;g3{2L;y?VP{OZOg`bCCGNx+guHjWvOlh;ct%aCK=X?J`K`` zRL1&?AfJre-uOMp5tizdr@iCVYLFj2ZKqlQLO(R#+%r)Uz|c zI3DB>3U2DF9;9u8?H~EYQ#)j`?B0C`$UYYH-vZgj$X<{t=GDJ}#F$L|cB~Kpp&D`T z=1Gu3=CL&(4Qwp&G{}B-FOWU<_bC;NjX!`?G5?JHH)U=ri}GY-@M6Hxo-FmUQ+el6`H-Og7ckndoTkY)>bu1rt~r>^L0>`pCj%_iehuIZ%OZJ*J*cyY43rZwAIQ=U_jUrH&APhQb?RWh4c z(O2Eoxx68@sLjcSA67}`K>IbFscIYmTOF@m;pEzbv}omuzNRb&D{5s|l5r_B+&YRUlp}Js>&JbiB=quhht4&A~3lR2H z98^hTQ=4= z9U=vlD{}sE%_ykF<7F%Q8qkf+XzfWN4`|7=k}IiAIYkY=suj$#Wh&8`RCo1uW>rN7 zUDV0Z0X3y=P3kbW|Mn3|rJQ^jDF7`t1-)YzrU0}u2DBLEX?k~e>&kjdR6t*G-E3`f z-g4vdoc4yU&Lui0k{bPX0%Jf>onTf|b}DuK3A!~_&@Eqi+wX!-MxDCTf3_z(v#G_Y zB=z5_4+y*%l012fX-+b|x@r`l+pg|PclCDXV$JQ>;t~fW(UH%Si12rfXxF2iSj6at zgRiQo_q0B$Cr(WQgbgm$FKb;wEhU$GFVx~NJ0q1{)|#<1Vi6^nyVo{jAkvd=r9qR` zcQnPF4n41oQZ}a?1B+_xrJKSx>P_fYpst~-?PjO0=f%+;JQtnTH0(tA&FMG})XiHB zyw%*%oosEdoZDHGOeeD{rhPAH`}*qSxt+68>2$7Ee6Vkl+P;+Psq7>W-C1M5}@sHyE{pIMIUfiWPme%h6J2_I9>qySh!p`CZV-C?9S} zv&8Y*+0onJMj3}WpJICJ%I(9=Y=TlLZ^F)9Ox~x>h(L`pq-i#i>G>3?#A6ZIe zc+M=~$J5uTPnO$wYJx-CQ!nXUc zsG=vIkXFYVeSFgN_Vz}6WJZmQoQamEa^jR{7~%mYrr@Ed6Zf6kR4O&QDZ#oKREF!l z#@u5HHy1f+e$ykj9GWt(;n37H4i74EXu<%q@v@p!PuqyyJ*?!>vJhGdS$t0pLQ_Y| zK&Xa%_Cpf~nJ;hdUU_Y6I)&R`S9h(xrTR6dAkhHTQ=z%BwcBZ=A#K!GOsn+oT-(yM zjXV%}#)qFu8zi-ES4Cs|DNV`j9C|#lMzq>BdBn8JSstC}3>}^B_&fM&kb?MR-JM?B z+MQ~(o>f`qedPj`(y*X9okDfd)2!~Nxke$R)$uY;u7;lOWUc$G8+0uoal9N}r%cr0 zNw|mlVf=i&?k^Wj>AYFF2k@ry1pb#_yEj3QnaRleY)lgyPwJF@M#98HO|< zHsOiFcp0Jr#Rv%nE)lM%)tMm)h$%;rmIzctLC+oQ+kTv&_sWGUWxfef>X8dnN>fNP zl0DgLQ+T0(huGleAJ+X(mWDck*9=lGz7P6oQ~O; zYI+dC93e*TZs7YmJ5LgA%9Ce$)-_`%H!kvs7*)s-xfQP#Y2?*R(W<7loq=A+b)$se zou|TwUY#@*;iJm$UNoHKItyO!m!T`DZp~zSargIcDO^G;;*Oiz*qzK^@K{q_I}g)E zHPt>DmNHtICs*ZkkEuy6ZtYEHr)Tj#Z&7bHiAPhvU@bh(WQe7 zIK!akuC8=5<~@9)#Ul}@hz8Cz-Cdbx9GpUPb$*c-7eZPc_iDU??s7EU?nXmxhfnM9 z5eiZ_1s$(PqnkmGSzkG=)a&jt3|4y6?YYL`wupd`Di4wkR9=oO&q&Vg&Gw|)lcu}( zcWimEbdZ7gR2Z0^$)s00_x>4O-B=ajuRTaqtL9#u7)L13vJv-wGDmIIm}Fx@aYfe`(4Cg|&K~v#E1C!^T?dvR2{A zVSH*$7ra7)X6vcOzmWup2B|KOdsNpYQ%gE9yXV&egM|atmwD7*muknt0e|g5vRXO! zY`hYUvc40q!dlbh8s|YG^l36Yfi|NDL;95rCsGid37$NzOQC33tAoi=$|)iD&!vtt zwUWHjeg^8$kfR&OBdraXf!`DaSlxAVYE;=TiqowsY zb{i+tznsNaSS6*+2TA!UjMFH?)e4Nn)Y(nfp*+>SJ=v~hGnUNg?(I>F zT=EL}sGA6NSj>er2<|9*Qe91TBBAESjmBU(skFQjO5Hn0Bkq^2=&_QP4YyCws`E-~ z1IFRyQ(ys(%-xu1;4Owz(WSKkou_yO^w)M{_Hf3Mx~{I9Ya3m^c-u&TlvG$=MxveV z7{DgG-MdC(m#TklHc(0{q$PW~dkkN{;KPFwQ4iD5x+s~JGooCJzo1s@tRJ*8L-P%; zUe)V+)LGZH5>J7g`9McWGgc|)%V3DKHsH-=oSyiM1^=uHp+I$k!ybA7^x6&-*6OkH zDd$n$uX~V>DBf8uTZT94we#qWwfciztvT^(6od$BwX=+P;A50iwg_@ssgb4b3yUkd zTUXRJHoDFayy%lWUhbryoowx)r&FoIN5DsoUc_T;^94N4yZ_nA&ffZLav8NWnj5M& zlO^!8?^SJ!USAj)TH7`U=OxfuCwnm(`dQ3eJ4mUUM-@Qwn4od0@7>X)Ge#kzY>^pc z2?p76yHE*hm4#Q8epimb_vomYiFL+k&YrSGkqgXuysR3tL)j!b)^#iW7gZJ(EHy3{ z#=LnNPWr%1=v4?_?R;gCxT;nj_+Bv95Jgm3xxPN_1Ox>TgTCUE@#KS-?5<-}g^Tq5 zQbr+eP1bCbvtCiJB=gKknTw&xjl+C&bEo0;4rLq$-GbYH8yko;Cyuk-X?{x#xX_es zs7xeMSfZKAnusN*(k+LkmP`q~&{(yum=bxR5l3De?Fd+rNpT@CneMhIYV2gZ^HNu< z{aixH*acxtT@}{Y1(PgSYPt%~HR{n!%6j4IEb(xb#p;J2tWKtNj(YO7sUr6Z?_Jbq zGU*8hRyI3)Jf1{e)KzcU@IE}eKvwZ}yL(rZnNraU&@AQy+Nf4}VEJDPNorgol6ss% z&yCuu*Da`icg4A1mFqfwXDW0l%2BO!ht55uCA;gFE$hwFLJ;Up&cza=Gk!Dk+)d!ccwEqv*noW zp~)L3#GKUtGv%2%}JM2`dY^q*@6sW;uBsryYPCjkn z0!mF@o1WMTv>K^0YgDX6Q$tT1-k31=%Akv?XwI6~>W;2doAZEZ5>ljbtdo#V=aQD@ zL<-`qu>C2An_59FPLCRxq*>H8qpNQ?qo-73)5JvBsQF!0>YH6v7H4&XRSd;-BD$$# zAc$pC-E^m+VQ<*{2c)&Ecy52Ubm`2|y-u5RM&xo1BwWPmVuUiQZxPo5r$M~td<28D zKb(mSr{=uYhNB=j8>;J?BZ|!*>*ZzD6Zl`z7I9B;s!g{?2DBKpFElMzG_KaK`arCN z_fWhOOC)AqGpD+_elC4+uXQR*6Y=c=rc~^Bb;hJ&*8`NGGjRtsSQ$$X&F)tTKH35V z1JpJp^6B$RXhn|uod-L(kKJ$muXtO5oz%F_c~Ehm7jtUk-i2|_EmhbMV!;{BzqW=# z-p*fW3T?J{&hP+R@<0TAFmB7L6{j@Dw|vF67?Bzj_jnuB&-s6bvd z&YA$N*zE(XX)~t>2(l0%?E-tSr_E6Q^CS-J{OmC@SLoZ21M}{c)}9J95Vq9SI}T?# z3riTmY}o48aJykCwc$VyRa}$7M?6Wrh}L~UHVkoX!Wu4X$Cw*5ZYe~uQi)%*dvqTe z)2aKa*X`UVsi&!9;=!zC%B?-B*Ec$A?jeexo$EaERtfS*8fQfjG=9$~t(_D_(5OYv z*4Wya^t|E_)IWjlxM<*_)$slvBN*q3x8o&F+gaH2_NXX#vQCXwMv&6v6t|Pn8*tP9VYO2?{5wpbM5A<}Oj3%x#E=~B}iuK@zsrMBPQ=MA_=WOOsJEtiz ziEfq2TBinlauOu2O^5==kp#1m?&c8gG`IWT9%Ca)sLlFhwbEUF=ag(V*4ARNl|9|e z-R3W>)yvEwfi9KOu0BQc)_FWYI7B^`GHUf$(SwD4U7fYm%xY5I?#>rZ4as~(NT|*F zIBk;SwCTOsjycI>JD>CC9`ljZievfS%QB9T+i z4Fw+83H9?K)dQy{ivALxNMia_mV|ez=qOzy-d_5 zLw%KiTHM*XVoq1*+!gr5%N@5;e_cLhdizo=N5tch`>nA1&Sq|R3Qf6o9z7f7*3!~a zmJz1cnef+vFNWL?IK-zR!;xo3u-v*KiLbCsLGk)*IySReuHJ@`>ToHo&~crVRre%( zDMQP(@M6r`3}(1#@)@Jg?^G642kT!1k7Pc@!RyW4v9hn5f)nL4H?_X!+Eh;pFL}dD z!Q`~kfD%{CZJLjcZ+2HZZAzuSIgBi<)dy5sd?NH?a~Y*Rx9l)LzYx~y{S%ba*Vglr zneJo{qA1xuGlk9X{62Yq2g!97f=cKv>c_V{$z-R#6IwGP{|{?5KHIBpoSbc4GP~(2^?>E%G>@oOP21_X z2_{1~s@1(~k)u>A36=0sL>pt()VtpZ}~MqgQ?Ocd)VW6oH1~_rwTGyju1!dORt>X+n(yea2@v}EVjZr zELt=wJ{|Cc?J!aYW&qs)rZ@4?{K#@JjO0OCh@+0ZZk1^l8g7F?sNiY z=2_IkX|hqffu@lIcC6OV=lNu!D8sWZwtH5)IR+cma$2c=DvnRWy!7%UEoRK^s@>+d zsdkW5G=;G5-pWGFI#ODp^QI!eiEYcV6}7s-hB#8mMN(RU_W^3fVVH3xXOvQdcJ2tY zezUoCNj<*-*0$jb3A5pQMBxziG`=@;`uL#4F`mavo1k>^2$Y3s@r59!4DmN@clzYXsiO_zMbkims5jtuo_c>Xu zJS0Pt>pLTQTMKHnc^dhoHf$}Vo^hkfYUTM_XMED!PSbj+>z?P|F}s70JWV*dw#XTr zGB>xYl=Bp4Hl>Y}pTNZ0yiYfSVT&=7uYLLpYxQ~EPtToZw_SIKbn~})HqPhx2zqp; ze9DYlhm{R7o3G#@DYPMEI(J$OE#!1>kF%4@=4LP(x|}}M@xP~5%)~?@b_LIE?D)>L zm?3q&=ds2bZP$zUOzdKw3ShEmrQS6b>^g2_dbJZx35tvM;#vD5^*}kz`W`P7409M@ z>!*RE`e2lXIrcT`7S!g`h>zU1@Ro$L^}5`q-jb*%9exs7e8WcP68T>@w;SK&d=wO* zLan_Bxoo1ODI`kZU1wIwDpr#Zt7o&3ws6pediwf@&vdh7VOQ&Yr@mQo#4lHlk*p=) zR*iV?Wl1zSt_zcJa$#;JYA8tM;;;T{ZMFVgWOyRSS6nAkDJ)u7os#mjo+jj!G*rT@ zSF#j0g?1qDf3D@SB+ zaCdPZ^QQ-kvwgk3c z!J&IS+3sHFxSK?oyT+)2I=1Nzjdjx#&GV+uX=>;6aA^RnojcP>RZar4r(ac@@YhNw-LUI*^J<&w<~G#0sZka7 zRm(CB&M?bAckw(r&e7Q=pbN{~-K@e1xm=kGdVm+X@235P?EP{_lu(hYLnZPjCvxg_o8gQ3u=a+ zrFeW^a#`2%$&oaLD5)c7AUs-w+oQ=uxCS$fXrd=0GmJL3b1rOv0PWp^m-W-t8L+mP z_gq&`2He57^9sgJWsZGy7cKjy^3AqAR)$(cuG&A2=?^@Vl}RCx=_^WEr{)43uWIKG zQ!VC*Rd$7P#!giYyeh+sdLAdOJ~NdkwlB-nzUQHG1t^g3(WiN&^ckkM3!Im^{2WuR z#(Bn9=bZXhuzemWQ;mXpP9J5L;bL|IDYJ|AVjnMRCCv3$YN?w%VwC9#Jh2mT;!cqB z^F?`p1g4hth77w;i1wMEEGt^V9Pb%;T^-tKl{;WQQy<*v)n%Q`$u4;xgk^j7f6#`* zLXT*~LJeleS>G$4*J(|7*x8tobht0m)bXEq1s5q5tzgAOgg(2{PDC?C!#|VK))02Q zWE44Pjg3{`G($IngCdzJeITOGk&jFerFUMBt79G6#k|KjGHE{D6AwG3F(h5|^AjzX z1)fM4etKi1Y=obv7n}*txqu_iiAT@7lbsQ3DGxX*FvOMqhx^%%^Y3@U4)_!1%+tN^ zaeYkQbMOFdR+l@AFHFq&;L;IKQ}m7xjr zC%AYiO7BI(Oobjw7h=&#$4*JpR=GV-EqG3wV_EYRHK)bdx$%nnofsFY+eyIk@4d-* zTk6dd$%9MDJqj4GJ0!SUV`QGs0L+ zc$5z7ATK*}-hz3K>as<-NuQ&*Y+;Yyz`fyFp}dLkooV1PTPz{ZTKHx?A-VKF=gLmt zF%*1$m7U02tl%@OSfM=0yys4ZSnxSk%9iGZ@H;gsMB4vEC_8~C-|C}PaVk_;VZ+XE z3b7ShkH40{(|iKNy=V5= zVx~v|=k~CC8tIVZc6`<6KSak)X8e)wAf15h1s#v$FCKiXjh!jaVh0&j9)W<66= z^H=N>YFJi1s>9Be3DYl+jR}*E){kOxRjuctrTQ$}=33avE-ViwNwhn-k@uY35|9zU z^H=N)7=3b1(pi$KRvyv{7JcXnGe(b_e1&4z)}jzrD%sAWOeM{&DJfdJggG;0G+Va{aJ)B9aJN$>_F)#h@{nsatMg$iqHjjuSysFnMw}Jzi-(cz z*3SwP^l5=l=mv>K8r7&W2Pu&qb!5rBs)gGERSQXL@wHyUEL}s7RNg)1?G}Je%i33- z`CngB8*;I$bbEex_jtFnWo!2}|E}%B&a9%BbT(0@zAf+2%PX9B?Bz``^1+u^lMGSn zZCO#|@C)}-N5Us)P`7N;a#r&yo!|E3A%X}e_Ys|M2_BL{>gQm?rz!My}6lDpG^8~x6RUsjEY-|yY0Q5pMVfyBC?Oc z>I+?}cR1d0)`0MBerY5ovu<^P?2C{*J4W+6Vc;&#V)-cZY+$nJ?+b#mUmp3*L6C;H z+>x1O-nt`b$N5w{_3PgKmSxT3kyCy+rQ5K(DKfX7FNJrk5wL99vLSPYc+^`4`}AA( zWf@rX072Updjr|;!2-E(2lG6vsO9NRJpCUe9UMj<$+)T`TnTVA^p^hp!A*RGvT znu@UBE~{-H%K^LLhT9F=KUL4L-T`+lkgrB3J1i`L z-iMAQpcf!~8!vh^)tj;EZDv?8oY#RYl`|M=U7lLvd~+?fn6p!3)HlK%<7_RFHhvpU z0vQa$oq_flpXPZAlqsZVouRkywrZ?&J_%8?_O_u>tCGgtG~MUauYaPDW@7d=W7!Ky zVeLd_M`lP0vAvj`F0=_)TZ~1`-ej{Qm~93PKWsliy(<_qlkaQNVeHRk=Ov%o;BB^S zEj|&1uE1xjt>G0Z%Fb7LvVpNp(C$|(FKBJ=a6c=i&UrHE!0YGsx@0<&>~=p!lKn)0 zoSd)m?G1dkHLyP&XE_S^9Nb4mo{2sGi1E=AeWowE%E(iHkyQqNh0WX-Szn${qU~_? z*NNW2o!)2L0I z%h})h`+C!7#HU=-nZ<^@xqXf4Rzc5k%a_i#3XQl0JYkzT_~p$$>vs_tm*rteI1 zJ14KOPSXp#Y7}7qnCnP`o7(Az+>hoZH;FI^!0A8BT5%RdcdBy<&Gx$Pm_s8!pG!Ka zb3d>J)QFRe242+3>Hck}p5DErcNsROb8_Id?R@<|ycF7ybHn$uMEUg^!U)^Revqwz zG}>4>qR7wIOoRsx)W-Qx9}>9; z$9B}UOP4k-Rhyo>od6m_++RlLObg;Od)k33|l(0i}8Tz zO~CDj$VsJ>lHIL1Qe&xGPuiBjK1n=#*}8p(8Oth9)4aObf6V!+VGcUoI&8I-PIhB! z(1erk2x2-99$6X!(adtHtG6eQ+8|M*8htBHR={h%p00H6jGyY(bb4-QJ$4Gq^$65G zhYE$M!{_JD(^5lMSEjZTr#9dqax^~8Xnx)Gh_n(ZZJ2TF5UdS3AGt@j9VKa)Y2IbSiiW4UiJg=mOsXHJ}|C*}5V&h~S3fMes#MhX>ERm^C*e&CDpU zFX^P_va@;~^ZvQ9shOj8f-{PopVA7n)tXdKCf&Lcr>G^V7sC|7wW;=G7ya$koliW- z#2id5El)OgB$ts1-JkiX4-(a?>CTwRIPP$|UMJt~QHTMTbkbQ$0QGIs=BhH_nogXH zQY)}&I20bz`Gt*ovzTjAM zzL{%CF2+e^88ynHI0zEZ_C0DU;MaMnB^}urT{w1lnZGJ2q}4H-@UAJ1of&l@BpENg z8o){QQqCyFkloo;Wif_or#d!L07JKN~ z^dz-AqBtyns=zY&Boz*EI($zYL`gSHqj??bZ<8g{CMnA5m*Mcd+$z#kYLVO5`d-k< zXyA{d?c9@V^-E#hh(az~ZOLECRJ#9l-LgV1>wG#(4rE514PxB${oGwC)uX3xv3#Vp z0sl-m!){x@GwxGo0XqJ2n%l@z=gYuE-1yz01brZclM&r7g~F@`CCOt7Uqbh^wPI}H z`p~~fmQWsb70oz?wU$~DjzY$0%z0@Ql5KzaJVr2o*NvWbNwU5TPadH;7otWrnhsTI znzHHoS}(Mtu7Fm42VJ*Yfxnp54EzO5V+*;SFSDjG1t@W5%GK?P-nw~8gqyWn??Kme z4txaEA}r@VDRryMT7`dXVLec1HC_c|lWtXnSEm%#>S--Mb%V|aL%LjEXv8s{q{Qhf zI$a?&Q6MguWR^mc#0!1JX_ud7Jj{zRww-fdAzyX$bUrCseGECW`1RGbviP0$T3M;VnuUB>gZHAOL1pV~_E(y;IL2iE@S*BTM0 zJmybl?IWqS5H&lF;^FIbJi>Wa=6IyhCi0zAYVuY-CHFwt)SJn4(P4wwVKb9X&O-z2 z!F*i2vauDDQ_0q4=Ag{n2;DB?2-Vcar_$4Yduz9C*6XYMOKUtHi1%P`qUnwG^_`2m zr0Xj(U76lA%IA~_g;dRgf)TrHsu&A=FK(T~m`^6ZGV9eupt77y6lJ_uXL~hdV4Y)6Cv`!M%ssEB2Zcz2(0Y3TC=u?dbUV{QPi3RKzY;} z!6<6ItAz3>_loB?v7*+=5c;jg6-&c63AbJ!2fA(e>P!|f>fs%Z^Y$)9@9t7QP1L!S z(Li@tiL^QQ0}{J~LtC1-#GKsdtXcEFu9XCsZ>K!B4>QlfiL^PNJh$h`v%YSWgm;#< z3nEEs#eNRMeIl^#hAEG!TyHOr8Vd-Hp#IxO2mD?hTv^g)sJve$11nZ!vb!BGqOd)F zbG;cwS|j6nHKf+JBYcfMxgw#5+UhCE59?}DN|Z29t;D=4a^q}2tdbZ-YEZ6CISLDmRv7Jw;R|W09u(mYt*9@O1B))3cR?c~1k}Y~-NvX{K%b2(r zO6Xmz#05OVE-D!oCNUW?Hd8B*m=xVm{g&i78oi@CkAa}HLCb*bm4EMODGyWR?VIIt z9$sMo8+Z6xov0c_6q#{z(2^OuEPB|STQrCTVM1yzsytq>Z82RjiM&-6|0?d|18*VQ1eR%ycNx#P`>VDY5d<3 zVp!_=Ix^sK!>2m^HOiLi^ZF2-h{vptkbcv|%7I~;eAMxIk;p1l&HC&2gZ!%RTR+>X zonCsvzUu!DFGyFu7jr@Bd|jim;rpJA6<9rZ%4!IlN6WnjVQK<})jJG3`ys-^$i|A= zfL9KYOsQ82OgQv80qtYBGxh9Y2+Bt|Jto7-g*$-?HsLlXwiaNEfO>)4*< zW(5mLVa-cNv>_&&Bc&U`3^CK||J)cj5y-+Lwq2$J=@hiEnbcp zevMLCMxR63ba!$IiO}`7OmAW_mbCPCCwrVj(*YM}@P~7@d7?W>Z{8Eh?rv9n1&;Mg zCYIrtzqdponKWl2b+tE!15;rGTnw0uVo6fb7Zi6Nzok(My4e3g(z)Y`B z*4o~#Mda0P=NM@w;G|46H#D{3seMsv+s(1e|1IrXo7za0ZRZc230mUiZySR==8O$o zz;+yeQ3xSy%?ODjA#9&tf7i;atjemcmc-1Cy<;1xRb7vKtbEpLvc6yZm#q1}_?F*U zAT)e;ee!WI99*0s>WM%9`}ErWz^>z|$#VFx#7&_?E?FJXza!CL`Mic3Rkq>x!-IqV zL2wnuz17$07GvOt^_xAc>F3$xVYHq-qt*#Kx0uT}y#oYaS@?*QwlULqc>fkMCGh3+ z@rhpz9-p3nXkKdq(5PyDri|1bs#~;2+MT8mHb)|lqI<>E11F~L1z6q9x+Pg_g}+E*VQ(e93F#WerVqc)B|$-+!n$Lx9zgZ^y7Bje`Da+6FZ{|b{uRmSfo`Wi{ZU&#uE{E5H50|II59c?x zLZd9?#BX#*<-`uc62!O} z((HWB7+faPI}!%c-f!u!xc9<79XwJSZ(sKN&aEcj(EhtnVrJ9EAc53yn~7u;BE5Y2 ztO=s_P(0>C<-u?Y`OxA4O4nPdZ6E)Zh4%Lwa=}H#2f6>a8jhZxV#$&`-|(1(YjEDy z(Ad@n+41>yc!Io+&nLHo;mOsN{O0(LJ6R`;zq~k zJ`7H82WR#!n*-$rtpt3o83#eS@c-nvm1seR(x~Egky5S0UP1!U5DRxFr13@M{jr=1 z|1AOR(TP{G(jnm>?{dPCg*Jylq=0{=b!Hq48uL*ro$LVrtn4^$qhWod_;QinmARfj zqCoZC%QZ&UuW$NPAvRhroqJd<1@LtuT#-9(S zGo-|T`l5nhSarCfk`m^7SoiuEJSrbgZWuh1CB2>&PJkyd2*tC?86oE3+3n@E7ex7Q zixPh;D6Mgzbi(~G`n6c23T+8F$TC41>BVQVHT}JCh8GP)!1(9S(cSc=>EYk2>2N$7 ztyV+!000_6j-EdO%D+I1X3}yytBi_GI*?7`zLskEVSU(l8yG|(ej-vo==4HB=6iFf z3zKa$3JE^py;LkVxSn2JN#lbw$%f70@CrQ#=)f_`gUMSmOh5oh9_E9K113CL*PJC$q_DHTj5AV=XYSb(gx4 z@rSp#kW$ng^&;MJ7zC#2boulUQa7?lI$_T^*$ID||3H&~;q_#N76~#!Z?6IZ__||` zEJ)4H>GvO#(cixVZoXgug_)-$>Hg|F4hzxZP(lR8G}uLt7X4;} zOo*9K@5l6M>Wnz8x^hIe|Kt4!;E4gvAKYsW1`D4ccVUHae#ZR`$mn$RL{ln}(GYn1 zA?SsYbeqGo1!n87HkECBSUUyGb>(w~G6M%FDE8k7%*Ii0vj5q0Yl|Ft@L}--b?Km5 zy!O-lyQrf3Sh%f;2>;o~Pl>)G@fPwuhxgp?cTmIt_Bc@f%ibK;_5aqB<>Xr(rH}i{+H$GNema8 z9mA^uq-ocG3e2bJ9MD`|N2vU;?(ak8@}w$~z<}xW`Q1Fz!60V7Q*N`mdR~5BOz#8Q zj|YKAF7N&V2ylDL!->CRT{I&J^72fZ9O^ZZxFu2qy8!3h25s->hK9RBPm%rPN+5xD z??J=|+yeueR#D(afs} zFnKQh;4O7$?RZlFQPW|wBN zoa6)?KNhsM)mnw80~@5R0d*>UKs1`K`BX5!m&dA!fp_g4Q03OW4kZXZ-Xg*Q5|4yU z^Wka$KL`I~-$B4cT9;E^ zBiC}aSTA$eMj_~$YjL=$*$%BJdq_|nA&3L+MzCJkRV1Z7Rs|fdFc&HBi!{ZC7hfW4 zURs$^6bDS7LiR;m38oGem!|eYTkJdy?b1}jA6@brxbAT?Cw$q|(F z`TzvDL`B?Jqr2HeIAIwauQoLjk;_xm%Quqq6A8O=M9rHD=i3f@?cmx(1VBHUD*_`{=vyA!^g86m0GS{(5oq+#KAER?{)|gca|1>xc6(K5Te&L$cHJ>KuipLHxmk zByVU(hx4svoPYT;@p}ugjKhFH<{qktD`#ZHbN+^d>mD8dxD}71zZT2kYJIo*h2Zw% zkj;{S$^DRogt`MwLucU`{e}8?Ox6&{KlV$ z!(IZ^KV04nhF{Jv&Mr012i1h5#T0I&`R)E3{uJ*~h`bbN8`_LMW76%8+T%OAgCo!2 zj;Ru(_5E})>P{e-0#_QNefEdW=-4_=69V5dz@>TrZv2h&oIm(ExA zw1cey@w4iKlnM=y3HJ@Jw#{pc47>)i(Za|Y0!&R~%rOI}RZ1G!$=em*M3-lg)@*b9_B{8o@Gn*5GkadaxnzSQHVQrGcdMc?rm>g-bajxgXb5uBMnkM}C~n zqsm2y9y^`vk_ZhWfi(<`k(x;j(Nl3FN$?euAIB{)+4jUiMZn8tIz52Utu z`Y?H!%rY*;9`?MuHu_CvuNrj&wt-t>ZZJ)3q$t1XaepJp`0;dp=FWiEa57q+%w_@Z zCaruV(h^c74@_XHLBh9lehj+fq%9AIXs7D;?6SM@R(gRqL@bH?cz!ALCT@S-48~R` z^OxyT@*c6)mS<*PdSNTL$HAF5sR3f7-W zDFU44ETgls4wYdy;xFF#8?&0&Ek8GcZdOlki;z-`j8)PZQC=M9HKwu{Nwx=2;EYuvtm#A$!;9?eZ*8isY z%#t-ddtTimopt!ISdJqWP($MM{rQKp7}_F{flsV&mhLomD{c#hFBJujTzRMiGw*h8 z8Ra(cIWA^1W>>6y=$Jc>YbGVM2`?100rbC^qMZsiK*E4=+S3J1Q7p~r9U9HY)5U5C zyLlp_IW8jyIj3TltAFvcTCW_;87&GXAs*W?+Y)#7m>+~YWC9dL&pNR>y}=r14Fm+C z6gK-ex;J4~uV)iY5j;_UzvFi1{N?eKq<`2K((9kkwB+<~qu14?aZc9L8AUjnLt?@CICaTtJQ1Bc`?e_cVhnb_n`CmzAAA&)$lqbtz z0QBmxPmBdXU%GSX4_T+u(vUgg)h|RU=X0~VH6|IulJ6=hCRq*!*o zMlhL3-GU}fF5phux|+iQ>1GoYCrgFf5*fmQaU+#{6S@<+nq$e?%NNoy2mvc}_2k=f zv7X;6*#zh+q124|vUy8_33nMX1ui!6v0G5*4q2`6r^4H&;nk)ePK!*Ld>UN7dqKXw zH^^g$9}br~6sC1Pi{AMPF1|7R1S8t163>lm^<=J+H>#eSyYnBVPxHs%W_12zFp%P? zq@@aLRHUvQPi67;_Q=`CXA768F|KEEgd2I5Vvs4 z&D4}>=~+PN4=xgui79MxcvLApDKYyhr$HtPL)Kaj{R$9~`(l6Fkp67a z(trsz&=}g)pi4!M{ILQPF#-YC?voEdKvFXQsqKo}nA$-Y%Jn_oEr6okbb!S&*1?8XVB_(yunC?cD;++2R^Ktb9ru+I{a)Dv(<5mszQMdipt*n52j!bif zgrb;vk02hRAs`GA4Km1qGC=+m>DXH59?Np<}bb8!S4*;J)Hc z%Yo5@QfkFUCriCKyn%OQ{9UX}1^U-KiuAYlI2%RR6Pg>imr)WoeFT%pHj+1rV{MoH zg)tEY2h0<{mj%GiA0OAxAp&i0F0o(&Ek`15a;%}JA~a$iHPdKd-`ouWV3qVjgK`o^ z-b83i3Jk5<*P+EY<(A5pt=-Nv9tuyHt+;kiE0yVB)=SdQ_GUD>)nT zKybA9v&;QPadJ5|96866qFMF0C&xBsXR5NxyIS&lm&Ab>pw|V=i-_#%KgVJW7N0~_ zN%^6$;?3#f(*DA3c>Q zPfdkXP;d@3Afz7jjH(!HriP%NG9atfFl~pa8wpf4U9A@5De};){tlx-fDtl9eD6J{ zB=Xm}^lj@4KKBJHy;!hPGi{qAg`}r5B>V>GFW9b78S8TGHYs8sZ5B<3kk*&!JxY~{{6Ga3)ij{PBG1AANf5GD zq(w8dRnqhI0w@Ho-k#h|5H2NzVeadSIu7gTeEuC-Fs`6HOtnX1Fihz&oVtz9a7pDD zX|$4+WiJOeK(|OeD__vDG+ap}FuyHVjU%5se*v zw+V}q;A{3nH?p*Sj*92lPtB|`S$V+|5@Qb`!&n2L40#~)vu*R!>uDulsn${uqYb8H zU!K;_jHT-ETutp5y|I$2q>{+ZG$LJmyy`TlM1V?s0CfL|dMY|JPo(+^n=K)<%25GS zI2LNf&t%#^#w&DfRl0FZK#CEc)HQDv63;1^U?X7Qsf&BOa_UAorK{AN+xcui4lX`b zDz!^^0|OhvTfx@n(Q-;p0Ih@U6QzxoQ}E~t79LuE6i$Y!%ZYXH;})&z!HEA1T3~nattIAw!Mz0i9LFU_6i|Y&FiZJIKVS? zRcU?jkA+;#w`g;t4Hjh%OpggN@V=W%Q)2*0$n^Gg^R>iBPxf?y&;*R~c8$;V^yYA= z|5d7X7^N${J51}z*9YNepXSq3WoeuDzY9#*C|sIiy{4~=LM}e*)`hUBY_L5D$M|f} zz8UNIE%Y%1?9t(lb%voqjWsu$;TcP*q2UNb6vpBINm6Z>PCUZ&02sfcO9?$eRO3Q~ zjkdPPMWrp$i?Z%wS$lemzl80Y<04(eq%+OS#?3VXx>NpoJ3;IUK$hma2KoRI`aS4_ zmbazDr`58tq?;-Pb%&8)Ghg9msu7LhbXYiN*Dr=xMe{PN)g6f=#GDzkWr~%HT0yJf z1nJguK8I3L%#hy7plvw#W_t>Hoa}|KgK4Y46tXmuW+nrBMr8HTm<9ubpgjO5%z~F> z<1?(Asr`b~olVH6V*{JQVb{tTkxj*V+40FvzwiPaBke$HAB4})Y6%&o2;9|DN&Q~K z&AeD6En9EB4~90hoe%F2CfkdF{}P7MmXNS9xo0&1{JWY*lZKI z6|0OhuEOWIg-%M8=|(s_#Rv!2qiN`}L7@YIub)S~xh+r)Cutl^D8Yu`8 z)Ep5?7GSI+@-rm4R2;-7TZTh#?{eO5YoXvR1*7uVA0!+Iu*`W!06`mNkPN^g(R6yf zyG;FKkZ_up+KvK|<-qi!WMQ(H?VC26U4c5jH4#EkX9-$DZX}OnniNj>YGwsLJHhP9W|L`YQMc|~)6BKyow1;<*5Z15A&E0O3m`S^ zhUJ998u;-cK%y>i?F2di0qx9rx-%mm+&Gxb} z3gOO-khicpH)Mnu#q&H+NgM-zkUMV#f>PtPYW6cldRWENZbzh`U%gLvFd!KArGNVT zlq{_zzhMuwRIeY%)oB zcehi*cn*bD6*h>f)qsYa6NOH$0`2WKE>AGp2YvGZWny{*jJMGe@iw$vl(BBVuOIJ% z$yNigyC)&oYtokSQi!wV;^}s@{D$f%$y-Q&r(Kq0Jxl7hVKz_ZV)QcoCY4uAL%$~R z|Lgiy+>Rc330J-fvQys7orQ%9|2uxD2&AaW8ncWj2yxA_3Cx&?NKEch;6zqh|?fdgf`vI&^c%1S8ELw_9BIA zL!aC9d9Vnw9I%GnL&YK}w0+##OtBUf+ten?gPHkezl|LAiY7TUVZtU*Mw$tOjZlQq z?Nmplx+hIXXf~hRl7aCCUoo~ca};Mdrc&4pVywjCuo2!nr>;U)3`?aETB>1O&^!()LfYWoNSYy+yxqe1YiC@=yw!|qpCSuc!wP-h z`GTy&04UBFr+*OK6crZ8Ed&YuN*`tvBY`AYD*vF>4C%#1xM8yY>oc<1Rg_93H ziAdKnV~sNh;pCmfpxF3iyT?0x82GkR;5G+RVwV7`2QP&ow*etIZ&9^7ys;W6FRLmr zmPre!wIsEt+BQ|QsTB;2>`}z|AkwN1`!^xMAwbC^Jas@PK2`uCK}qxraJ00NL~RW^ zZrxw3XS1kQ!N{Rsz6+~YGgI)S_T@DZoR)pRamX#FpLY|-Xj5|p5GNh;2_3Zid8=P} z1T|ogWShwflRm~pma#h2{~$|8;}=Pvd18s{5;dutCMN5<+31_3*0y$_^GVPP6nR8Y z5w;z5s0g@=(Y@{IN!palvf^wiR}ny{f?imsDy3*gCo8#>H>VtDF^QE-(T@Hb!eTQD zd0UNh;gSSnd>XY|2_x5n%*&P5kurwSc%Kgx05lG z6w%uxwB&N?|HuSzl6KS~c;#Lv;OXxRqPEtxs!0Oxj~9=0eMLnj_M-|f9rle3oB5fD z;R}*!^3K$8Pd8fi)V(4RYPuIJxJ}D5T^NrgbgSvI_e5m6gaIaEL>@nEcu7t`^tC3G z?ZpA)x1tG={_H^TDw@Iw=uUph*6F27IikclRf2Ce#bQ(GoD9A^VTwF6vQdLPKN&KI zSlLO-c^$R8thOnoU90=oXbZ($1o=@_z}M{u4e{qYWG^lg5ZhqMd7s7j65lh6ym#x2 z7O)m+xh0-ZDD>J}SulrOi=PWJ<(E&Mu^IZeVugD3{M= z0SCi3FwZ2cBLvHK(BedZ(8@aCJKemS%M4_AB%H0-DUl%PUz37!vb95x#DvSxff7(< z0@{K`8UH2zSG^WYwky%)RwpLIS2!91mp{4Up8 z1*eWpPI+R>GE5z;Vi_(D4O5K)0X8s=er1*7b#5_7wA`KAD$uc|=7e*M>Jr~!S)Phw z&Feb*y%M-LQs`-GcOMr6n^v>#LhP^yDmQ`?4|iBgD>o+|F2ltsJtj;8QXl#|PfE&u zAzem_G${}sGk1l&+a5HhUZ|pK+6`m#Be8 z+!{qd@PZ9n#(Cg{Eb7(R-9dN{#VpdE9l5%7fxOjY>O;n|A`cQm>5Q#3mt37a8SQc7 zj;4<`C@x_=cQA&haat=7=kyF4nW#?!zj|0L|4M9JoEVp<>J^M>Ng zYP>*gPb|O`XKn!h{T3ZhLgu-)_NmI`?l^(Ch!uw1pkKY-14xTmZGLZ`R{g_hkCNKY zyVP+X73l4$15)97ym1zNPFy09dtcUmYvYc17ioMD@WoseWTmF3_1JLyuOH_Fb~6w1 z*=e8Zc7v!=vugk(4M0_ODagEZo!WdhIkJM$W{+-H>6j8MSKfV`a-e`YG!G`984yB_ zogz?f!YwT|evN+PDnCG=Dy<|a6pij#sA~pMV>h=r9oiZnM~TJxtKFjS-**vIb#0n( zLvct#(i@y-NkKGUM$0GRb)>O|o04GmQcR|L4VrB+H|#X;n{8+4Fo(WaywtC{UJ1OD z%Qvy)qrpM$2f&YiQ>GR_I1XQGE>LD(!?&XnJ-T*gdYh%DM#MXL9OSRIfI^zIHAKqt zcskN8nL#g(7xS{&e#Il8bgNk=_FzR#!x0`*4YcPx^-5QE%I2hHdr6Yq8?Lmb{4jj~ zYBt$$r2@J#O1w6R@Bl9N#=pud;z!WwyaBe2_K$XmEq1Bra z@uniyn?kJOr5q+0&pQqyOJ6-N$B$3)`(C(%hT&MR{vha5wqj)TbZC&8Z$q!tD*{E| z!6WNYLMjq>e6A$0$J*S_EBaP_wZ8;!gK^Zn5e_fkfDgIBVDy*v zbmjud;{-@>lB^1Q!+U}}AljiPx$^{dFrtJ6n`7WjC9V8g42r!y@lA2NJ8Q zq*p0>R6OHN<>o4zbIU4bO6P155i5NPw}C1Gi4H)@Xk<2*yYWWTP=QI#4rW_mYAilu$QlZXTZ^L% z!6I6OUF}pni)pQsdg2Dt=VxcCaxNGU5e+!0B3e7g|{hzVTEGLJUe@uX*Yy%1^6ci)tk@AEV8;x13G>ZL>qM zlRvh^lEJ4&Y%F>{=RkaXSpB#cp5B%^sQVIYrCSYH@qNaYMYCK$Mmd~Kw~uguv>xo! z&Q}L0iA#_y;WfG{&s0$WMhy1kNPp7`MyKBBPnel`g4mKzVgVNUm zu?mz1S!8Kr1OxWcVkE>qr|3f<*QpC;2zNzo+T~K&Z@j;|Im%rB8ax2Dm%SX<_G%6E=k@>!y{icx33Cik8_+^DdIivyWpO&|=!Yo@igN{m9r|csg zmdAiqmJ+PY7=Q@v2loQO3(YGnSIPXBm+NQW-KHG0>0})o3juUj(h?@fgexRg;$LYQ zkV4?Y?zT%1saZy^5q~KK4$fE1lb&DBaSUkSqq!a%`$YpeTfgWyD)>fBkxE(+j0DRQ z%-kv+E=Ft|HrWDOflG)(9d7Kn0jmi$cA0aCTk!^fyTRSg5X`No`SWU&Dst zYXIQjH-T!o8QjK;NAN{Y*w~^Oo`~fXS&N;#(@}fj1lv^(P!jFSGHk-&;y@Ekt;Ro& z3{jlrm0*W54J#1^~DQz1kp~H{xDWyUb+?n$W5eD&ocz;~kK@fzA3bpAxnedx{ zeK1$S2z9L6boP!=RCB}%o%yFH;cp`t@)Wf&cN4^a<#`NoJ#^%}dby@Ig0zbYR}u!S zrf%U6R&x4=9GHUB+4wU!4 z;9f#-T$UB-9$AAqVm_ozEvra-(EP zISYE1bQqWUvB5_G>e34fbE9fF{y7>xp$uS%TiBl0(nJsPAmn^*v#7j$BA9SBu8^-F zjp+Dv+OLMt+U?fqkb|sxtwW9yw0@~uMySk+l^P_Y)>bdQw5wIv7ml6UCd4h_ZE`&a zP)afLrR|{RNGYJGqTCt9S5kJU{nKd%DP?w>AvITCXFGjL7BAo(WJcYkS0nBl$Q)N$ zW|OZM4Wh+7F0YmbPueWs9`AyJ4X);ftVpS%D-eIYuA_3PwbN_c3fm08CB=(tvHbFb z+Os)&RiVEDg+fK#qDm1bp*g?LZR=|z&~3qsO|=0-@ksz32#lU%{zVXVART$A!HJLxZ*42J8}{dNW7P zK*<9Opww9^M}^H#Q6fzGf#ll8!Ca1gX}fw-?ZN%=~oxKhMF zAhpW7OjXt8C`hfovO*p_W#N6U)a>s-zWA1I8Zn{4w{;(rs@xhOwAwSpO~vBW^J5L> z0KsE`a5~1Pbl_q4*jxS;e9Q@3oSQbDH1>>(>09I3U7#?AG9kj9F$*&cW-Gx$ToX+D zG)Xe(QM&b_1IAr7>%el40uQc&_3Zv?Iq5Deqg~|FtD_*rX5q~7+LEIr2+Ip`Rk0-i zAlus=tyT zm-@*>46#MbgD#_rpxkgTSIy57=h53gx&Ld;JzJJHVk5SsdkyMJ;W7m7uD(M^WL4@_ z$KOHfQ)+vX`v;m{IDU1S`qtIXQ6ohEr zc;~>_5MvvYW9L*@sPR~^R4KH0brglfdLonJKo@KTbP_PTbnLm3u?AVZ|{2YziYHE`-EGQkhl$RI<7X#h^+$!cV{?=doFqE zkJ$m|0W6>OzzIvxjdt~XwzNcM?c~sS(oh^x)~nPBRuq1%PB{HEBs);pszY%-AEQ~D zeA(meP*jNRO0mpQ6oo_I8k}aLzB_5HwW1D2O+3$lE?)mh#ZO{@238=`27=ZIm#y$CxPzD)P1z#WJiHX{>>0QXB-rU^kozn*1OfP2CPUN|ly zaBTms_=~cjdRnEq2~DlGO0HY!LvVi0K(1hW%#Y%b=%QlrVS)5>%L3ad4L{GMp7aC( zj(C|a)~k@9rJeZ_zYAAs_kmJ$t4BTm)QF>yuW;zTpFZD0GHF7%Jyv(4%FPgC2(J8& zQH>gh_c@!+2D81P&Px`weOt_^X}1xOC(9OG_H)AQMD4QuUzVdMk*!34{old{~KsSWxGj5_^trz)4^Z>kW()Hp@+Y~;i zmEVWsk8gWlPFWBxETGu6MSLlvxauN~{F>9GLLo7`lDKPer=(VmL}Mr?ggqFJpVq^N z2>=hgO{Ph37YkiJUYW*p5qkzZVY1XYHFJ?rutjEu)J59ADZK?Phn(pwT!@cD=qCHv zPKmA|sta+jpY~ET1tP!Qf*rk009Ul1o@Qv|=5jF9K=8rK?3k}wrv;Y;CXl*oMz2Je zO6TqGn#VMi`w{&8BJgBx79YHxB^3Raouf1caC-Uiy6o;il!@7H@QNiB z9*P|*`YM7xXlQ3*VfX-%c?C60mVY>EbT6T zmq5$Tr5xB0l6#>kqj0Q3xcYq%AgAO;JJ{MKE>cBTn4yPa;T2*~;*R0%yYXU7ZxLW0 z8N7&zy*`2ERhL{K-_KnKXS2&s14)Jc1{r&(D;ADJP#Jj}IvBc6Tjaj9UH$e|Z#H-m zTpKDCN^8EmGaItGd^p7cHIsf^a^?-RnN41byV_G(}|GJaej_XHtbm3 z2&Sl>t|4wOf4tm;k0 zGr0M(pg^s*u?9(Y>aJ)%$|Cpkm0rIAK2SW_;e*>`MFYDz(f(JWBCduvM&6#P$)P7#HFff8jYh>I{%pR}mrJ-?To1L2n_<%^8|qhKcQY*_ZJN!< zalq3Ywc)*mce>ku0Ju*T-hmcfoD-uQtXETONuEx8Jg7HZf^U9~Y(U04BIvufjX zLA<={ymyAC6nYgCWpDWU_H*!TrH^Py>sB3nn)99p5*Wx`9T6>WKr(FFRXlZ^rO-&A zTg*tr_>Gx$*e-=qD~e})!`wDuG<;*dckoSThFK(_fm>Cp!oILzc5RL9-S?s%s;W{; z08ElaM-mnKEAuzYbcX7cKJlcvJ4 z>np|MOTjGBBk8*GO6qB>RS8jxlIGdt5da!}>;x;Ly&ds&{2MdszAA5k0D_}s=K`vf zXvEpp&MUh<58zGPhnG2z#?YLhzwq9Mj&#@58(G8UoLpB?Swk!MU`o&mHfCyNR=2BN z-uRFROxvtapNCB0yu&q^i3PF?jLg2&I~ntsI9RTNtZhcGQbLw_8o3!s7i1&qU(F7!V4h60P$!4j9-c{ig_?GVejL(F+ z(jCnW3TL=eDV(vsn5aV{T(2gs_SEs_AsU3Q7UL&ldiXA4erZh7Kn z$*U81?v7G{dOUe%IHh_BY#zHiauGW&TZNc&clzudxdldmN}OdTmCOEo1%_^44#c+o z$5V8ZxEqBW8VjEkn1E7m)B5=)H|eetcB{gGTu$OunIOoCqG}l%$UF8D^z>j5;x_tr zLmd#h0EEkjZHJuuuro=c@@)HtIeRGjHmVoM+f!wV%|CDatw|ng1r%slUgEahFEC!p z^_6F{V|7#JRE#vu{Gg6Nd8u<8I~KeAp}m@O z|Ftry$%bK!-Py3F%yTmZ!%{?514@{7Kftl>F1aF~1(Yxkf@;YuE8OTz!6MXz)Q*m- zOSgGV==KuZ)+AHL7k@|c?4DFt>)uRPsX1y;f46ow+NB{{yAib|4&9nVZqvuvz=UVB zfmh!vzNw}eHjH(+GxxqwYEtZWCaS4TuYzz@-;~Wy5o7gcxq)^eTupClXOq|(yTH&J z+#jLvQ2EaQc` kSDQ-I!Bw17;Z#JbDwOqxg8X4UF(vFYH=6#rc6+}64|);1!~g&Q literal 0 HcmV?d00001 diff --git a/fdmdv2/pa_enum/Release/.d b/fdmdv2/pa_enum/Release/.d new file mode 100644 index 00000000..950b4681 --- /dev/null +++ b/fdmdv2/pa_enum/Release/.d @@ -0,0 +1 @@ +"" diff --git a/fdmdv2/pa_enum/Release/libportaudio-2.dll b/fdmdv2/pa_enum/Release/libportaudio-2.dll new file mode 100644 index 0000000000000000000000000000000000000000..302e66358e2108ad4e402a992d27842b871180f4 GIT binary patch literal 361728 zcmeFadwf*Y)jvFwOkjY)Gs>XRMjhKxgG5a=hgdqRK#wH2tBM2SR;I^&=qC^7U*W^2TMhPJ)< zZ!5Z9;yH?Llo0xvV~ge4Y{P7}uG(SJE}PA(>ahar<4=MnyX{MC^gO$*060JMZ?)al zKH@WTfzNKsKSuUlKLA`3_8EWY1#V~!AaCGx{E(o2{0H6He@(XHrt{`}EATBO1_)~} z{-e#dxA8yg&u?q$Jnv>zuyZUkQ20ayiL641qMZNyQk7PE7D6w|6(amprCGmzTe1JV z|C&Fa&krAmpE@Ex`mc?6Z%}xJmrW?gHk>uIyuka8Dkr=K&ut@7uIQ+8o#$0dZy+Y& zX&ds{-iwb>F3=+Md07~JAmt_;quk9mwA^&PP4(ewjs$0%2N5sTUChT~@|@+o7NAEVsGB`jtOk+y#P{~!FH zd5m(GTqxyY#Ry^`@&bedWX|B9-v;_7Tp|f~S0dPoa@7dWVWS5Bq+FS5M+f`Yi^ft0 z=N(zD7B>D2(1b3U%AS zL>I!xQ9kRB@UkBN|LFgN9GIcMRUg{zF~-{J&b>aeJy2?#>Od@%a+JNgaIBFV=?v^o zZ&lfnxFDr_e2L3mwb|l%tV7!34j+TW*O409;JJ27cMNc^nhD57M$l=@b{UJ^p^xo> zN%|t6Q=jE?=%v2Ixlxqf?TWk>JlR68g~NcOSM5s7NvG3B)vo9bA6|RiH@B>J*`f=G zrj2O&du_J+gx=1;^>L)&kwmXqeLULG8SoHGywc~OdH_>;E6^kk16^WL3@K(Vm5g-U zze3T`8CX7uuB3A?o>&Nkago%L&g6GMSu@)g+MN$1PGTl95@b|4b%!r;1?e0_ z>HgeuXQQ0r6=9Ky=U$)qB@(Bj6*my*7^D#5j|g$M6UoOj*-4xz?>+Z=bk68`9~505 z*%By0(l~;+)&y}5%U)uFxI6=51j?~Wdh3>M8ShiSiK*~St6e57C!o%iKzb$tO)~-I z4FRNR*wT&uQ83<#NyoeOZvAEbg{WgA`-g3~=ysb8u*U0thh7Y6SaNE#&ixpBfqgsq z6Dc=+;L^}~XIXFXeSJ~SKxl&--A~vMrNWXeCEGh_rxHIyAL!ix=0{T`rDwSSFNljF z(;FS3Zl~VpjQeR{Ae4pIcE|JrpI+%JNIZ|S1|q3MbF8E@^(N)iV+4F&quLSa3=T_; zZI0OB4vzG?=(j0)13Nb zhcV5ikJRg3p$++D(ZY%y{ZFMgU%qoQZqSM&-;sMDzvPOt+cy%Gb=)IQ*tSq}^@ zqt@p(>RssL3h1b(Y^ozTA~mtB#t|5!uQzf~YrkIWbAhzI5wxvXzaZ`xn+h6qH{hDN z+mYKGyY{+nBS)`r>047hgKfW#nm8bXX>ORsju zt4+>=A>Faq4C!L zrCq+j`Kr&+s0=gj>g#>Dtt4IYUh4Vg7~)Hx0q4?B@h9~-n*ymqm&49NuIhoO5K>iz ze)g$;E{GO=32n!2(8($ zY72j+MfYZOWR`E3sUfO8(2t$7d^uz3Wcy+J2Q|SrGZ-vAA$-x5z*QFfOv?u0 z54J3Jbh|)t+xy`2K_Tgu2_TChg2%Xg<14Ef$W? zZBxpH{xT^JTyl&CCfX)p)+>F7Akz86?IoR73%5eU^H4uS2I91rv~rZk^Rncz>z_G; z^0*qkM>*+yb!6|!5WL=+;C=#u9phLAegT39z2}X*7Pt@%DKPCq1I&D-FCS$LXV)QT z`$z_E=o7>I_Nh7c0sFpb!8d7enqw9$=m6}C&x8Y_JR-zyA;{h};LeEQ2dqe5vJiC# z^-j0y%>r_CSaZxkoqUT4`xLIBb-sM;I-$-stJ)q`Lp%6?&=wZa;-JkH(IP)Un6}#- z7s$1k_UOp2H=Np|lkHvo?h%`{N2lg=y^%j+b8lzet1e$-?`igT?VnBy_L%I<*a)zV zCe;Vv7b)QRYG7b93z|O@u0Biw+zEOudy@rgya{XDJHjzI4QP+{Xq{bqv<8GZM?BlC zpJ-2zq@+L?PE;8FT@Wr#J7{mJGh0ErSq1(IvC*MP^jnlwB1G(4HalEz>n9Gn82+GllH`ZSSa| zOi7J#@+Qo(8|}?qA3NI{b1;nVohm#zQr^x`bK z@t{uz+bOu5RANCU{ITQ){b0)3Nmk=xcbt+XGDGy&DtoTgo+13u9%V&v1e%uN$h(Ro zLuveqRez8lkJ`}>SuA;fkdKs`L7sf&*gPT07F*sOtUFkb{eJ{sOq_$P$>ygA<*oL9 z5#D5%_hj+X8jU^@*&-j5UW*M>x&!qy85wIfPHEQ7A$dP*K)zKSF+?cmg%@849alb> zW$*9EZ$IUZMtl1;_B$GL+OH8q|BWpDQ8=@0JQY@ruWsNlPS#I>&h4uSDmszn1febn zKMjIoQ%;-x*_z-0={Y*jHkhaCoX9fU-eAqx~=&iV=(g^ij7O6N*s37X4HgNe8Az9ez&Fj253C;!;DK zJ*=xAW5IRyu7A2mbZd`R=Rm+lbOTs}jzx%CtRZdt*xuds@k#BoZMhJY+!kNu6~TCD zLlIa%n{*tFr{2O->8sq<4~+R1#(#%z2i6W1KCM~LAk4oaxXD7O{8g@cH25l^!F2%L zfFY@j?d8#bD7vX0(l4n| z?ZZKy2jm5=Mt*}1^2-3eH}4@B)t=*C@4_7GH2Tb^sX0RdoYex%8S)XHhUEOvQC`hL|~bmI-cOFV`Br_wTeo@9IiA_4Qrva^~DVs=eOc zUXjD*W9IC+JW$4_yfMsX>&xpPYc=SVENt{8)q=!|z8=h>x0h^5t;Za?=i#M)PX8&L zdbBxaHOAuIkhTPF`s{W<=7_XqDAIqU%xJ-3XFJxRx(>V122LHani2e?Ma`gX%=D!e zzFQfuj6Q=@Wo|M8mb&ym;_aX;1ukNn?#atAk_KuBBY)M`qgHkXD+Qmk$Occ4jd}0V z6z!75(@YxzjYr7i5$4k5Y5q|B$KvT{$|O3>!Etoi8zf`doBWMz3l@eB*#l?mn`7G& zk*z_@1%e0k&D;7BcTeILU~qrOfu3|4>z zX`e_MB5k?Ay=Gu<(e=Q&#(@QDhC9^rfiAlij-wHwH*&PF6Q0BxFLTy>XqMk=hP%x$ zVTQZRuwR8z-2j|5VJ5`n`R9CIH28cWp9AtNc(1qc-ocC}W~`aV-{zR)f5pn5!+bw; zB>j>h>BX6J?pX-pLYA)+cs&ZEHi%1y{gPzX5Kx6c_*z|q4GAEd8^GZHdyAyHI1rFtC{zp z89r}@533Mu30$?Nh7W86w%Ou)b6Ju5pJp6m<7ti2}PO&aa`b5IQ=MiOq^01c^(Yk^KYeV(az61A*d11V#Gt_5#R`Y3|-FJFa(@q_HpI#GaOG zXN%9dW7O-1c8nfC{_9W*Eo#H&M#JBnN*nU?E3hG zu7MMxu3UZd_#A!YwcVUiIgL8t-7a{i67M!fJ$E2Yf41vQ$GB(pZN$6H#M|gF>VWr) zRQQqLtrNV5fp?7l?0C2C?9H>~oqPRs%yk>NCj-9R=f$=f`l5c>?tHj3M%d>?5WUOi z#!lwgE&NL>?Ma&p(G`dSV z%X$}UsZ(R)dX0kHjceUy&nJ2f$-l(}4W05jdSoPOG_(F=MPi zbKIsoeCax`nY;AOcph`n4s7zy%ftd^Yz-@6Vkvu_OK)T$rm#3AKh|+095C>)!wUv0 z-IF<}KGq8ZMIqD2`VfO!xNO*6vY6QfekI30365IhY`Mt=Ez$r>iSlw{Bry>!4CnufFm;ID1Z4b_K6kgTG+!%N3@b$i|1Lb1f2!k zXQFY(&f|fS*GjghD)b9N@X*qM5%Bxm_JjH@9z5r(=X^fr+y8DKO&Do`UNLc&uRsfL z1Z~DxGF9IeceImK*@tobH>0`2KlXv=7eaVvaH(-FOAD(F&x7d3zZg?J*v4}kQ{80< zwCMe)Y21TP%cyEjsds~CRV;N# zZx97JD@PNyZ-fb(JP-U}$+tUBC$`w652frjl+{*tVzzG~**Yd$}0hP7t;JTr8Y8O<>)uW`ToBC&o40v8#hsRofP zOTJ=UB(`c;S))@98U$S_55{%R^0I53fsy{Q&G2{gzz8gHcaZO?1IkzT@*o1n?9x5} zh5~W9rxMCtgMJ7H;Yb?T-1<}t^WYVd+NUFFqF4bfT8(((dxvq@AkM6x)9n;0JRSNB z8+OUrmp>wm3a9B^I0K~%z6_^2aIQGvfwMvb?!Xx_am7O$ywS=v9Op`4iH}uXqmf(jELso`D~p1Fuz6;oZ9GIjk5^6SwlW!me{@WqDcH2pah7RRu%O95rc$bGr@*(_mP^T>vuqR;V zZbij20GLj)Y6tZ=c>*3epYPa@8c7G9Iu0^+7$tyb08rP0q~x>sfliY-$=4;XgElg` zUBzjKlA9zhI_0(;3V%kWyrxQ>NDVp-$4*9O^a*}MmOg=A3Z13pNRVB7^9U| z|7hZ5_hL^jadU{t#{HS?;aGokdyco-bDE-U(XbBob9aV9!|&m^qEZL>@CEASXV(ku zc1PilqIsUghL30jTJy7TaKhp;99R-^lNYIj$|f!{?)Bj$oXuG0yNkh-zIzxv0@6&q{ z--RiKnTmvwLw#S45Tp|VPEk1!_+Uv96dDyc@Z>?P7z$nrtw(eMka(du-$bKYknA^R zIuKMEGo1)(jLY4|b##&HaGt2an3<2n#%L{yHGnoukU)qV+2V)m9$$KTVQ9l5G01Wb z?0z+Dq+(c6d!Bq+wwvq#a~@`JuWucLbv}+hePQ2|3>thBz_sTQjfZ_~75@;WhbO!X z`&O9I4ExGxSC}AP$2F)%b?Axp!oqYv9V})-6pUBTsl-ZBG zfCTb$J3^E;;rJCxD-}y?GAykFV;U4o8^KaPE$(X8yi15hZj<8d9L3qW8P3iFX9J3} zt(f*Sp#}*BNm~(sx9n^$9);doZ04B6V%WuCF#$uc8z5x*gdTB7FZi%>b-ZzsG1?FR zU!UsG$1<{P1DP+qgF}~BgPF0C*HSBC7iDnohwdOwe=YSQ;^?l>hBkDP$n!cS&rgax zqpwy26mU-fk5-aLi5Z_@z(CVs*tM|GKFe1LifVLB6dpvTQCDR63sFRv1!-O3Hq94uow7GuA2*+fdpullR>61t7R0jV6+3>>MVU=`0 z?bR=ER#M4B>d#z%v4)HDYGmF51S3k~5l8L!$J}gyK3kU=Q!$L?HDRh!6G~uvdBo20T^ge+fGs=iLg6`O zky?!qD?CshEHJsvx|T@(-_-RSftNetCzyCm9SC*iLr&BhP)6)o?i8zB@B7rT3_bbj zexwZ5ti zExw~yviV+kgdBWc*&FeEZO3#MXkuWEb}k8P62p9uj|iYY-!Nr z;&+z=lm~p{=;Ai2=sCHJDpJhT855A}fajH-S(J-I$4DvG*3R^5YiBs@Gi(*1jn1;` z3Kn+g`$2XwjcD{NtW-DdFgV8b*?PMA@^|>L=Iu6#Sk2NQ z8OAvu4|BXjOY2C=b;8Fc<3>NZT1mnx%0WUgSqVq14P^yQk;$(b{gom7DiX34hg{&N zQ}ND0-huL&mEcz~uoY=*t0!n{s~q+!lV7DKzly-G8hgN3ISyUUZihx*$Hp2X$-=!D zm5usRtk+#S*a$3RtW&h5(YK}Wk({_{08$*rFrux8Zw71|fz)9iHYK#lS$1pb!X7{^ zwbQ@Fu4rgOi}HoGCxe*O#UPJ0Xsj)HDV{{{rLTIW2g|j!FH_dAIowI%VgCF%#*Iz( zm-M3L{#;~XdbeA8zkW{A2M~}>vyyS6hr)L@An6-{rkH3-wgZj`D+c9Zgm+_uF~8Bi zQ7^iCaxVB)_N>6009tE|`bJ={L0!3!mnGhjGr*n_-lU*FcT=Fj&IyF4WSJWo4)F(y zu?t>QRrdXYg}ajVs3kZ9?Dmaxt+G^xOMJ#~aI>bQ)3^~*{yxh##2wn&m+YQ6XR;rF zmRc0EB~Jh-O44pTAW?LA2!8>68(%%89 z^xw%wMb|l`^JzQ_--Q1~uYh0V7C5z!oX?Q-HdRvCZOx=8x2774BRC_6(SW5-z$*V|z1q5ajN{}Y$vgE@+ zXPKwuG}Kq~MrA7-kW*(e2OV9BS|W?lm}wIy&?e3Tz;a^@3i!!j2uXR_R&6==R`r+1 z2Ylt@phQLm4pM7joFIcHH=stXGLywdMFB~Mx`4KKwY3Qx?W#ozPi7Qhh?onxsT1x} z)&Ie@2wFYbM5W_Xs9!FT3!21IWIFUBF^Mf16^F1)aoC4fgyLcm9~X;QbR_NN_Pu(= ztcjQ|XkqpeI==?{=ata+hzEWraMoD*X-vb+%BRRq*#D-jMJ*6gY}6Nkf+E<3)2Wq8 zhfwy`M*BA1bvt#k7#NCz?-~`2s89>0mP*f$0tWCC%(D7VmUTqNp*~;5NbHJN%mQ7u zl_S@-MU<~k(Ztv*lS>^ zOuH)0F-eR@eT$Kc{Zn)w%uX#vr5ZIV?U?MwF0NiN7Y_eav}l41O@Z?vbN-U|VrY%i z5czUIz6S00CM8W(l%^YDzab~(kd5-thFM~}fv6CDJYj(~Mi-ic?N8W_dXDLk6G|!v z84THnjWOfaBgnnAiE<6GzZ}$n1R2vXe;aQDoL&g?sNGsIVa**K&Qx(Dp z0}3BED&~$SfQq@OUx=}$d>r&hi_}5mlb1r6V#YM;@GIlu+S)@PLtDEISfHIWCbAiq zY*z9)ttt5})B;2iPh~G^^|(7d+je-8?`@sie<^W5iShm(jxIFwzhk~cJi9qpmngdZTSb&zI zbRD_M!LG=t1M+%|4`7RZOjX(3(uKc~R;#wMJ){F{F4k{n>&5sLWBF#`T(}M=8IqXI zlE?t~7}`)PGGqLTYYoDOziZ2x12RlrL_Q?LHT`enKGN3SRF0NwYcrh5*pGcE1bM;5 zi*dgq-;=kZ!;tHI3;Ki5(FvcJCle4Pe(_6;cdGA{Ui*!Ci;UJ*`==y<2Jd(}UA7u< zp&ScPV@Ux!38GGnfN#@6E6DC!IhI#OACa!*NG0ktk2++W4@Kv#KQB1wH6A2ekWytl z%uY9MErm@x2a5Sc{iJ};8(&T!{0jl316V4{7WurvUmEqZupTkK>|HIi1Gz>;t1*93 zw7i%raL*w@-!tw75b9+|?O}mO+L#KZFN=%dd5!snkpsqjpBDZ|B4O3ci_bsg?`J>By{7K3i$|DbLbP&ZqR z@t~esKc0~AjML*7E}qq=%|Zjc<6tE0i!kx{n|8Yoom%#{KoEVhjIM&&C)#Zh_7Hl; zGC~|Tz^`%h7o{F%{E09d1z|=PdbQ;m;Gpq?jgD~q(O*DYb8l^c7lh10%yH1!KJ>Cp zHYilrhJnU!(1(fiBS#JGk@p$BwE#W(WekjPa?yA%_R~AzB^vV^?0=`rl8c6w4Zwqh zi-ei0QQ;>P?h7knKvfJ1Syg3V7+SSr&QU{wQO{*!uKTKm1Wt-^9bCpZ+?$0Y>cct8 zje@Mz9ythPPfg76HFP*9Fj1d2H+eUhYK^&fFm8@`$s~C{zhSTu;fY|MQPGtACc=2d zTr|m+yb4vod!=VZ=ng+@SS8l_W^sWOy*wA{IuH9~a~uJRM}We)66y-Ka^-S_NaK8H z+74WQ_KP zfAQs1M*a~)0G%DLrtw8k%hY&v9RpnC)|USgMj>9EZxb?Rsa$C)2)^?mDp>@JhG8*P z#{%Z;z2b_m{1@`|>ItArPW4xt$!|+C2hcg9H*`bPB(s6FFMI`JS(ze58&!Ncy*55xi0t2@BbI7+b!v}x>ebjWfM8vhudT&_PRu(Ju^JGw zUaxK<%irO2vl?E27Ad0HLv6&{Id@0A4#%N%wu4oKS*-I2>wv{k$5vf<8C@_O+lJ=vqp)AN+trl^qz+ z6(}h3a2yfB8HPbynS(frF8~28EGon-^0mNB5rY0)YBOIA+%XQhA~6$A=I7|IU^doLhNCPC-Ca?5wKcb3$eLVz8U4pZpdgQ3toqZ8}0hz@;n zYqv330y%dIl8ic>M+>-(HnuB{|1iGT;UKekFglA__K{%Lb~K0QO6ANd!1~H27c4l% zxT6jAqK+;gE7aArp$d+)pq@t^QrP<|o4dxjDq zeE-pp6>m=*nkRe@nt5Y~<_X`gM&4)heFz(l;Ja@qG!eg1CZ;oo<_X{T{K(?Fr8iFf zHqHaEHv`>KQKbW-KU|iD{!q_{>d%Csz_+qfe+=M5^any;ST?zI!6^{%3%dGqb8jOc zos;<@eNcxghQiuSSk-_<5w0AXH+mHE&JjGy7v$wfF36X|UB23=D#e&wI{zvlD8>56 zdhPaQKxjnh%|OwvKA0*I{MZeiYHGjHb5yh`SM3waq^)c|`_SGwRFqg|-o z66EwyhAgrX-ZqbHi2L+2*nP|X%oz$+csJ5SdBf1WyNUAsJLT-0rFStDMc-%X-qC#~ z)9EAojK=^$pEVU0ygvqPvSGo{Jn6Fqg7^QUKD&J=LDFY$tyIM7L-VB1wwQVCL-VB1 z{(!vyWuM(W6s+)Writ<=L-VB1zKT4YvB%r2AgdgJJbGPAUz<3?HM8LRy0~-c*jeQ3 z*|OuDzEg~vx!H-YZ&9mrsF<(U&5QdNq0epcHg-M#LUqGPQYXNZpS~ySMQTTI4KmFp3Rmpwp|*VOnFkqMB`HW%#iEZ04}MST%C=$? zbSu5v;{n*TL+GmlEKIDx&9!mE#|w%S$p)AFa?9d+_G1|<5y66jxnte zlXLZUC$7rCuHtln4{V=M(urYeer5^27z0G9zEe->8)GnvT;JsSR4Ixcu|9=GU@^@& zqjOaerhQ!HEXB&UwLS%(FNEde1olHe1~sLCHpdvJwrwVWLT-6X(ALK73FhebafkK# zR(&93E@;wEaw>IvtK_{w`k3zcEm&R*?0kctTb)6387Cq^_9;YC(Q{m0!ytm?GugEy zCyMM_fvr#n1TLguwK{t(?E#Q=1R2Ucn7kFW5`90Cy?(OyVM-m?%f(=@mmAKCy$c7) zA7n4&=1Bf3mbzfphVJ`bRhh+K@Jwhnrj#C&zwDI>hERDVi|vPXNgw2L3_O&ZG`x4G?s9c_X1gG;5x6I{&X0*I=A6#jG4gj5*OC(W2S_$i z4?(eBSBRiguPZX|%JJZvwbw)>0vA|Z<}Mpb1DOXG`RcrVb%lM6#d2l^G$|hwXM>01 z%ni>q@%{$GBc6Gd2=goGIoEkeVLrx(FB|h52mB-L!6w5QI`nDaJ6J_ETz5|VfothZ z!;ccd3t=HA8dDtyCZp6>4IH-kG*Dq&TVOas6KmW{i$l1KBnRkut{lD}>5?<%W}K(F zze##{A13vBtb=F9Q!lHt+o`{2&QDwCs7a?4x5CU^Tt+33eIhzA@xWTkuJh^MKf(;p zGUwD+T%_jI;Ln6)bDU!h{WVUxJL_2bZmj>QQ+pQdDCXM8$U}yXHIy~5)eQ3h$vk>z zjrW;z&0%!_blnjLK)Gld{w?f?(dsbfIt_Q|Fm8Uda9E#KV0eBs@fMeM=Quu+!CK_| z9K&#Y8QUXHh+N|4^)jF|@x<%`f)SNzRL5jznHM!qW&L{e!foiuMjVXS?tGbL7vyuN z^Gl32_-0YnEU;fvVCNhR%UMt!9o8bxq96*m5hM;@2s$>A_%>F*OC3dCAqSHq+@W=8 z;Uy}E#tI3}PKN)KvAu6DcIr_t`4{m#xQL617tex&sTw) zw8Z^h{~TPpM6PeM87XYPUM^o2FH&JqK!t@ZD)h}!p|=$k(5QkU73LSJ(Bo5~+p9uX zfeM{r6*}%k7_BJMA!tp9rN4^HRaVgi6&9AN&{wQNZ&;!Hk5G}{um>L#VrCJB81x^mN zx?!Tvq|m2+H*tw;$z93_|I)I}hTn~fccgwr+fBJig<{Yy2UY z=Syt1y0~CwUMft!@@{78RpDX0Z3U{aX0jJ*YtAEUamM8(qm8r~?x;E@;6yk9J`zoA zdb%4I_-Ubo6X8PAWiB5#0OL}K`-H3{jX`dWVdUd^{1YDhYVBWUoXbitEIm$NY}5Bz zUUJM>#pXk*hNVNLh!LV5VlDlJ)Qj*jLq5bug}nF;{4UDV1!&zZcva_>C|V3FS2{ja zh|DjoDqn@hF5qwdt z=cfk`L7-Re1mCr_UG{kLC1ua;FDM>qD|?V27w*6x@=@>?THMtlZ!`a=_=(xELY@2? z3?cfLzNos(qjy6v=dj~@-$$&5F@&{dSZ9U}W+!qr#IjuU^p%=NgI!d?87toZ=~s;^4Jq)`Z!~iWZ+<704;^< zu<}ZT(HkdlVefBGrPGPlA^}nty%nl4&tx=2frm4Az98`vjB# zO_kHaa)%V&0eXf9@VLo3OQ|8W3=T2cU%={7b=L<;`Oya7B7`0!wIK&lUP5a{=+f3c z!p~mFQsgQTSGCNC&gRftoSt43+U@1=_Gi`vkq^90B3n@j|I}-ug-}_YFhVs*g*4=b zY7xPH)KIF-&8iBt)$1Hf111%0b~hIP#ef3A<|Bh(?5h`14g-!MOAI3$m7|;XEyRw zEW@Cx^k`?`;v=hnH8rIAq9d#S82QbysE}%P?kQNO(YyLWjUd17Rfn&!@8^tWIyUk{ zKB+$c3)?_bg+@Q{M0TU)c!%j=FWMs;^5IVe4B3)=4;5p^BeX#1;W=2uNnt=o3+Mdw z;1gs(ndE68s-7CYQFQV;ai87nLm=q|}8R_hW>ChtF zob2ntmAe&)jDLc?thH1AF~2T<4K{Fx(S#?ePV#mXiNRYd-q9kyZH*-l8HufhT;S#z z40Q`a55jo}X~5?qbQy*cuD|2KX&6L?n6l?yQ%Y9@2|LiXD_csj((?x;4@!yk0kDI> z^muYS_B3gq$sG|~Y5_YupZTH_mU`h-I3>cA-9;k1>wIl0$q6xT9U{K9d`&&XH+h!A z4MuN;f5~xc9uWuu90^RJdvbE zttP`Tsy!r+lw>T|S|j~fIUMak5td0$_rd?b01P`ij)zf1Er=iz3^9+ck)Yga_9w(j;Sop(e=w1{A6Fey7CLYWJT!=ec7l;*p~+pymG3=hVZK`;9ts0A{vp<8#^~1gIf0M$=GDSe z+hNQ_PS5B%<+Yv17cicuUcgucZ>?x2_7D;BrPW@2uP&{kMJ{_87xGrIjrvwRmzJ#` zd?qQsE0k|QXyF1*E;61|ax@;BV~Nv2DcjhOISAU$IW6f-41b(G>P8&hhQVwCV;IbS zk1)%(%W&X6Nxuib{1cbVYF|`2E1>0P3&eXXH%nQ1M9#662tujiTjblIFROrzVJMi- zf(ShfVF`HRlNN!l94vw;Da^qTkmiu34tsMIj@7Wmp9Nm}8blIokYs?V1oQ#ptEkz=NPtn!A-18xvixWO!%qN1Z2(KCaLEuBA#{=HhMK;R z?I8Y2OR4^c;5hBTX>0R*46G9Ofqumtst{ETfDN_VFhK#rtME4;dswAL3sTv0#QG&e*mqRVgUY@BsVytH4rKhKDokZt&9OFk@eZ%Dzi5bcAulINt7KfzDQ z0rUL3wN^RU5$D}3LLrihDJ$jVODf44*IanK($ZwC_gboESqITPCuXwbHUBab;4x-d zCq`1yd&#UsF^Go+s1PE_q^z=h*;N68rC0rK3d~k!BmWG51EdF$U#%okw0_Q_}euR5cB0tK9d;gWE)Uuztze>PS$G z)k{QiZj9h6_x~ENmNBL|qaH37;b7HE0(EOH-e2LS5c6G9SdwGvrT7?7dYY?)tTfMe zu6JWEoFD0_cXhp)o2hN`gOw8R-z2U8+ez)?rUEKNwl8TY*$(v+$f@(Aa~=9zkMRSK zzDF91W=?Y(wa4e{HTh|j3au{)bryi~{E5??i%&+TeVb9D7aQNfZNFfbc~vO&EIEp< zSq7w689<5a?L)XLBT|NUED^6P^pMbOK1MpIL(p_K722NIcDK?k4u0skWE^1(>zF)s z2zT>+Jj#3bdQcwqoL+>{d)10GR;@8|%%+{(_kHrhh5NjxEjX99=Ps5bnV`83ne`!f zIgY@&3ohu(Yl0)RYy%;xZ$BmazlWJa-aI7BwXw&?<^a)j%ot^Fg)NA~F;v1T?;GGZp46H{5k+ww?u!C(SZ?y+jUjau(&tgZ z7-p>SQR|E=vH5Tt-qnP6G(pZ5?YP|C`=svy6lq2YhJV4QfmZl_jactP66}0Z*5>iV zY?OKs0gALCkk>^`9FEn?Td;b0ro7Hb?GJDT^Ngoti9NAw1F3@pDep<2_+8hMm!Fai zpk1;+*=y9f4y?Cpkp;t~OIiV#=b_$oXyJ*Z+3(TAvb~b}GI`a5MUFO>!5?}?iX&Xa z=aTpEVvbr=;)S0RSotn_#DeJ%2CPu2-{8_v09mYJe8Y)mani_zc{6dxH~wP=(fA01 zPC>icZovxeXh?AYFaFW)`~+fXk;VmEEzG;mV44=8z>+UGs>?!(H(_q&8#QiPjt7`r z;|8r^Vj!E&M`gvP)ksQJS#04fyy#SPm*QWN3tr$JDdS((Y7wGrIsZ@@ZUq2>w>U~& zkXcPway&>T&N3{)Xpz$Z4Esp4z&f>$bXFcJJA4l!A@S#vfmJpany?D=7}GH1?!@qV zmv0W49riULh;+(QPB;#;i5w;&!HHZ-Yp9dATX;)1@hYcncL_3m72Q*FW5^M$kZq=C ze+RXPw0{lQ`0X%M11a=S6KDShBZs+77goXne)E(c07}PC9#a0`15#cTep(&a90L@S zY`T1dbjtn}H4-cyrP=7vGArfm2v$uU|C%aT9pmsezn-J6JH0yOSq2ql-y@{bAZ0cQ?f_pT%BWs_&T;M(_0fyb16J z7fIr>14IFhhOy@Y%>bu|g9rFbs1`P8qzy`vzWJxx+PkC#npuDptUpG}bMU}gvPDya zvqIPbhxpB#l`^Kd>oe{&83p6)9wjD3<j`2ZzX04vQSvk4 zPMk z6b2W@E4+jruaI%fTEGc@C0>GXI7H&=A^ zvy2cA$y{5QT8nOt0ltn7n}NEys_y63@+Pn25|>yFAx*ZZ8B0D5*`g``F&<3WEmJQW zTyPqmDZJyANF%uoPxFWCDw3cY_mfl!JgC-VciDS^L(1eItIq{hG z5GAK$C`hdPChB)($&V9KIj98rmNAOV2iqX6JD6)ps~*?4imWo# zl+}Jj*_14hE<8dcX-rL#6evfDWOxMIX&$V=-BMsQoVttxmFX*otS{kBFUdEs`=|Xi z4P#ORm8ORU?gT`Quu4Z2Vj9UZ^@apQ*D2*+hmS@f<8#eRBShF5WL`W@q~%^fGtEn5 zmU%JVa-Q;(OPhMBFL9nmCa`~^Pf!sCwG$LmFNNZ4CSc=cd|dXH$*|-PzzufTN~d(3 zwQTJ=4vP=VW&_|Lbr*v=sNKIK>2x+iNctkgXyKcnL&?p`qjg4ylF`pKki)Z-OsyE2 z*U7xs%{*gh-aX7)Z|2=GG%vus2au=EP%hhDM7}+w#>sVJP7+s*07@l?$se`DjD|m zvuxP63jzNSO{f^7yAcKZsMC&qhUSD}D)`6!M>T>_^VRMX4I2_UmY_Dr5dI;(1J_zG$ri#K19D*w`caqW2go111z&L=d#@NAC$flWNLEZ`!FyqYc=BW=-LWTy z7CtUjk8ES^lR_3!SDr+tVumg1cnayt)>*5z(8StA4mtpOSF2Ah07DJGtW0uIJnC2) zb>K6QeE$yiPo(`A#kb$4mjB+yc#Bm_8^GK2dH6cJgYb>Zu*!oaydO;tb+(or#y9Dn zR7}@aK8KQEb|Z@+Y+#6X(qjaMIhcw!rDjH}{CZU#4CBk@(kC@oO0H1uG8JB(KuwR$ zSZC57sKnZ61J1`a@+5czMgTHGTUp2UBNf9QMbp5sapIfBHPwm&qo^GhfAx4(9g(Dd z^q8Av7WwAv{~h|1v`K>Ux(z1;Tj&jd3Nfs;=_|3nhikqhL5tx2AMFZlqh96OR5i~Y zuOa~3rm7#<n`(_u$tGp`Pr-EV? zlrjJgP6Nce!?g2Ta}{VO8rkznWs{} z2~^2R99AK#5Ny$WZ6#0SKulpb1_eBdrC$uzb&%>g$yLa}yaTd5xOvgA|9%KMrCS6H z_u8<{MLSTA4`n1qTtzbxsIc#R;Q^Q(Z`xl8QM_??ZA4l zJXykJwm5Ty5;~zp9s=xm6@~|Dz&!f_wXTf!NN$u2H;o5WBO}i|U&P5SSZAKynU0BZ z>lqMXCfAfZ7mmftJ`6`>wI<)K8Ln5ksaqyww-&w?VwYU*W=1s{FWmOwvHf$VmJ=^lj|vwVYyv!V1pxAcwmD+IO9RT7I}{#9BP@~td2Tu z?Hm2cl~UibKW*)A`IEOQFxeE(NInfYkwH0m2E6S-AhcM?ZwL^QE%q&Wv1$id3~!n} zP_{X+WN0s_GXmx(C4v_qlP9>$F6zx|=XBfp;zV%F5eRvHZ)lB_gFVtW8~0DBg&B+5 z*8Y+|`CE9nP=UvXQozDxgN4CR2N=2lWs|={axB(yyxq};4fhVa-!>RJ&1WmL@~vkl zyoLhk?`pIlF$tsF^!wT{Vso%hgA>pf$M2DaVIy&8y|@GSh0Xja;2`s#LjD?7VxjMv zg}9_aAUtf%LN8<&sxk|$FblEAS?I0oLSC~F9SA}iFbjR0UFhTKiYAV!Ec8#akmvX; znqD>w-D4KQ8^#1(Np_(>nT6=efcto59=;=$=tgQhkBzDO32b;CDQ=V)$!d}qanF?) z5zLbqp|m1KC|qC8fJp9PXAJ_9w^!krOszo$)tT`;3skZmE*J7Gbjd@izJ)Y-9P`nK z(gPs;3WWS9d#fK zxrIAMD?sJl_}))wcd3Dw@5gih_5qk?I@DEwIGyL|TThJV-uVbxJOz~M6%HOUD8&Vj zNyF^+w!jZ29cLTf7PuMWtIVr)t_wRqV3(Zvfu_oFWQsVNBPfmsz)wMq;tq>CIa(T( zcq14HowiB_I=R5IkVLB+0ui(Yo7K0n&*d(OTy`|?vg4br%O;Z2fHTA^=qQ_5g}P-G zj^O)=#Z*LTA-=(hHsDT_9alG^l{UNioxfr*!EfM10he+>NDT<#Y&UW7{qUytX=}F{ zwZ7VBeN?kPKSv#us`Z`6ePNt%K^^x|XZ$|U=R35ucv&32kB;L7{khG0#c|E?3OB{7 zm?sB8@^xyT>3~nh*?SpMSlwnI&h;7q}0PolQu)< za{?pdc@jYxIOu^BY64%E3L$*CfR%7B%+rR`2Osk{u#5EPLOpZRTSMIrl^T3+NDZTk z%chxY&L$=n6f}>UVk1s0ESms@`Zc(f_^?)K z0Re-RoL4`Fq{J@#0G5bBEpEBIjJM;Air(-c#AA!tP7pP{AWfdA;bg%UR}NeAg}}d zYt%MobOyrwz)_egMhHgLE^=iEfW!<{)glu2dQjbZ!bv}2B56k3pJdy&!f0D{)RJ!*lNiN%>?q@+4U8QKOo}c0n2GZr zecEQj%wlmr?l9Z;8t&XN`iy?%v>#6J?O361rz(1LpM^ALjNVKzTY_Vrcpi}$-%}d= zBOpK|e}fY@AzVm^aQF^&yHsT@G!!o4g4^4 z*cr%=SM5R~*UdO)_)Y*Dw!kfmX^&1g7;i&Pz_;uLexhdF3(NKh{iT=a!Ck2$$g1{N zl(C^u@LPB{=AmUt=G#BeFWW^V-y)K)07-DXYuCL^Ay3WKv`p`iUP8kyiWMsJ`LZ3#HGzz)Up_#_4+wF=+wL>bY| zHP4Hv1&2p(7`XPjE#1Jf6)A~(r}9j|sR`!37C0v+m);XAk%}QN@%L|Vsuq;bt?^bo zre<4>Yn^zl-zye?8&R~*1d6ts?X%F~HMhp>Phc-N;<>;|R3mW-8z9Td+$FRExv*y# zP%W6l33Cl;(kq<05NBn5f_n9MXZ3G8&~fS1|5*H-?X*yiFj0aRW*a+D=SpL&v~hbN z-^eNJ!53mC*2lZX7Qh*IF8IJx{VsAK2NNryJgSqfv?@{fmsjcCHOWFWh!MHz7dZ?YE3X1&ttEE9b}6DJ`;fJQd{v2 zF4bRwF{+Fh1nE`wv00croQ}Kl+3z>tu~gom=8@TdVEL^5_kjKwd1d(=IQP`P9Eor; zoOu0k7o_QgvVjFN^~3t!gCFUeO49uHTG`+A6~C$F;8J8@3AZvXwSwcv`xTR-2~-`Au4 zwe!FRdmtZ^V{EYl1b~+3BGjINH=FWs(tIt`2wl=jwwy_uiFVA@hr#9L0dwBBoP&@T zBbyL|&k}QtZxU8AjUWrEW>S$IW>wOEgY@7bF{+!t+8G1p9Es6^# zJmz+3F)H)^LaVcElXm9=$im=;X!K4*$L)o!!C|(M2P*Qw<`|OngXlH9EqF)JxO4o_8oX%gyppu`v}2;aFTq!) zPbu3I+>5fLX(kI6fEIn9qLjmFQ-EP^e z_@yp36aMH|H$e=~HNNA3D?S=s=nB2%Eje&U!3Cjz?i`V7FSw*S^l5HzPxPwXaqAN& zqK;*Tz}N3M4sPVuMc{Y>8E-*If#(M|`q7gCH#5AMjQe85pvO}`!}uZoW|3aiqtDm@ zzk-gZait3{j@piU$AD@q-ep|j40WDVws~=mzB$x+TBv_-;+-l;R;BNB#PzCv|8oF%$An`t+?MdV(E9dZi1euTRdM(Le4mB5wKS^d`sfVt?7z;6ZzQ;^KZS+(f)p zyYR^jW^!3Z$wBgLovcuf$!S{|JJx4VK8!brIUj>X!!;7b2Xph#UG4w+(_ax6p7UXPp zj}hE)@U_s(wu7%HF92wyUFt}lM0jAIx(o1Qq!U6?r`Rv205}18u!yM*kW6 zqLYi;b1TZrmW&9kzhu;m9qsjL?GK;+W6yyuFW&z8Dc+zwFk)};#j@GEwD3KIQRT#` zV+vCqo^ogG8uuz6xGq=H*&N&C$Tj7!dm^iFKrm)Fja!_0&-kic_-^k?%ol9q;`%L4 zSXWD-fysH!!0^zX9He@Q#1H$WwQok@=)$xV!*tnsz>i`sw4!~?rV4v; zs5=L_sB)Ida|BP(4;n!y#$jc*+Hug~is%$Ox^g#4f~Ez>Pt%^x1;#`R^3blE_n`hs z;ISol4M@K{TCM7sQ&519`^C)hb2{l~@INhAZoo$pr$u-V(QJnn=8R@jZgEBOAWB0P zr&12chslmJDUOqrG=3SyN;(zK2B5PaX8|99Jjj^E?(m}kAs~64x8~Bha2@9wi;91rl_2DX_g^-F<#Y=f|z3WV~}%d zf1TptU}wyDQOD_M^a# zS!Et%T}~Dhj^un~lO8S1d!n+dW8K+;G^uxwwD|ndAExz>^-sG#J^it7H#aw9Vv^Li zbsfs-N}oRNkb33LGvK@}Hl>642a{cgbGi)vZD9f(8cLh+okfu&c+ ztHd_I)s(de@l$~=aRd9I%8UJUqslRw;-gnNLjB&*<|_T&M2d&ws&ET*cv{CyIEfeH z?@Kg8YSi+~Y|JO{_LeGV>Ni46YPsd()#AN%w2!Ux{6N)&N!lDZnB0ouD{cA0tzy${ z7=F8T>Q7RRJjwj8+XQQ)O_`a;d=o6)vSkW`>c?|WSo=rTafSqW*7o$+e zy(jE7e*uy?@kwmNT~K`AaFFDBI#G(`kKi(-G~l&tc{|!S#D~yvJS#nJut6w`BRSG0 z{>b++SijeVcm)KEb)FkqC%avt0h_ksD^%359l!s1^Q1@K#y4ZpJaij;7W9Y~PJph^ z;eRdqKkU5=c$C$(@c+(az(8UWB_e8+QG*Q{>D+)xGLU-+8X!VI)YKv50@0AfWWq&J zf)g}Dh{jf1tJp(Ztk`2)YHdYpl{=`YD5#*K)LSz~D_TTUG~aLS_nl-iN$`B<`G5cK za?a%0+3#L^t$kU0?X~ZFU(X1J{(J?a6pml-1Zo@+416gE1gDODIiR{Bt-J6Np1d6R zTovRytPggb+B5d>!N7hz=|aDU3Yq*%98H(?3E$6t{>x*-!~L~vuz)vz=t~PfrS7Pq zzdBM!c$_!v+8)Vkc_JPd`ZqT=csZ3Ac?$9UbqcQQR~@7iEquo~so@qAgP`Dr3Qy;M z&6|%TH%n%>9o+mTOZ#fjJVv8?K1oyMv54_0tehKoZRLj=nDMLL1a6G24MgU)<6Eu< zkqJx&tnn?|L0S1xcP4w$s5x=a7b9)Fp&9G{HZK15`-yNRU4-rxOGe7&263iWPJ31d zEIwLAJ&Tj>UHl{8nO^=B@lHUz6Q5xBoi;YOEmy{K}jtC<@>9knu-pt6GINa!k{Oh8L$yO{>$mgpJs z9k@9*{*mV_Y;Pr2d3DIjl;Ms3Z-#L(4axCun;63Z`PTCa0?!ZI7vJ&(u)se5d+~uo zTfQA_e(6V;C26(reQDn z++}^ZE!*&iEmj0LEH6m+)Cb1z2i>8 z34DGDC%59CDWa@7{ zj&dP{OF>Ed=i>9H$3H#Pe|%ii$DCbXiMH(eNcrp0MyqgCr(+fk)(W1L*3(c-2whwq zWV$JuG83MONC&>81(tcoz(MsckKcH1lry^eoQT|3NS0Lh=@H1)B%ZLHdS_MKjDLnY zOTA499-=s_7*137f|;nQP-f!q*epIh`mlm<`shP>?7M_(fS%DW@ghFePw_~6a(Q#8 zak)eQ_e)r8REi5;O&o^~1P(q~%rZxneRJoCPw`Ka6d!!D1Q;DNO+Xg`f)6A)iRO7S zaV1j++{{x<9!DFU1u%1`S?(E7H7$4xy$F@J;D^$mxIUIyV#$|zb8rC-o{|eHbkoxi zXCn2kzb+>(yp@jS^p!9Eg7^=^G1wJ2d0 zQ&-DbV+o@91vwp-O5ap^*`hBB{Kv<{ullRd7>Dy%1`i8e#kP86;9u<4%7ZqAIqGLy zUh&n(KfN{A|B#O5$TLZcOD@%OKTT~SP@wE6m_oJh3CRSztfizi_Ed_Z){%U_h7 zWm!E!$2ecYR=qTJt2p!N&o-TAuqF-G=ox5lVuU7-4a@#4`hm=NdIG7*o0h_e&RdbT z)lq>j0&fR@f|wGYzZ>3Igah5&H1<4=k-yvQ8|Lrk8g?rTl5MmlY{W}g9p&F)flg*H zpd;{R+13N=Tg-g#vgP zRYx6&U)4xRQd6>4j|iRsC@?QDDKlvU0e>L4us=nV%elY=XDN0&8;xg6?zXr{)9Lz)jOYlXkKz{IA3ekUQMG^HqpHg#dBt# zc&sWONp~kWD%~o(!5ug|{9jRYKE*J-UjG3obzSh|L-|Ci$mczRuZFh4=lFy_935_w z+sIn1geZf6>9moOM4lBp(pkx6ilpvaME-1ruN1CiboB_brZ}y~nSponO(sWti@doM zRt+w~gQ60>28Ay0J1jwAa;W}oVMs1I4>1a^K>$R(puDPjwbujm)1N@LwBQJWqlLp? zsq)}9d<^{79%WZ+0B1|vJddaeIyz`4Xp-oPU-cs-lqttP%J4>^L8PWhpFyc$q47bJ z3m)t<^r9TO%2i4a0v35&J%VadNOUP`yFu_0(4IsQYn!TQG=g7Aw&YfLy~Qm= z?6hDs^dg{}#^CCF@O`*CH25g9Y*KQ+2c}AzsJ~=GTkt$M7XLVUBV2a`UJXipif0vZ zp2};8mw050$6xvrtOIVaERZ16C8Gm{mQXw4Bzo4tjL<@wkhc5*j{==uRM`!WbP_w3rKlaM) zf*D$u>P}vzCCZ+bHOcD)ApOMCGSX*lJ&$w_`Khs?m~M@oM5gaEp*n{R-^423Z_Q3WNuRWLb3)! zD6&BN?Fjm8+F8o2MvGxN?f_NM)2t|_MvLH+F-5O$!1+q(H;)>GevM9V3ek(Oktjva zA{Fr;5&Gsq=Oncf(#>$L~d?#LE9eLsIxZ^NrYK|8~pUTlDq0MXaM;Ivy!! z3=@B7hz$(6Pe);}F|k$#w6*%hgEeeQta+151+i;+At5N6<@1|HzR3k#vYos6{ru(= z44CA&o$Qwn?A>xW(Ih*~QrRNu8o6X4VYv=jb{v+(ki?#C-tnt0gQlB1!zi#)_@#B_ zh4Q;J8TOHXia<(TT}bR1yCZ0VFM(MJ@qf$vNrL~#=)kL6J{SV{d8&u350*-?x94|{ z-5cNfI|3&-8{j+%9G1zG(yb2zM=iK`D%*x?D8j!`{5jaM5o=id?HLrl;TjTu$0G^2 z9#MXBfOK9ME*-xYnazv8GkI7K1=q{OK@a9!!6XBZVae3^Z(?xv+}1BeTa2l|sEbzY zBMs5gPh+>`#ENRmVup+%#+siKkN-pFuFUemd=0ZHZBi{rku=mRkKgU^PNGKi2Z z6d@x^3ya@KPCoc7^2ow2fhM*NmH!3$QH}igmOB}k9^7)^(k#L~_^f~r9YieSTRxF| z4Uq(QU2$;pThG1y{K4l82_7);)}g(DW(^kTItldIgU^XXW>F~1nM(YXI)HFML|!T; zZ9l#qilVgwu8HW!#ou|Alti!iGnQ1s@JW1Y1`;F806~=tto?jIrZ6|Hn8F#^ z6du#oP)r}mBIi(v zR#dFynCH%Kk&QdHwBxs!1IHzrv8aQwyZA5tnL^f&rsF?Xs?Z`CJ|jnZhPrXcL&AM}>?%62EFcTt(AM>N_vfHGK*xDm-DgKVD$OBhwu{|6W)$+FFh*5f5wrIMQqUkOn!R_@Y{2z`ckmW>} z*KQd~s_%FjT#}<&i8~~Ca32#$E3{5)?XkKzMS_n&K zloX#w>b$TqfE7te5lOjBU_09iUv*#PqI+5g#SAV1 zd0m|P9dO4?Y#f%`%E-M^=zrC}N5Pz=wD=YgGo7b*yo4Gd1*7r4l)E&7947)Q`9fN{l-YVav6KkK|(}-@?qxuy+ns+AE86Z4w@vXuhO) zne`fGd~*FD*IAQ~M}Q9-PUgaUk{!Jg{(&xG?n272Fn-OMBH~oqu{)();gxjsT5q6a z#Vu8t$$K%jP=)$R5=K=F$`RB}kZYIFJOarIeoTi~?V&3Lss+DcMgn~-J&WKXSrYsf ze*Qv61EHps=WF$AnRtsGIK?ifh;6}}aKJ;o<))9oH5HE$BCM^*;h(GqE|;eKJE?T6 zZ3OlPv-Mthmh{VmS4+>kpLoIH!VwVc3hW5pM^A;cZ5l1;KOj2hQjY|u@xh)>G9wzy zhMyKJBIO-0*EN0ypG0=_s@QU!eBP9Rl9CEcf)b_wzO%icd-a^|=C9Lu zdz+%=Ip8jWm#5?scbe>^O$lOfg`VFp4+PgR&t!;$CBEe)lw?mIYt>tf+#peUKj;@} zms&-?TT;3KJ%VrqiWx&0y~Td{>y(Nrnol(Os!K(TC^IRt;8~D`{$4D880jC&O z9VHP&+Y=i3Q+hYRop3+ZtA39vxIjO%XUe+p4+=9NAA8yhq0Nh zMV_RUW>Of5in|J@VA7w|f_0A`m`)oRg3)AUcgpVzT#IO1k z=5!4`q&jE>l_K>9TlEfTc%)i?DSM$^@HSB|LRBy?$^?Vn)sXZDFJq$t`P22jszt%G z^eV4cJ{nNUuvj&8K^MqlKSf=xgS71m3%jSFT~0xJ#(u>^r0ub!3MuuB-7A`FxoAMq zbwQakl0p`Z9b7_*bC6gaOV0P5uMe1{-*uF6Rwf~YSkwHj$(O4ig(Zr9^)e3Bo_bk= z$eL}B+FKKZ+A3L-xs(XrngK~_*`F6cNbaHI%TRrxyvN~bD@bUMT_u&pzcV)Q>A%(! zkY2_#8zY1@JAxmfm~z6RXhxnTUzC@$TylZ6$W#_WC0XrB%3SdLHzjIJ1|S3?eo3JA zM1U4}G*rWTYGemdiV)o)(`ZHnPmnt5WKTmV;q1UYE&vcxCF>S6tqjxNid6$N;7X>|IFVYR4;&6YA2}}R z8m5Hzz;g#TtG>JpO^k6HJ%gA-2W9l;-)@nyk>120^2^y-yZg`^ut$vv4{klMB{ZZE zzFqeAyD(9oT!>$_fwUevCCEb^joTHfX}xK-y!Pa_}-PO3lvU3eEmcIv6DMpR(CgL|mp5)?QziXyMG z;c?K*KcYP-3g^(l$dosgOHx8)!C#=X1{Sa220bmRbd)B%(*ZIOsf&vJhvjU9HFzGd zJ|TL@pa6n0XG=V4_!Yd86)gY9MY0himF{+8)yl8CwI%af6ZB5`_9FI3fvhxQyNq}A z4kY6i7$BV+R0i>hzEGP|+L_Y9E%gZX3}ax>Q#2OpnH6rd*SnSvb;hFHcWD=cu?f-a z?z#sZqqZ1Y+vJc4BV+bI%>1RTfvbFMp}^?nQHyy~Lx_oBoJ4>I%EgUPjm{FsyV8(s ziGTd{0R8C$oudiezun55Ys9{LBksn0DB5Sxmj zSz!b9QheZ-b}kpJ87CUUk)?0Y0H!6E*=~I z_=rGiGI&W$=r5&~v3!5v8IsGEV88xI^ESKaUWp(usuw73iAvLE^a8;n(MbXgmJ^21 zsfiXJeUElu^0=g?^gLTF4*xY-T{A`HES{nnZeK z`=vaeqU1-)7Wwgncz19)|Ef6exEV6_WR1+` z(@p7|t;pDcfzZjT11Ua-T9FA5#z0Xc%F$i7lMfC-D-|!hhuKDx5dRl*RUk@lyyJjH z601Bw4BQe*v)pH>((D_q6UrNBog-@V>OmeU$o+mOTj{&I6nhDxS1NVkWhojqU`8hAkHi?BoQEX^;NJzl zN>UyG2t##;)GcZo$zXGV^o85NGV0Bh9L!7<86L^B?nu+Nv{I_fM0SIU|JZ}Hg3+WU zNpgifD!_X8@y=^>34T5UgLiPGmN1+lI?omf!fZ9Kz zDL1#B}igkMBh@KUg=sXMVd^Jx{cx-M5{G1ry9w?r`01`6WA1TR&HQx&gz)f;khl(HXWlF&4H1APQ_olEwHugh}=~n_h=`y=bUJ} z>;%hLYQcKnyS%DQktMLRIT%MWLh@C^pu0s1KPQ3d&t|?d^0}v7t|w!c+qOj6S;WF4 zlYn>&VtdUh3yjZ$PO$x3<;2}w!?Ueul_lHoY0JCS!a6(-YP8( zS!^65FHSU#mJAR}N!Ku`C+wYTioVR>X-V7C@VPKcyqJG!CL8i9w$3+rCb#4&AZ=v> zlSEX{)?a}HezDA7luEevV|L~hqEwb-jGfAT25lz$D>8jR7}6rUppn@X+c~I{u}9AO zI`EqRLlfYeOjiJ(rM&v=7R$U;t z%ZcDhLmSql-Ko*5w36?@wqIIi%W;0QPu@8j*>xfP7Gsw6V@9zv4R4b#C^*GR(8+}w zn}8_9otH3@Q5Uu~6#}vf=s2{+INajs!f^$THSchY^IbbF!4RQS?Uv=5cFPVS3BcE1 z36+Y1$eLPu(YjuzthkLtVy4c?M6inaj}Q>EV4n?4Mcrh?rlMYmW2Rrf=LUNJBo(ob z3d_|umaMS2C+Kl=ua0Uc>j=(}rFl@pE1NkGfF{Wn>Lt+2`z&pw46J1`IR&uXkI-gmL*97^we!mgc?|Om&2;3nuLC;+ud8!g zmbUD)qU7Wi2hhf9k3Eu{8YBIT3yDOvv_Ti?|H=(Rou!PV333udOzr&6|*R=;qi!XW$S=AddvTD6kdHOTMz&i&|w>zle)_PY+1{LGPc+lKz$@ z|7tIg#1^*uelM#9uJ##%YinQrZ;5c-!_C$HdnBU_KxI#ntt|yQ2y7goy`IqBaIlbk z_EF8m5$OE#LeO;0Y`1r_%X<{Nypsq+7@pdrrO{oW0SakprP6SZ3Y?}ZE7y`onYboV zl&EL%a6iL1i@Nm(CNaBy%f$pxgmQ(ZsO{<#=qU*0lX;|=fSiyYn&STq5O_#p> zjc;ljOE^uRZZvf!GJtFwCo`|B!BO4H&LhAA{{+{!zXRT?=U|g4e0izDH$On{`8P7| z`}E~+njV)PeUeHH%oNc=!dKmW2DJ)pB&fRtbr*%J9@Xo5Nsr^b5xq2qIb!@<4oH3Dce$bZY6Qa;lRHFZnon24|PwYfD-}>6R3`zmr04J{*2r)Bj<^b zmqgChbEMfKw687sJRJXQ@Vj0_FH71l6F6yBHmWxdYj-<4?JOh>?{dfQe+ zJeinA5x?3OOYBK)=h=^qedDapE9c`uU8j)-}>ouC1!}LS~ zNq1&vfYbE%cF&#dQ%EW67u4ov_3D_Y6oC+tOFBVVe&e7O=|?anQJwpl z-_x1mJ7j3eU0F;isIGqxfvmv<-VSV2TXEGk^-z6AQ?(aM2b7b$JdoUxz#HP}@qgRJ zitH0sLK(Y-Y`Fq4{^P?}WIn-c5s<*Q`#uT$bLES&F9!*Wj`!au@y$H3@1yp@Gxq%> zun$S(jw9F}%Tyb8jp;R4a2uw>PnACO??*AdVzn)3?B3Rw_x(fe**TI)e2HAv(Ip~5 z0a=E9$8m?~#W`&^pV;>)Tu21O4_;tb4U5VF`f0Se~UT5yGzG>liSxgUXa?x zFe(u9#CT!i%f#*pOry=$c@zKC^FkSF?b@%fsvVVdGPkb$$YFuKLOde=ZzZh>{?A8m z`TLN5L39L`CU)H_vW-eaa-vrcZ;fu@mF^s1S>ni%@s9rP7uWrh0%_<|+HX!|=fJ@& zUk%}!F`2_xgOrkc5(0@VyUNbRSQ?u4l6I}V!Qy{!v=oeQ1;rR`m14&=mcmBJEvs4U z+1J&6Su`zC?Ba=SmgKQ}{hdR$beg3FR(BAzmE0z1(fi&V_C_G__O?>xgeoh;l1zQb zTa_4)uJjbrJofmO;~a5kF}dlKUHM9A^qv}b%rMx9UHtZ!ue2p4x5u6>m5!Tv5^p2O zELH@*kZ`)5Aq;d`*W=i;Ut`{s`Lr=B(KW>~qI_tLt@%wsb{Y0^!(M9GZo@7# z>>9%^HS9dYE;8&A!>%ywdCJ!BlxsF%^@i;;?7Izn^9_1hw;Ohn0Y7TEPZ+kvfInrp zqYby!u|^P^9eiEcPtp4!}e19-{5Yd=)gWY?7UANc$sFi($|RG#xg>E9?DKs_*eTr z9Cjq|2Ck2K(t+gm+@B-^+B*%>r5zf0W9*9zd5?tPavjno zB#!1UTJGwhfs1YUC~&aLukW#?Z%*2E{(PbM^Af4@P%SS_JGi7eB6^y)12BrNxLoB# zyVD#0fhDQ&e>>toHoB=O?SLHS(Hf%Dg7LTiJ74N%sBmob_M7USsUCW=t-@p;EG$&o zw$Eq~NVDFQa6nA%eWEmDhdn0^8{Yq*=M)=w2l%H1@)^AEk|t)@K2BACt+@VjU>kgo zRd+(gaERy4V2)}#K>mQ8y`Qu;iKb{x8uS>Rxv!feKDIG^~ji?LJAPA#8@slaTR4%A)^i?)^s~XA{ReGyytLij`c-^IT zhmLdEV(&}?E4QYsv9Yodx{AtyC{-uyusk>4ElSqj~m~e5n&#Qa7Tu<`Fs2$@gv=D8T9+H zJB;tvh%ncE53f7E$3HU8NOvdnqcMju2aNB%hAnV0yCd8oc*x%TJ^mrQ!EDLHG0NL= ziEiXOk#Dg>CMm)lf`{zn@9_`e4Q9tekCK>yc}df_A)x!6Z0)=50!{la2bc}x#;{y+ zB1bzRaQSi82E4|G@*kGhEm~Ap`@{M&Z+U%FO)394$StM!o_?Sh_w(ygSozPhH;>Z@KGY*XYZxufBA$DC=<*l~rX;HNL5}^-aFK%Ei^?l~#{65=Fqdbwn+h zkMvaZGn#z=u>fW@m6wxhttXS#+B%=Ly0+R^T~#D5e6CTtw_IT-KX>EYC2S!Pd{2pgjP1yo%mMU{=Rb2yo zQz>X{s;{R2iGONMP33~J8sYu?YT;mAt;99;hcm2tP!e5ZQ&kmdtgMCem5b^cmT5Ua zNR_Xy&N{z(LAbMVQ5kLJ^g3%f_WZK)>#YrSO}^^dN^4_%WqEZ~wM1&Yp zUsqjAtEydDR_#-TUtxs=EP%D7t(SW`YmAa>sx4bwR$Wszzot?HS)_;brfT|6}u zWx3UeNwwIs^jNDKf!5X6lb&9P6i*1Mq{LdcxU!+Drfvynda+xZYH806P$A-Fm6(LE z3Zd!EoJfv%v36W0GD}t~tjPT$YgsRE^mHL-I?j@YYAU9$Za|d&;ZRgc60LQ$HOq`V z4iHu?5{JC@!Ro^=gF8Jb&LO4q^%4%1O{mCJ2_P*Mis!nB_QI?wK$mXZ0bn z)*CAu>c&c43jbL&i+1cl6h{8chON7f9WX_>L-3F-J;xjKwBp>t@G!%_`>LDzhB~Q- zC>+V7UXHm&b-JedN8>8Wd}Y@2Ct0V@E-KQdDa|;)UUUX*Gw_r~&~>I!5m6ljB576C z4UHkPL6JyoAG}LK^;?g~Gii{(B}&PJlFq4(s*==HmMum;&p(NucTayXEumJ%XWyeW`Ax?(2Hf%Ou_&K|~vdD`{1qK8-y zIt-x?bw6LoMeZ)v>rk$t^k!Bz(#}X5R9}`$Q&(L}tysR?dQvoLnW_FKN6Vg%;?~pv zq_L!~SQl)OU1`jlGaIk3BoLH%wS=|^m92ETB(cvguezbK+&4=!meJtwxF~%F;ZRw9 z^$#l=#Y_4QOR8(V6;gFHJ&Tu4Zd}G7Xi*8RbOZUUt3kcj)fQJaRM%BZYm_|OdQg|3 z(nUcll?p5Atv+{E#aiQ?*!xEQUR_|+SsFYAuV*03xhq=D0=%RvO{mDRNsbxRtpVdbMf?#u|c?U7IIrC01d?cv`to-TX(C;n5;-}pjc?`eOC*48~c=7o{BMz~i-xNrOV zz8|cd`r>I1p08Q|5c9#jmI(K%2)94N{j-G|=IkH-;%OVxh5n)XDDBAGi`ITJ<+q_brmRMTWGuSugtF}sOo-Ck`uh?|ns;-wa<^L6%p3cenDMAJLZ zBtMW^KCQZT!IGXqLKS^MG)4Mw&1#)f-Qa5~tGS}7vSFE3Jm_1~{k4vvU$e|t*=VI7 zTvk!hAR~$~^#lja?Aq&V>z347>l-VZD(dL2)zp>i{b70!zPj?d8Y^AFM(H4rsTe04 z+D}F^pRQ~pJ^T>v^s?#nQLD5RtyXK&YWS08Bc-;fre>^GJag*wk^*o3+~OJ2^QV`1 z^QKOjS~5#Zd7Am4Cv~&l`0faUh5Sl@c?|fPXP8ICh+nB;hw%P0c9s!Ff?*#eo&%Vt zjQBo&syB^8|JwT<8P~=E(vtAE!SO@%#YXs#fZM@$4R!+dUgCNTBd~QwyvGe*EyOKh zCmV1Hs}uM8*x_-8z6DO%$gpggCIv-PYS{+=w(6#Z?>r0@JCoMD{Gr=l$^6sqd2K1H zcV>RG?B9w<HvU1!13z2yQuLG8jLEHgq#|YLZV}Uy{Eiq^DmhC>x=OdR?$qtpZMuEk zab-8Rj8j#4@9E{rZh8G@%C3Cj1+lH(b>S5~hNkbh7SPAMPbj)8E2UilO2L)$4FWkvZOqN2EI6E+& ze|^_|lR-CNoOS)<6xuzQ<49nGm{u%zQcJpp*ZtznjvHm3-k(*Gqb-ONu5~(?IX}$HW;lrC!(gI?ZMp+-Dc>r2BTZrk4)*S6VUC3 zPUJC?hu4MWRd|>}hj9YT77pIcwA} zzY8PMn+0wXxLE_>-WY*f1Fj9+2?OBPN8sKIt_R$Zyo8spF9LTvxJBT8U-?Mhj(|HC z-2X`4k{BG7f_upT>8*@NL!p7ol1M+ET_1t#1Gj>3Rp&559?+JwrFzFh(z_1arQm*_ zJc#V>0k;`k>A%TOV26RVM!=2&>i{-dVd;3$(X=hV#)QF=fNcb3HDIFOY`~rZRw*zN zI)Hx5GGEnSzX@#(w4Kn_o{V<>z_c5nP2zEtLEGotxGZzgz_jl}>xQ=MWbw`(nASQ> z)8;{Y_sM8m2c|8Bb`!L|lhH05nD$<1k3hTXWVFi$rri&%=lFNsYS2Ge8C4EUo4|<1 z2W`j6;%yn2wg}qY(AJ%dwtQgPR%lN^D}A^@pUJR1c3+VApQN*xu>HM$E5+~o;#e1!-xO#ij(dTr@vVxZAZ}fP`Eq0Al^wHy0oArR z%V6QUp_jDpCyY7Rk-RbI4G+`cxiM3=(f!>?n7i~a=R$G>+66)@eZlfLi}0f!lhyrQ zH_em!39JRkV|19^jN$1_1-2j9N7(X9#$@sRh?%R81VEaM@qjsOn5y!(5WKzM z4W%zSNZMWI_5qTU7Zc?iI7{Xq4S=2-V=f&4tmX=AM16#G6c6d{DN`f8GQwA5?Yx+& zp|Q41K`42b%O8BuT#Q?O!XLRlX$>$gUQ$1S6#?r2rp6z*1vU@ZMqocwSUOC`MSFnF z41=`-i{%l7@bK0GO8}<&QAT(hfw{t9QfIaUn+r_xhpZ(oKo>Aop=IGbj9(3YDN<$w z%S?wUYWP4L&XxR27|E1b@hRQizZ)!!g1C*QsGA20L-Ei>7z+vGDV};b(+DHHpO+ig zVKV=#|3rcCZyj`Nc`{A)<8Vv8d<@toVE6MKCUa8DW>721r7Fstn7S|*xsm#O1lm!< zyY=xa6!l7P=Bxk0zXZk*9{jH{!dCM&Wui4u7CAA6hW^NnsnkJ|w>)Snp!w1F(RdAN z3P<8v4&55)=6xSsHF2Q?g=Ql(oBk(ggoiRNdLJ5(#KTWu$AQJh^o7MTc5ngvfx^=9 ztiTF^h0CuCSVb62bX_5^W?*eXO9}KXU+Z;Jx?w6ZTR7Qg_ANVRSp-DYSqXbRVQ(Bf zY?{74VXIR5kAy9J&`@xP2|HE3`3X$MMaLsxDZmnV*YrGvHF(=B=@mJ%fSXFX2dQ(- zrl<{O(Nhs4_UxFbYg9doP}Qm)Wf9y`%>IP#?$fE)gNL0oXk8Q;cnY)r^zQDY!NaJJ zN**`}p+A9XPVDYhXRZgDzT`{<-{}qyV zf7Bw7MfvO!;T2g*0dFaIp}vy9JiuxqV8y`Z0XtLT<~Isc0jvU;8XKdlt~UC3;@6B{ z3w{NHj9-=EC;CX*jg9y{E`Fi3h0S}TB>PkkNvnjpA3EFU?(S-#BTO>W)2BRwUo(EO z;>S<$A4oVtYfi(jgh)3)3TZdnjB$)i5 zgj9Y+hBxE)F@C$mZ(td=nXet7hSH8myc+t`$4f2^@=e%_!+4d1-yZzp#gCuh+kou` zHc(oM@Jp0~C4&5)NQ=y;t%W`^EmnftfZtL4t~J6InNBdzH6)oiJm7o4v0d2R{U^$J zkob$u+Xvu9c8s}l0Pqw;_GLb8&6w_PrDqAc7~=-k3ar%V2MjQ;Fwgw#0D#wX61)twwSFYzmKSg~9Upb^(KB>L1HZYsN?#!(o!{ z<6&tOJV|$F1nenb2O?m5feEj}!+RgtrU=+^U>hP}a(UdnVKB+R6<8~<(At1%2d>wv zjHFA(JnQfeA77Uk;f%sx^g#*ZYYD5yfUB_s{8V98=wX%MzXt#Hg!Pxf>mL2{CkJY@ za$_zNnT}}UkZF;r_X*c>ad-C;Y$?Z{b(-R+83Y#ANiG(bvAjsvsn;>+nA<~;lDDKw z=mTI!%E|I@F=0UFjSvjsVJYTb=+%4#-vX;QVBvM41y~1o;bnU-u;vKZBSIem+YD@8 z1Z+RBVql@NlXyEZg}_h)>SyKu35*ArJSi;slXA0}Kkn)N3!TVGDvuS1@*sS18L%w| z-GKV-`hj&(7W6*oHeSksc1aBJ_M=y0t(1ZKjxyxa3Ndn3#!&}twM7r3Z(qDPY8nF2 zrvs1^V}7$=Cz`xLE^`f!O`yMNljdC&QR6U8Zm_iMe;B8S#doMotmdg96x9|y2967yca3oR@lWQD_YA=Q ze7!9=3jKkM?rxDWJ&uC7!zT00o{`&C@}xrlxebH;|Yf0h9K^6#;7omJ$KG8(2~p%!*suiv(cX zr0mhbQD-9P4BBO`j>#^i&-UQ8aZb0s9uJQBn!)D)CErPy!{DnvC-_&R*9GpvbOI08 z_fnKa20Wy%1a}_h0J!ok=_)qbhNU?71JBXnHoBXZ+?XHXtH&!ccpU#Jx_=gZEwUjg z7<~LGawutz<_4UtLWgYi)Y&X%J9;#Kc8nEo(HHB$RpWbbMP?HiASjtNcm~R#Wq&uc zp?5bGG_xIISs;v;-6wU1&FDc#viBZhL?;gxZnF{Y@`sR(lZ49@RKGz!5_#(YRW&Dup=Q7KJUa$GGXRwMzb|dt zhVJfjPLeir)&Pmij$uBfUwpYS%$)awX2)DH08~_t`VE#oDGxD^kUq68p!6Smzh=wM zFP-+k|Np|}H|m9?44?qU}BTQP3TX3Tn& z%0l3eVb=2fRu*j933(VITVBYIPg13%P%m$!)(R8iTMI^%6yYH3X_7#z)ZtbVisdoWA4ZN8M71f zcg&|4Q@Ke?#^hqIz*J$DV%jk4Fu%tc$#*)HUb@RhBi_=lR1X7w6nEys8{D|=V_xgt9HH|sh87ge3_-r?rAu4Yw8*+d!6in&(jZg7IK1x6Q6?93sgr$^mBuKJ@j)N5k9>q zKtq8Dge}5#264U|(JZN4>NDI%ocgIzoyGu#5fzm+`UI+o!{GYy%vo#}H$u~Mm0RCb z#F^bbuy88HiJ2TK%0KR7hs-igXM!}N zzOvRRUfoad1la2mPV~BFRr+*C0lT^z7gko}8V9q4a8^xaWxe|Fl{FZ6y0fmnH;9tT zhDCBBR;5WX&+tRW|NHm|#`v#MifC>Hf0!wW$X*FT6_kpznTN(yC zDzw0w!(a()u3Zakpx;K|J2Cq)?_*A25_x+t6*C!Agqe?7j#-0Qhj|pU6|)!fHs)(g z0t517j0aPMxf)Z2S&sQB=26UM%pS~P%u&n<%|^GpQ|m6b)+^BXu% zsckdK`Lw2n2BIxl$bqzqsSKSC3N*iVaWz*#$T`(HWewGma;~@#A89RBOQ~t6nQ>36 zt7xjJ)N?`%*#eW(ui5%BE=~J4ffy}V{?csi59TQ~b@R(=vTHcVrTxWlNxC%cZF6tS z6q%h){KzFl*H>2LFO|dI3o5m5%u^fla&l)Ck@_5tkmWN79v6jcaX&U`_9$t%jog#g zG~BRJPlz^2cd3MwMor_GaJ2+jQg>x_MJ0!`8?^hP)WJ1Q)T%2RC|1?6;D&_z`}q*e z4NaA`<;%49qBx8TN2PGN2+l$iXna@8x6XKuxYkjs%e0#W)~Ddx~@g7S_O`|YV z&hl%2vlyr8;I>ar7i!OhAS#)1DYpcK49wy{UQMNO2yyp7P}ug4C6v*Ux}MxKFTpiQ zlvHiy(rTZUjcd_-Z+TOLcTpLf4rpGvONoQecWU1G+^bW)*R(0-GIdD0*j(nTV^MIH8SaY^X!FfgRW(hG3uWG}inIOY+70F^B@xTaRqFBu zP8OLLAp5n8MWP@otEnun6W4mP+-xDCJZ$C`jPgbG^zzMcTu0KLGdKDg$``VjwO_t! z%j=hEADb6f)i;n@me0O2FDY-VsjStsF7MKhajpqs7aglf0(&Ef9CZ3 zBB$QVr!7b%eg7-WqQ=GL4L)^VR!bocF*Aztdm{GWo;@pnW(ZJ>JKS73b^5evOY~)cGOY{GE_Y?kCER+9V^PHfs^$xR* zu%*~0*;;K+*mm1qu;thfSK{yE|JgxZPmZilfYjh*8Q^kp!@Ic zp!;k0@U*kjoM~xkv(m0l^QQ&U?n?W4+HcdIOxv0ELfWfo@1_OQK1=&5ZD{(b>1U*0 zkUlouo}QLokUlMacKVOfE7Kd&Z%JR3{^Rstq(7Mc@9BR`e?0wf>D$tuO@Ar<)$}*h z|DN8RJ|yGRj59LM%@~tWlu?~gpYi96S2Ip{PMvhgq|!+ZlkS_eVbY_Mj!gP`k~TSR z@~FudOirCVe)5#bKbl-SdFkXelh;lD>*NEIk4*kfh2;|j-BjxtBJ;|9kv$4W=Qaj)Z7jz=7$oDSzE z=c~>%*G$)8*TnH%(!l zVPat7k0-93_}huEO-yxPpSC9bp7akh&hcb=9`tPVJn4DC^Oa}zq(4tOV{*gf-%tK% z^68m5ncQuWrLSwm*jC&AV*At<Ih$O-38_}PSCO!yOV zzck@Bq$8P_vnJj#@g7Qir27&|eTsX!`yTgN_aEJx+;M4Vq+Oj>mbN(U=Co7NZKan0s`COb~&-iP`){NIP-p=?}#@88_d9LtOc@}vxNS8OWD)Xky zTZPy2*zb$AW8!-gKc4u-#BV1Kai8iw!+oxMjQdjec=sfCzI&Q`wtJzw-o4b_>~3{; zxbJnZb8m2OBpsXGNwQIm9X-K4FPo}Kjeqz{lD)8vmQKb^Tf z^I+yXlFkmjZhU52LP@qce&V>_@oUGwJO1SOt7DtvdB;A-n~wJ!U5@V@L!EKXk~N_Z1p_ndByXF z=UvZ79^0g}NmotsPHLL8W72z*-kJRQvfXVju>Z(jXTQn5(Z0uSal|`D zIYv7&9kU!>$E}VB9e;Lw~w%u%7WxLAy<5QEjFJ`<(?f5X`pBcw8zRMWuiSvx~oaed7GtM)?Gucz%neLh6d2MnhYc1Ll zC4%TCi!Is~YfG?MZOOC%sWzLw!M_)0oz-)!?yQr zowko{M{GxJ$89HUn%!bgu@~7(>^15T4eK{%){*`{jv?9}YfrEz+DF-w>{g^H#hz-n z*$v96gr9=#f}okTt}&6o}2YQ`^PGjwB4@F)#5vbl>YV4S za4vM#IP0B0=Thf#XS1`#+3H;5>~P-gyw|zbxz4%Xxxx8}bEESy+MB1Go1NR8yPbQS zd!7582b^y?4?Ev?b~-j>D=36uBpM3uB_{lmy)sCOBu%D1x?x)Wd`sx0^W~;02U&77$pZD{>i|u;4 zzuXu9?#K|ezK_7HUCrvP?w`tCzuSAg)jk8WHUUkk`yavo%zokjDLdpJeJM}I^`rmy zypaFh_*aEC{DG&Zzj8sy|3nsdDxcpQfbL&Ikb3;v=ckr$>-9G1&%P(*-&&qpUMGA1 zjGs;)_+!Zb_`=lk>8xvqe!BniQ&}NUpu(S}mS3YYT)B1sG)KsP{m)a&-wY9B>;4t) zkbm=ispbFb=RYJfP(=c_%TMi_edoijrIk1!V?G8+2n{=c~* zD-Y2W9w4O9|#d+>-7JAb;!Tum#O9N^-JGeR`B)oHLp)CSNr6RFm(Fo zSasL^kK%v+xxEqS{ukUB@*l-rRy~JCd*Xlo){uYFYpLZI^-KR_w}t%k4y2aTB^6K|%Lzuk{M_Rf(1TW_b9H-3`2x2GQ!-kvg7( zWOL2lw6f~j+?ge_WZAf>UV9EG>%%f({S}{HWAa)OY#}RVO~mU+G!xJS!SwdBTCcCB zk!J%S*sDOk8Xg9y@NwPq+EYN$eP!+Z_aP^@aWd<@IppLfZ0!|sc$e0A%d~%lK5x`c zgix9qxeE4TV(lBFSLVRwK4xvU@`JuyyDs!up{?MP_3i~rRQhUsjTKDyds%=euhbsw z=SNm_ujeAY8k_12FX6-47_%nTNZwkJgAN88#Zzt6HEU9dUV$C=3lHlntDWu%UgPF( zUR;pbbzzYF@-b#jD%BQ3+JuWd)_E7Gbsn$qu~GXX0$Q%1#o)7~K>6VvN>&=RPXaE% zcqv!!H2KoB>oI0c>ZaUEGL;JE^?H{~aCsGfSb6YrccAzB%4I4_?Jol$G;mG!;>uo} zF_Jf(-dj>MtEVg^x;ZMkpRl252Ve6rUhmA=(@UmK%l8(~%AcJ#!#gv-XhyELXzHvI zZ~pW=uUB=QPln|s6#byHSraAFfGls`udFlJ4Z$y}PK1&O_Btye2h ztoiWM%TiRz!xZ`>;Idev$)-Ad)S?L_vlW@>oOaN#NI=74uUeJy<~g#pPZX%O z59~*T?&ZOb%1V{g`<3&CraDSU(GaG(P|~c4vU(^^(|)eXDyKKt6FNY`P$UIR=)3~s@O2=8p_GYh_H6=xnhN48W ztE;M%^FlTzdcE@IE!eH7e=~1?h46b!T-gYmNqn zu)u~fYod?$!Xc@w@U}O7xr%MR2CmY)QH3fr|1bZ3P3FimKhghpVjJq+>(h3MiuyH^Z@Y3W zE}`Oq0fI`aZ7TOJF7wv#)DKInOy3#b>-g4V z5vIxIuE@e9KK0T>n`z!@`O{#4Hz#}6)Lie>=>;=pPRlNtI%B$)L-q#sT#BcW-*_~e z%I`UR)cYEg67XqP;tI8i`USOm)97VS-y-i~yY{D(BRI4~q-Veo7CXq7#uiLrMW}6E zhpVcow%kh+_|&vxnBF#VV1_>gj;aFR@S)^v7(6jJFM}6Dy1c?EqcJO)d6kCJ(ZZaY z#XEQA)RL)G121=j3j@7*`8l(vXl}AuJc!>K;bNqpb}bbBfJ*=x&9OA+(v-8cpdXNI zkTOhfBYFcaqv#*xGeTV66p${sE69Z^$UpND5+S^`S24YftyI({>@jH|0sn~rhmmL6 zOD=gAVRWh-7;QHFIQotY06*Rb96~NcAZV8K2Hr~>yTG>)d?+rNi`f2sNPiwbt{$t32{qCQ$|UA%x5nba76Sl{=;Na70gPjQ=TWGq(95H*$!!nSGD&_Y2t59; z`~4eY4=eduOjD-Mw&q%0IJpjM=s)SHlNbCkge{#DH9I03{jqzA?$}* zpb(>admm9hHT_bOWf65d#I&6M=4c(W2i zW)A3(QKxu)i|VUtd5S|buhs)jBJEF;>S(1N&27@1GMk6!jLL6G?lun!3V&PSvGM^T$H|Rs=h;|)j zi8fj=-5qVz-ywI4Z`45jq9MPFmHx8DGL`>qO5=ab2_lG*PNMpv#4HtcP3(5mVnf|K z%g{#Y;hj2%G2XP|lB@Jj_2`93fKwfsS=lZvO6-{~&7y3#Hbm^)tF)nF=kcnBc8YxE z%%bxatzc7U6=#>^7HY%9l|OUljG5YSg(AJw82KU@Q?sX+XtCm$J^k|OGp?MjohpvJ z{9L+nMOvIVrrNaxg~7*Z`iDb1UH@=tBNU2TOVj~w?FPc(ORtZb6woR&T7a&YvJ zORg%;7XVHzI(#@`I#=#ivRJ<3f1D|v@n=nqS50o27yzKeuEbDfG!H%Tgwt^3V@~sW zdFGOCLaTsfaZth#K|bBof5yyoX+Kz&9i=Mcq`8DP0X-=le3@Aru@GF%bg7Jz%y(%c zDwc4>qmd4S=~Agj=DRE-YU*XnYlFOfWV%!aR_42+Pn$oplH~kQf_zR=lV~#L=u8hQ zW$7l4d6-W8WZG^7>Pa&_EJ-FB&_nZhrYB4??h{O-Twr0_6y`Gs;0X3di|)AIadHQB?^|qT;27JnmJFpIpzy3QH}Lw z4eSE16=1#&jE?F}56}V~8jH;IHg-db%Vztk_5IQ+;Zt}*u3o}n+f1fVLQu$nt!ZbXlhdaRFj?)ufBobNC~}X#Tm`Cz$D|#4Wz>|f_llfxZ_lS)$(}{CRWO= zQ8Qg9F>D3^moDHlJ`^|`j(rVv%ld_Wt4Tsl!+ZhN!cNIB~T|uvZ|H zv3lXG5_bSMvkgY-Rcb?QoTk4dX!@~93e7|44`5^*dkIF5;m1Y{r%6X&GyPae+g+AW zPVSZ{qNunEpqZ3gZYQu9 zxbKKF84be59Xq_%8sYd!YrE`KXP-P({6A6KWs&k?78(x`t68reQN?BI6h^MR_-8Rs zxe*Ro>dU6r8D}%pH;>VU_O4fGNB+11V4rs_drm!SpD9n{F~MB@1wLJsd>-zjABmJ# zO0|E5!;N#AN5f$S^2FsA3Zj!0s6&R+>wLZE438;qo;930Lk}Xi_qj{$OCz-2S1t|V zIIdGE-BMCl#~4WasxN4k90}0AR>0{z<&%q3t+9Ngeg%h4wQrSk zs`199&du)>Oiv*U>^axjZQ%AkacLa1oTWdhSw2f0234m+n;#YS8-T{p8OoJ?pu!vD zaOJJaLmiKuf#4X=TKW}KKN~xngP$RIi-H@G6H0-4@p6?BhI$Rs@YHToKn^8=CTBAX zc=<9r#2-C*+U;RD>V#!UT_j3t7)nntwf}>?FAuM(y81r*oO5q(lAC)&2oOSqKtNHV zGKowgfdq&Ih$MhxAtX^Eki-lObsnnV&`O=HTD4YfwV!jHTL*9+TdlUWb*x%v>uhcP ze!sQ$Ik_i^zVF+=zUO=1JkPl~Yp=D}`t7y%+0)s3A4RqVU%j-Nwq}S0np!ngJwm66 zQ0A8}HFf~`bm60v%#kc-rk!!qaZWIp!V}RmzzSQ7SZzaQv2)xT&GmRjFw*Ng5{dhi zmb_xAMf-P^j~-A0s$niclwI^YaPWY_T%#gu!nf&`>xKVtbb3?}6Z4 zt%d5brK<;f20 z7OTVSk_nE#uZHhfE$_5kXiu#|_2r$; zTNp~^p_=i|P|8ouLqzXPr4p$J$@`&F$<&pk&QdBrbw0IhQ>rk<6R5l&D^--LBz3k@ zC8?L-An#nIN>hdw&Qof1>QzdfuhiHS>oxBJrOH#B1oJLZYJBQUTK|bslT+KtyI85I zDZaDzE>UV`>NRTlsZz63pOAN%QkAL4Y438S7Nl;Z#f*EKlINIIl6G!a@&c3kkivH;d9g`tA*MT(yv(GgG45STUTIQmN#3pG zH72!;oM)KB(lwh)Y!uDfzfb4JG-ol24n|Fv565$!AS!SCWq@`GQG(K;g%ge9@#1 zA^C)oFPjuQMBbB1zK%V*{)-6cU1`+IhmgIe_yFb=dVfC+xs>F?xooQAy-kV_Hv>Sw zqqHydgei{qt_CC|U?Qd%-qV1j1mKO1_r3sjxmS&Nv(#<06`Ew07rAl-@=sQIrVf6NlY z3KQMZ{H01#2S$tepCH)OT5ckrr)+{$ZgM~sq|E+O#ip=0A5^VFAWej;S*= z^KY;R4r1(jvmNwKm;*075;!i!HRyVR`=0qB_~;?}cR?VWSjzF=L88;F>C7p{TnG7O zgYfM>DCov3U&ViF6#uCfp~b%?ihoNK|5m|&H}om~tx^1^3;vhER{UoO{v2Q$Otb?9 z`}u->G6DgW6|Z@~m;(Uw_qdiKcsyP}E7a{#sN17ZcSND?h(di(p#By56zYRfs1FNN z7v58-j~JRANgBJ`9rLK6D`$f)JO;QPz@@H#CUpH2MCdb3m}YZfvR(5mVq7}7ys;iC z{vD<$$Bh)895+&UaxK>uo?Oedg(vB$Sz90G&@M%%NX9(aoLcnJV;21y`4g-#6TnhMH_e6O}(`@a{ zZ(Y*`*6(qRhHMPWB-FR}Mxovtg?e8U>U~kD_Y2eum`_rl+#iLyU7+3#w)%F5OS69_ z)N_I3p%Ch$ZY~(~E&roKnmHAoW{gvy=Y@<}LgJg<5Lzq|LdK$9r>A=;) zyvWlx%!@pIC6;TSz7or|Pv1@+pT0^aTcGabMV`J99-qE7U@O#8k5AvJpbMLU; zL7zh16ovYIj}71;m{O=m3G~UJoiux~W~*nq0O(F!bqK8*5cu!3D5%q-piYm1Iz0;N z3;}gL6Da;WBMRz=0_yi6s2_>{{y|Vf*qJsz_srb@bPq1K%fCIubX647RZ&b=M=@O; zV9J-C!!?4*-IlMAt_dJHY4+I7t)96T=03(X0QMFY1b+B+)aI|FHgAjCye+V)y_MU= z=6d26KinP|)!xdT;)iWuYv$bLvF5%3w3Fsg!Mx;|PXK7=;_}9)5c+Be>g6b?m!qIw ziGq413hGq>HIBLj)T>cYuM4OpU@NGd?l z23#mHJUCa|hT&06!=so+L@|vBFcnFODHTlWq|yM-Q0Zrm5Ny@C%f;(AYm^uYAIRH{DK&FUsvvin1+1qCw zYL;t%WvX;$oixXbW~pzs15|2QdE@f{w$GpdSX~rYUDQMMQDpUjhqQbz6KD&WNGVFo z0&rTsR|vQ^ur=2X5U>4^v~;Kr6o9`1?W8%3HJ!f62f$ifuOal+5M);rWLFeqcNAoI z6yyd0xq`X`LuodKHpAX?hqy^+r(p$O%w3Fs=+T7+F0z4kq9}&6{J##H< zw?{$V9tC+v6yzOIkar5my{Jn--WdgXw}3nlYz29b&xdjoXbiUSV?Or{0o{!2e1s;4 z1faf%g8Cu~>dPpoFQcHo5>Ow9puUQN`dUB@fhh&`FQKP^c7|L=P=jLT767^x7aq#v zLQI2Wk&0q)EK*Snv0PhG46)p#J`F`NRuo}1UKF$Sgp=lcg&7kwx5L~&a4mzq-SC1% zGiT?h&7Gq*cZu5EC9tW*e5}~KkoYBY#s)^UnC}*2iMa=C^~1OrpTZYG7d{UhZ{yNV z*7z6)o5(WGrI_h4^9fkHlwe;9WPck%oe_mPBMNn96za?<)O`f%3hEN5`$VDcD^QOD zTcOU5v0l9hw3GgjS++E0#sW|yt~(IgG&JyET@+MZ6jXf_RDBfGG66M+x&+j+D5wVOz4_X9|4H4)=@Wg}=Oy@hpIN6a(<;03r&N9dQhG?P1{AUmTVyP_bwq9D5k zxq)c`RV%SIK*PohA65(RQ`6v)K^ z5PbqJ5gU0%OnTi0__apWS{vzG4m_{J*UpOG{kg$6w~!l zOgBU^-4I|>=iHd#oErl?>YST1oO6p{anhV+H225M3&1dVr}D-ufT$=5obx~w$OB?3 zXr8x6aco!Sa;=bd2no(FS}i;gR12K>G|$IO z5m*axH3H%vLWnO!A-)jx)gPl6{}}j6^Y2dr^0)N6B;w{|0Jv7Zu`Ug`dYao8V$ON;%CxNQxm&~H_7LwMiAbsHc)5kj07 zg*Y$jxA{?w^P_%SARq@)mt^LG0HzlE{RHOTV5{F2#@QM!2koT!uD~1^H>&{XB3#`F zU0oXZ?Vu>AgQB1gj)FQk3hEF6^-KurkSM6{38+s)P%DKlglQ+uHx_0?+*}MmzsEHR zp`VAKHby~hjDp$}1+^&(YO{d)5p{{DHb+4nB_M7FTRnBO6#L(ScG7%rVor;jX936^ zQQr6wLeCf(cdvM8v_qM$ApP`T74pe~Ps`k8>*6Kn-_rO=B(J88Z{ zGB?M~cmQg^wH~3rrXqPQa!VA{Em2UnMnT;g1@&tI^$2wds9#4x-5#fz_i!nwJA_V_ z0aT8^gOkC0pJg77n>86flEQX90m1q6x1tGP_INmy(*xpMhBo?4L~V~ z*W)zP0=8BZe-nBOX!wh7*GuecJF_OsyZ~e$;X?OBNfM zt0ArS)@HH7evJA>^Hj%d%rc)qX+-AGAWo8%c9+mKhJN%T#k6c5(ifX=EG~XO*{zVqE^?7Pe^HsQc z&No!~7hInqboI^w;y*-3&3}lFnxBu3nxBu3nqQDn^GVbt1^$KTsQFJaO1>Iw&HF#g zQ2s&EGTQlzOp5%C^kNSfmG*Wa=tuBl&h^d90K9l4`mqQdf=k_eUKI3sQPAf{L7yK5 zeSv`f8FdNh3!sOy&d7r=UNJ&SZWeGnsD^l+2EODKnYzT?3F`$qfA% z&`z4`G|VG-mjHm-qwr<~p$$|lPxGTukdH<|J{AS}SQO;r0&)lRDagm8AfFVFe26=1n4nYaX)iPn9GY-ScS^(36dbr2_+^z^5)W$4t5n5k{93iQg2_BNcRX1i& z&oBvvWvDL@{6UzE@zG?Ak0xV6G#L}3$(Sg~coq6I855()m@LVNmj}t%OOi1Lbm7Cm zk_{}HlxYIJ5)4hs4578#I#ZH@WN=}TF`i)(F2uD0;=iT`B{$q?GTdk~yl67KXfk|B z#_Q0h$?&7eh)XgOy9de0l5)KZ=)#%6@xw3~Nr62N3{6HVBN^!+8C*MMo^{Q|h?Y0H zyzxMYA43mHGMzZk+u z0@p2?zZpaLN9>GFI)b+{<0T1iL>JS%5nW94W^^&lo6*HIZ^>es_n}Xd@K$s&&D*k= zreI=_gm+{y4L07`_Vry^Oj8TGFdw*9;?ku2Ll)C$PJCh))}*;K)BMbsbr9Qqm-5CY z#GB2Gmtb(*Rw8V@v76ZYBkYxSwsqsUpa9cN)Pr?+BgfG5H!J0ra#Jag-29+$6V9k;3Uxst z_V#2ME;xJ(>bWHWNgB-JExIbQtZJuh6JUC;4S=LEqt7GZnzMu&gRKyqT`MQx9F+|# zCrq5Wl3rQ@9jF7HnLD%6kBCf~xc3waEeM6CM)(saOx}C))Jan&Q)oYP2{gfu{LR{# zE46kZ7V}A5z{I@L5ApVeh|H~7f(To^5ahgoTEKNU8T;KEIoi9i8MWy@*u}x4|vlGITk1#}|(|>q$%ofD{39jT`j`OcjMRgw)_-aFc3YM6Q5&3Vprb5A0C@Pw| zB|+*A>%dm(PJZ|VA6se0zQ-kTNw=Syk&#d?*=Y7>`mckgWGBC3(ZmmMO&C8Og`iRJ z3O{LMHc2LHoQyqN_;ic?5}7&7P~TfX$MOXyDY(Oe)H$1_YfJH3JVHb~~AAhH1<$7x!}M zP(se@0D}a|H;OWWg+1duXWll?1Z>6Y4We;l-`cB66TNH&qW+<(&N^|k06@Hz^ zF>`+exbLvU3JLu^SD#Kuen3b0u%w7Vm8gd+&k$Y4TttIx02=#hnSiZ(k$&+ zF`cKCxa`YEMb14eD?1zUO%~L_2XBOGA4hXcP0@(MPawO_g7|+`_?lODA1;TV{Rah* z$82uH^$-hSubnsFQU-e+5#x=$4p@C3hjewf;M+f~;aNjcSJ~aDv7Un)xW71V4{qQ+ zieTIx+|VMV9}f<0P3af2MqM9yQoZ0T9Pcn`u63hQP21tY-Z01C`Xthoc(e)%n_9Kwtd((paIm{s~|mc zZ@?^A1JSLxB)ps96%2OG}{ zS5dorV^l)s_+96~tpB3iABOL2b^QlLo+rnCHM_j=-W>Sgzg6TjHq0Sc{wHO_ZZ^ff z@C)G=zt`SV?s|!3>^+tH-g{3G`0aa7!TgrJr#&3q%@^!F?FsMMd)gBg>^((D<|e@2 zdr!gs_PwW~t-rmejMZ!JX=YHk_Y{G>_nuO&*WObEW$rx%iKel+qqSjOxc3xc{q8*# z+5hI=Q^@KDM}F6{t-T#x6zo0C2#xMN71j66W{G@$)p0N9bAdqX1{-=8 zL*px01Gq2W;mcD}jSr@7XkORWEYYe{-6b)L}1td&7##MGNbkT-@!) zvkQ|9s;H~LkzaYZ6-9N8E%;bn^8$pWoGc=CKg7*FdU2fJO=73sx5FPhI^BXD;hf-iFMtSL6N(~oq|DpZc8hwNNo!$ni_S4zSSZ?BJ7tn`N3!RG#i}AZR_p|V^ucTSf>ZL zofIv&XSmM-P>3O@0PXJdb6sNT!*$$TZ!>TeiodSF-5~j4Y6mgKAg-t!G>1P7!vl2< z?guCR>+vs-NeXrL`98DzBab^nhnQFJtI^ZPKxe7@qBje@ZY}IDdA52`--P+{rlW)Or$L$fg(uG*vL;34?pGeoC4LLEzISyq>|{TWaPOD$1eT%mNtSo*e&8hL zfs^!peI4#|g|lV^9pP$9>Yj5y46SF5Kt+Ty9sv_euXq1gL6)5wof=)C!@; z!Aj9{UX>vt6eP|+h3#Q*4haq1e4bMt zV1K{;#ZD1Jf*BTn;o%ZklEeOndH89$}3oOQbV+ zOO#h`-AgEgm=*8wD&Yj76%xvfB%UC2g@itec!VXPlChH6(6v6~6Q=T|Vqob9 z|4iYti6ovt>#uN+9u0HR_8i$SJ*)xe&il3dBAXJ2qmWGX&u+^@CarHcyrt3c&#@1j z9Hf*{%>8pI#iyiGDpl#@s;=|8g_FpB6KMSNWjIro%s!XY1xE=lKYKY!mVcpE+l8SH zJdOTEtbACPC;gX!IO#tizqg?1W)YzO69x~JrlfCa#Dw<=Qd^qez*2EOBAAm{g*n4A z+x+Uz6VF<^gwMNC{sW-=k5l6)&;)tbk)_tad z!7%V}C^uLPj0|VeOp!20hI39sg@#K{Kx#+HW7wk&o|_1DWoRgx!lZsI-r>mfZCni) zQ%_3cpd_~L=41Bq-b2usJCK>?V{**WopGMlr}W0SsrX6yyxk?NGp%CSI^Dbrjdgoq z-2eo~VxEDnUT!OLvs?_pj6TVwZcwSS7_I)(Gm~Eckj+;aTZ}(;YqbFzIf@&;>w# z@%Zw_6X3FG0~}`$DSfkq_p52xEq)yYZo%~+0F7_OnQj}Jm$YKGb3a4z*CFCeV7l*s z%w-^Oh{ME(@qf~AMDVBMW>?%S#m(f2xS6ycevSsU*D~A`!Sa+dP;?mkL&m;p7igWx zQ+Frbgz&2%3A&W@p@{H|&}e<=(Y1mRC$A~j9hortaP9z7;0042S&ANJwb*h`{+bpTWJM$|e5B6Em z`68}2W}@g8IL>q#8G&nyiE6%HWT)%{MaculGCJc?dP#!#-6Kb+sR$%(Jq$6PkV-@Yb;qbUV6b% z&n%X1;N>thUc0+d_7Y(i%*KX9R=e{jl{d~tAuz{#b6{fD%Lv;FrZa)uHQ=ry_hwHx zw~~XJg4GH0hz%6$0&8MvGb*%B%$dsxg^qbbABET9yXjM~7X`8Z3?rJvCk*eA`!>&> zw0Y)ho;@wDuddKMdq(oC6BMko^Tnbj)^(^Vr6MdN8DWljTS3eOfWvU1#2!Et*e(H@eK$GgeUZ)H=Et`s;_;H7hL3^u zimgp>L;?F*Yk=Wc#c?Nas6tm7rMsED*=*j9hrmrL^a6w?6{6wzE4jfF7Un6MVKdP+ zqX#+8Er{@%Mk1-mC%Q7k-G-9S9fz+lu&|XsUhpI6V%XD7+I`_-hm;F zdy>Rm9*x^)dc&NjDryn^6jgD^V9YnmBX)scHMOenLQ%L03MViF7~^<@f`5rS@dEsp z9Jre1GQo;L9gYX(=vQiS3*!GqEj}4qygp*_2C?`;V39Fypv7CZuTkLI)JS2>h!B8(e>~f@Y z1+6Ci1yGmAF^@KJeMU&;6|Ej=zGxaYog&&UMSvjH<111f0>U(@9bdJiua)C#wsN!& z)ZaX|#Y-xKym`Z8TziU7W>);6loi<#Md^UWE#8Nrq-7} z_qI~ao25Q|R9_YNs7}Ufp^xgNQg#C$1*G-SGD&EUj{-XI(Q=6;G?~)g{@%JeUtRsIb+z^Fb5Y;^A@HpZ3SJQ3YH|9b zb=3{FI4SLJtJTul&ANO5YW)QdkxqUUxX424Atne^iWH|?pT zhkOWMi|bCPn2r5_TFUm6fdnF=-VTO-WV`MRH7CEKImH&(FmLPH$C%H8A!ITxTPC zOK7k)FsSKj7YpO|vli+hUrP(^eJ!-L2L`sNf$JcgoP~9Ri2Z12U`y1%X=31=z`!kt zvxWvv>uo^hRRRlFs0C_Yhik+_OqpSyqWbKLsD&%V!nF_)Clx~ecv`qJ!-6!voT=$( zZdf0@pfY!>6N8yaXe2m=o;~zYrIuo_lg4V#H1Wna9-z^}nt* zJ`HU=9<}kL*!V|a<2r~xM;lM}wt;3+Q=-k}U$Aiah`IV1|H_)yZ@8!xcnZY!f86KCp{+WofR_)bbN28{6c+_5L zhP{z-woumIY(#vR_DVDC$urEEwV(jbjLSp24#J<~Dub7}w^B{b3`|YO>Ipf3e4n_s zWBznqsO6Yhacu@|>pxrI3|nNKeJpgoOc1ksgOEAA07a9c_yW==;Z^(+L|;)FplIrY zqB+iKH#RK+io>EP)(DFEi!F*A==_2x)?}cF=5utm(VQNaS6wF~;tja)#5@+_IX%Fm z8GfeN(zfS^@f(r(^5kqmv`cZBGr!eluW3wkjT)uF7uD$Ok%1Ggi5mT7hS6(#jNXE1 zkJ9Kb`!mWVlFfB;()bQ_IL&bF1JZXoOid4F;&#NfIkx5ap*Uw%uuQ7zJV^1Hhwj$D zQ0(Xb4XwA=WEk6+DzuHxUASGa7B^VCRLyTEa1;{GB4Axp^DiM0^HyP{N0iT}9Q?yM z{eYDqAK?fF+r{lfa5qQMF>g&hq6NXy)c|h;@x{D#LBAsJMY*dMMN`$jR zGPDhJY*L9P)e*jRMcmEG=>liJ7Npl4#mPToqKRLa!HRjakvhj4g;MHl1n%!#F4(TC z9sh&1EBvZ4zg(P$S)c#j+7--1>v{-x-WBkfT{7tRT8VO<2Y3GON)*<4I2iqZSE6*| zv%EM39Yw+}FS1V;PeDiE{|_rsG8T1(r=Z7smNGnrC!%L50~ndFHMo@FLkje_lp)Kf zbo3QCg%j22Z15HMaX#M0_Wug}UMekfvU|M(*Wg6?QXUNn-Z?)1xH^Lx_wRXJ$e@E@}yx^Gah$& z@)Lu`Jetkhn_;JuWSEokrywAm^7p~NeEP+{ID_%<7oc}vjg57 zE^z9@WkxXOvevb&Z5vzpd}hSuGAMGFH{ig0e3dK8#x!|XOT)Tlomd#f?|E#*qBbu` za8z^=Ka&xEk6#Eb_yf=<;{S+eVd+By?&BXbKj72Br;z%DNyM8Dv~Q&gv+aHav;)oS+ts5^Jf=^3 zSy9n2^nv18Mw42Sy&4}K?!@i`IxWM76Hi!?(olq-DT;_>TanQ{2&+5g{qDME>{4lR z;yD)8SgkF39*TIb6hybITsgLo#*^l2mcg>|MTPWm%37Elf*{UJ*E#XDm6;mK5NK2; z-^$F)aD6YR11!|pwvNicT41qOT2=sdpar`CX^>S8^JFVXbt^qDo(kMQ$hyC#KS_le zhz_=*wb}TNY*b5;(c)U)n}zRUbUN{&h6U9vJHQr!esC09<%fudsNoW;eN`Wxs^7_~ zZ;Ggo>KJbIuPHi!*;i`j3!-&JJNSf*GORe=vi9sXL22ui38QT$bQSHz2xH75iNC3c z%a`Iin?phJI|rgbP506;PBeIBTTIcHR8cM}ys|S)(RtLdyVY@y$=1yaJs;qRPckF$ z1bbx{o9s>*dDyL4@x2TiQ?KkAQ#6Z8_BNdY&gG!Wnl=9gW3}yX5 z$i;lxj5BmS?s-`{<+CPA`E0My+OfLRQedkLd=w-;%?yCdu=#T<`EHjA&0Ww?(g2cF z30Bd|jWeuf?jpy`QJI&l%$z_*Zk%CgEgSLik*;Pbs&)L@Irm73-o3C|q68|cCC*}d zvs17MaUp|u!W+yQxmnk^9$(7htus7$8-}Si%@jLx>X_NCFDQjWe(`TiugKapRvKcAJR6MbjAL&6Q80zkT?$-m|9T~biGjw-m=+KXHkZBZg-z&Pmw*+Uye?#o|xnw;{n&r~m?=n+A20fZ(%se18 z=2u3KK@l|DU3v*)|1q_wd(DG_sH7Ha3htt`(wCBcpY%iSq0s&q>Gv32X>Whft4TjB zsXLeS?$q~)(6^F)ljt55`W4cj zY4Pdrh2BnDeEO`=uY-2Nw5wwyiJ#<4;%6e^2keI(`3E5J7&S}cC;3eLr3li*PxhJk zCrC>X+{X)QVgw50V; z;a8BBwB9B3X3$LQ-9p^}sweNl;u_O#Rv{p6UQ*upCrDj{Wg(Jdu^4l#>(C&_;xXpf zC7?&OYY#E()HZimKR6~H z5#Cwk;X9KSG&-pGQnIuL_AOYhh&@P^;?JbeZ;+PSE-%KSHE<iDgXn!!$>Z4nH3!qHsF8PLPduYfi_N&}@ws7h@E@hdPV5$T zR5H8XIFm>D$#LwZnnP7vzG%}@8x=oP#S2AUe*)iAfuh~C-=SNjSIP)}Tgh&n8AEZi zikmasZKKC=Kw=v7u^u~)0}``Zsq%3gkeEgpk8B%1j(rx>#4d}wZSpwwSWFX(k-Keb zdC=FkqHWcn;)Gz?|?nZXUwTt-mpJ5X>zpkQxt_C?YH4BFV;T}xLtWK&pMWuU2jDB(SUF=E=;KB54Op;K0xtZI<*}4QhKW@rNT2*X>*|T z)==rR04Vy4f-JN8xyu;F{F%nSDTsYn5PP&#GItAhJi$GVhO)(NqRufpjJXmD{)%fi zxaRMHmK}kXNHmEQb}O-L>}AB;c{$dKBJR>5)+tW}aYsuB_DLO5Zu3V=ANENdJ#9;j zmTqjoP4+T`m+3k-&a{clc;1+?F!&O#i(vHQ!07X#(YdU*UWgifAuw8&>fsh2rZwrG zL>AwIclH**e-7gAjDq^2VWoTym=S>J8E1^&Gcj_ZnRyxTs#jpsI^rE%Wc~7f2vN*@ z5SUTFd=Nl%GIJfBc~PF3@n0HK1KkJULRq{vQ2%A9UNZixeltGM7iJ=QnN)NsuFnB> z$WRN`k*++u<^tA8Zy3c$!5ZnBW1*d9V!(}NqS*Sje9XZ~PO!P+090{@-iNCmQFku3 z-WeI96z_}*EU9-!1t=p~sntMcM&d}Q?a=%xE@Wg^pu9X(E*ZJI3@rLS!K%+OQ(W^p zGz>owH3=|Y7pR#MsBxxqz*-9aDY&*nX23A4sXYLJ$ln=_^537)CjS#Nj*|b$nT)g; z5stux5$Qg`{VWR1qVz0AokcypyqfW7C`w!0@cb@x%@!zJa!`5W*FbVJkVu2DFhDz6 z^1UYN(VD=cn(xXzr$zI9vB>@rls>;pg1F50TFLh>z>MU3G}9v>(N}OyE%4ostLz92 z^-CIgyS6 z1_NAkYBoXlA8`Ex`sWU}Znz}W&$&HwsVj4Ps5*DBA9$%Nb9>nSuy4@1- z(hJaj`bw5Jo-P)$U?_1R05G7;2 zq=Gj^!O@7I1ERM?!Oub4F_`W5H8<6-Y3Zy+(_t5=|6P>)9)g@3VgEPm!c})hT-Rpj zv#Zv(cWrh|S6pT}&wv9j#)XINvA~C2aXZlI$p;Rx#S4!4eq5SQM*3nE_AS8l)uq<^ z-w$F=S#6ij92J)dWn{5DxJSha5v`;8>6kdjfp@nf*?Dxsv2l+3ULq~yzT@KTViX=J zS&`YT;79FcO4%GQ2D%}lL&Fn9#c8BvXn3N~cTfcy!jpu05mYp7nmd@5)5I}h%doEd zN28|gAxYbuPQ=h@JJGO$v`*UzeF|u%?NOnA0SYC7uN*6ar-&~bf)wUvN%kFp)Nu{P zOAwdTv(*hypnLRzTHL1A4tx|6Px zmHsc5f#|^WKUf7q^rtqWgN|OF+q1soD46#2C>y`m`i>u<=;4)M_?3IP$LJy0T`FI> z=R3pJ2E6rcY-z_Fx4e(kwIle-J(&M{(ujQJUOa(n=zmWd!OVqV8GM(C1z!%nau0srlSb^xQ+Oi!Ti17kAx9!uCgI>*}Z43wSk zFoU~8amZ}+N{_CaHNyEjkC z#6VrO;>}k&S-2T0y#-3;7jpBySFKdxKuiJ2I$&=wU_bcfoNYkY9Kc#V=%mb;}B^xU3ELF4CiDaNdRgJb)RFe&l&GpqG;hW1-wIpPWTZD%O9$JH%y>Q zfyF>8s@XcVTU4)u+74CiK&z_m?yY(QA1U;JL)9DmSB>l??u=JSCm23^U9j+dwNe>c zIl)@#1rIs|VTO{eqU2~OI8l{s4V7#Slnje_4@$xf2ipuQm6H*Z9Z3{1? zv4ClM2gd?l3|IqrL=}$(zTZjk&iwSyRA z(4h?bj3mSGq#S~P!Fhfo{-v0tP-ma<4#wA8AvMIDOC1t@z*Ia{9AEzfL0a%%@-)w^ zZ^FXzrWZ|q$oRlA@^f4Gh<@Q|xhcX&YDs_P(Ogl!S5b%la}GYHuvXVFd2<&^A<(cn z>)IOE;$XhYHb}90>1=Q4Xs&@Y0;@DIk^MM4>&;Ut=?v9jB=;6H%544oj<)W0#=#3& zpXTg7k6sdvH~-(jlo*!TZ0I+M*1b%|-0mA44iJ1@_DR`}aj3 zxWBUp{RgN^XC!#|+2cG7f{D=wg+t0t$A6O1arR(#n)aVNRH)M2XW%V=in(3L(YY_c zlwV=~BIH3N6qsWf+#dsA>=0kZ;;$wO;UcCFItE?SbjL3 z3JTHXxX<&O5uE4$3iqi4<(3PlP_z)BstHESs=`n!gnk27n(pzdDy8jFfw6f?21flO0%HryB+woe7^|5pWN=hqY>~W@F6-y0z}Vu=BHG_kfw3jZ<57Xk140=@ zr@{kFd7;#_gcF4ROG23?#1n)L#Jy@DED4n?l5B%6uAovrVJcrL2o_fGtA)?!ig*I8 zEx5N&LhlKQSnWT7GI0hZrpC^mg#u}h3XGj&%YW~q0%PY=N}f=uTzjT(V&_!~Cy{+J z5*It41q>T$>~Vm7s-xHi`-ymd_FELcP;2za>4C9}ST7+5k+h2eG0#6ve%By0ik)s5ISIeLjKl6zfSBjg;sM?(l$0pfygc>?IA@sndJn%>UhB5>imC3g{Yfg+Ag2af(b{CVYByjNN&nj| ztMAQ{*V;Kl4a+e$nb{)HcavF8u~mMEXowmvvD#Pl;i>watoo*i`lycKR{xr07Y^8# z$@H93v!B3PmuzfUw{CSq<63k8y1F~HPnUs{GtriF5`z>R7d0zEc%w>Hi($=>_c zaweJUP@cJO%?^g_zO|gaWT3@;Yq@MUbM`j!I-=|blgoxNXR73e>|4w8S&!xU?B@?- z4a6js@Buc?uy)+@vUHx$`VXT4R@+)`dX_flOfy%o#yiU#nHmHeEv@)MP>X#Tk1-eK z&mGgrz8hPjp4{Sll%59Pbg?~Rcy}K8tTO|&Wp1avdWAq-<(2Z^@8qqpC z<7wwndQaRRbq-Rr(*f{9zQJKp_alC?Q~DjfB@Z*TXxsod+1Tr&YUXgm-ho?&dO;S= z^!UN7F&kjOEvxOREQur-tvP1Blw%YL*GUtGX)`kbVmQ0!#y8U2;|%k#rt-#nyKr_R zRxyv@6akp*pyMsUi2E2XX4qZEME;0fNX9Lh7{O7K$2TR?g3QM7^Oci=q1xV|V_z;tS5^DtB#dpOpGL(KzN!K{@{XHeNtYnS23pH+?2Jl$bc zBQ?(kOD47E*=TE?d|PbLEZJU$*`#gwwxUs;8S`jnv*G-KyRBpd+bi>ZX|M1Gc?6p) zbCh--wv8^8iscw7z*la>E<(w^V~x$fQptkj49n!#WV2swjt@*~J$QoDgKIYh^`O!} zBQ5pdiBb>#m9*4@N{`%({bXyR-PmB$*hv!OkU&Da0hzsNEMXLpEf5)Jw?h@XCm)6l zNof7U_9}9o_py@50|@wZ`@zT}XUsrM z$e8(ymzB;foxI1CJtm?Ubu{itIDFmM)X7&jeA&1WAJl8={109+egSLq&M$8~8b#6N zS3OqZ%Vp1=h*V&)Q+I1;%i*ofO{IL5>Tks4a0l6yy8YkH>Ri*-(N!9e@`5k<`L~OX z7^8X#U0fBeu*zI*<+(A{L&^Bc`OB&+oqVrzp20Y_5~IHfj@L~BT?3)YuWTkW%kfMiOahbWxPrmk{5jY$pTBNRtqt2k z&DDVDop3a7=hoDbI7x`g6-$;@I{x1T?uzQgbCxWrar`$Pqz1iz`#wgRb0E%m_1`B3 zSEGEy6nMTbUwnko7s;xrRl!gAMO9EWOBT;}{Le?Yg!NYehrBE8G|M!ojwy)wl8)NtOOV3Y^7EiI*N3qT z+FhaQT7E2O?tn;=UvGuf+!b~8Ftqk&kTL)FI3w^Q)HPBVn!C ze?!y(-S}#1c0KM2Xm!B;-3?7@c60VH1mHs_k$AE1i^YK}m((q_rVnv~>huZHT>VIX*cptr?v6EGGfZ9DI+n zX>s@Z)%e^+?0w5ff>FD!q3ba0)va!FVjtM>{8Z=n=hjt*CO#BkSaszjn1%NNr94%~ zIexE1#6HUTC(;}Zc4AA?jN>m@T2;5K1_jnL%z=Rmsu$PQS1g`ewQ?n<_{+>lbZk;B z4(_BtmoZ8p!q7?J$NYao)xZTS7FSBFandzptZ^B!z-2&_M3^SOe3_Nx1MDwaWO2mL z^lFHsYemKKs(DM6E~==nI>0H2f8p_b>C{GTj_Ml@cj8}qZ}A~S5vs)EkoZ@YbW^R` zEb-ueF{!dRc%1^acY&d+5JrhV+Ut3lp)haJiD%PRib>jcBx{|4l_{yy)k|R@` z_`fYDm1HI&{iShs31D!bkS0Xc=EZ)swb(4^=>blwr)J9G=sj8(*mn?O1 zM1*yCF7E)Nx&oDIlEkvb3l}e0vDnEYQCT&&dQnA^9Ow)qIalvXc)zT! zYH4NFyz0eOmCjBKsHtT-hwH7>%OiNdv}!KftI@ni-6gumkYIZu)Xt>%y<29TbFa95 zPR)`z&V6zVtyL9^ock@YWNF3xD(8U=R#oN7C3E(xnp^K|4`q77c1RfY1yT=6$lQ6( zLwX~P>%%GrV=Kk>Hv)b+v?7m=FC|1x{P7mxflI6AImaI_WdhH0)zal~#PP>#*{G?h znvbmd<{K#@)k-d^s9Ojb)m(pIZ50DRI)g`Y!B^GJp;;rSEx8OoG5=uR zUd{RnorY=@fcm;6%TOaGF7FopQTQEp32^#}MeYS4(o8h&vyl>}IvQ`zvU&5^;9YUE z#J+>EuR#Pqaf|zhF!n9(Uog_^8yj^&{j#N1PU7ePkmz49`b`Cl{<${@eP}iQxpzp> zcSH{`T(vi1#Qc5mJM8a*VVHkVsAQP`7W&`B5c5}wHKs1+6J^Y&v4JZq>!fyCl}MQL zp&;D~KO}NY&_g(vWUeJ`n~K^S-*#%D_Owlc&DO%Cxy z%@kSw)C_(vJ43C=%!o*DL&a8VHl*s?x*FEOiOuV!nRgPyEVD9H(ZegTyanK)xZrg~ zSIglx7eWO)S)m#TEoMi^xi9xC!@mGH0d{Ye@>aPoYp1j{WCYiMB-K>E62q_5yoxCM`auHbMTua3PopKbcb1qaCOmLQDApR zf!z}Y_L~5hEx5Ln{MK}cwKRUE^su)%x1y$I4!-A%Z$3X|ek}Y8@H^Bzjn?xv+!g;2 zH^ncY@ztLTaMw5b3McWjc}Ai?A4dNyjQ$%&mmi59^Z9RJb;dWVrFT(oTi`Ui7l~ST zhPK9O_Ae6qyZa02r`f?sEVX1JH5XMBK4{1}BCQ^r#4>k-$R^V>;nl=)cbky;smkv5 zbuCzh6%}9M-YERS6b^ow+t$`D+p0G-*KkEFhkVZnyC^lcZGC$~W0#XS(0x;aN>ZHI zZEtQ^+l+7RwI0@jLBm0=D=%wGsjV09V0S0sjZS}px#Ywl?o=Viq7ZzIRF$w#0w=J4 zqWz@3V5V4pq@P5WLN<-im_H1^Lk;^y^o&R$v_eAJ$D$83?xQIA#j8+d6?0J+gjR$? z^o$B!1*d~`bjYGpl*LYj#0UTUkWa5DpKI=l*?|E6wvbO32_K=)hoN+i#u~?>gvT;u z(KpJnx)KObKhRIgIs!uEzU3DUfma#W9g8T(>dj&5_)n7l=wNXyaf?ie9$Il9A= z2`wsjyR(IxOc$}zyT@H6WPZAx`Slz32SOGy+n2Yk>&8c!6TfvYlfWVd);6>{iF<8` zlnyj;M|R7nv=jHacZp#!%mpcJxSYh3?xPY?lS1>_g%8T395NnLIbpPo*uH8cRl)H`l^t{j8hgn-Nk&|z`rl#Gb5GHf~}^db`+#NcedES z5=LZ>_l{_ViosH!FyniKV0hn<#q3wsdL3aM6tY+dl*Oqi#Oe-N%w=IA^sF$HIjs>` zdut-XJ4jvyexy#Hi$klsJDTN@EW%ia;~RY>zw&;lV;y}Y|LI*Rqzcfe=Fcr$QF7Da%%4ZzG^Tr)AV;;}bfnQ`Zgm zi}6-2tj$LFi2?wl54F|897lklU zEI*lf@jhf@{#W?bykHVV3ZbRA*SJiY#$`VVtSKRj$y8QmRj_}^XS$W&rz$uy6rwkJ zltBM^A&Z_-76;bFEDPY@81m^U<^Q*y_h-V0^k`2+=*&TYCYR0?7DC5_p>(iD2p`4b z@D7qQzzuzty%Q&0||Cz9H&_VSQRe`?Q>K1-|7_H&wR$C{A zi#Wgo-*Lq_w5?;a^M%HeQ6#=?G6(&&FQY->=S~1|are4);ToHN1_t&0JJy&vUrP{| zXz&Cp=U+lr^DB3m$6-K`B7_4sG_( zv8xhJ&5)7x7X2QF(N|5s$6{iKTSrj|C$*S9w;sjI^*J>+ZZFbI}j>_Vr6AhHLTl`!SoeQ?b&vND+HQ<;o9Gy55F7*+>5CG<}A@66y*cEj!!QB0>Le1G{xSs$>XgjE&bop-fxq@LtfGpr*_#&VD z$^MOd4`AWJ!f@Vx@<)i^lRtdqR-*~RvXwq2oa|ih4~RWfT>TC1il@8yp`(hK^kNA+ zhzy3IeU4$OK1oM}%KGd;$^MZy4pOCNZ~SV!VP{}8TAKZ$cLIX+0eQ)@Pj>o2I^j)E zvoMkF=F|6qCG*n<(W75@eEPU!rG%QYzw)SiWPWbNtN5R_F5LZ=JNK`w8Bt#_%WMsX*rxQ7n1wNJtINK?=*0_dm%P?Hw%}FxykxFs}pIDeJqF4^S7A)PltY zPV#Y9j!aCGY!jtSr;~h=(Xsn&$nW9Jp zF6Oh^Dm8E5zL-AnEwod zWByFsC;7}{(iep%=Kmv9O)#oDsue%7N>lNfRz8jHO1S-`OSEvr-OY3vK>T+`eX)QV2t1-}#V{2}8|)blRXD!*{Z zU|`9+h_w$Z5zN9sD(0u;H;2z7!RGyh!6S=OQ>Tvw=1wl^=-Kv`nx=IS64T$dx72iH zC$ciPy`^SoXnx=;TV%p*Z>gED5wKP%sKU_!Mt9svAJhs6rRHq>YGyqM|7YBtNRnJ6 z<3ju!yfYt@{C!@*J!%oV?Ja-SkX@N%C#z@MTmHTp=7(Vuo&4DvmI#p89XRQYpF&@$ z$%U3)#$k5_crl+Ai61Mw@U64~^+Z-gH2D<-R^}J{c?Y7S9s1UtZkG2g-%7LGZ~0c5 z<^E5;m1e!o&a+NignP^i-kS)hga3}AmMHi~!EW%S>~t&mSPK#gMOO!Rx)prFWTL=2 zMHDXh^lyka^7ev%J-P?tggeBzQOZsO75qCW`ysanfK%{|a((H+^hRY2J;=UKcfUK| z3S7hDf#-q(k*!%evl`vYRuFWQ^F>^G$(h~SUedl*UYH7zXY70{h)EY1k5&34G&TiU zMmhy&c4!Y*o#7Out<2O=hCrh-`Br9ThU2G`DPBsYHb%+sQOQx7|Qja#s@c^OO(o8E-0Kzr%e#f{wb zmLZdApb#7mj!zp zwwE3@y`|Y67EG0lkWFtfpS}15s~sjrmWLWA@geScSvuyk5=39WzwK@X)67obqB>pC z(z*i8o>n$C4T~B&*E$2I(ZI;^fv30c$%?V1d0kVdGw=*jY?g5DU`InuTkGM7Dyu8k zWA4itc&65dV;xx(emsN)&n|szIRk$<1>C`PsCtSDXJn0s5iXZ^3TLVcC(8~A>o>O} zbe~}U0PSoiXWj~DsazuKFihfgI)$^9P7b*%g{j9HrSgZI3HKE)D-_s;P7&=Dt~ej$ z$kIXk4V?h9YpPp2yBaX7vC?h*G+MP>QAJL3gv4a**f;782851%3 zO4u1xTPM6kCad6 zK6PeE#&G5(4zXAu4)1Pha?HzITkDq19#S&^TT)(mTPoLD?Qu49H?6 z&-edihNQZ4A-^5#nAdKXw!hs9YHeg6!l>lyDtV-nV6XIf=$FUJb&8k(^S8kW8F_(u z^SDWw>0rAUY+n64hh9uaPpu2bWNu!4o1JPjzFFV8dG#HYI79~C<~|*#u0B11OYGL+>T@5=!WhP(py*5K1tiCLx3*B#=PJ`@S_Z zd+#I3kZ|vP?~ix=`{{Vr%$hZ8+L|?MX4YQYjt?C1jyfN=^03A&KRX+d%B;ai;FFTX zIL~6p`V&G(8ib3$5G?F6THt)I5k7;kq!_(H62p#QjtwQq(;n8*{|eB7*PHLt;? zA1h4KDQV7C|=aQ>N*Io0Vknl z%hYA+a5RcG_p8g*Ln4%&d@b;=P+H=91tRgURJ^5z_c;ZNpk=75jD8IBCTXdwRWV2n z_yRpttgcnR1W-ULrMga0T0;KjHyEt|Eu_8ttLw#32gM>kg999V$nQp@;U+NL8ZeA* zGH@y%E(tcGL2nkyydjCp*C?Zm1>)OiO)R_>j8v*y)tr4%_?1WZhi(uP<;Ymb@{B@&9e2mht084edkb?$P0Bo0qwmdkK6 zry2fSIzY)}Qi`HjSm9@XBup)Io(tJxv)nr!qaGd;g4 z4S|fqeJ|T{m+Pu??wFai5_<-&qkmSq;y+-;|Ha1pvtn46`mrRUAvp(!Q;W*hDHg;; zJy%EVhurV69~ZEjjIaM9wbGnMxbeQ$6;Fd@o z7~`Hub5RqMW?R3i8FF<|Y*o|@l*JN1@<-%)NsPO>Q8?$|+X{RRCj&p_G2XTwrI$WG z_8^I^Jd#|UA4A;|wr*Lgcd4n`;QhgpRIM~rwSJ+h^$%5TK&WbEp{fmx1yvg)Rbzki zR+wgWNQ~E>byfC~s_3c@l`1-8V2IY=7+)G*s70f|Joq4{)P>|z^nnjF4_jElKg3Re z7NkYRhcSwZio@7k9}3RM;q0=Hbogp^&7X956Q}2&CG3pB?MQ2hU)!Q3QX}=mf1=d< z18nR3Q@4&#|3Y$B2nYYtVG&kNTnj6_UC4AaVqY)nN=PG&#yK*a;r{jHVBCTQS@Ta( zvIMF|mMn|cDYAHtz)N{Yog&BKy6sLA#L=UgsL-9RIzZSA;zq0sM>TxOthn3EiicQ; z%!+$tR_FrnkpgugKhiT~EKk7HL3$P8Bm3JM;lJf*6gV3$07UW~6y+Hh+*gb{mVTM>n43*w zen4Xx6mmW29aXRMzl6NM)cHR$`Ri@|saSmR9>-A)DY18UdQ-J3?x?jXG4*sNMhc(n zr3`0^Y`bg;n{^2jX+gss^n9A!#?GNS3TF2TtlKK-e9 z=Jpy2dP5g>p(*SXTbO3`w627N=MbfHHKpC4@|LFbj-hmJHl_2rQnCk}YGq24lDCol zLrr4z99{F3mW1x#)j~r0*RPn~DAuIpyz(Pt|EngEHY92+31=T3*4oy7KUc=or$El# zP?`A~2H-O$$NRZvP)(C*_q$xtBQyuU&ov`Vl#~y0Wlie9rUH8DBCIhGwz-^F&J;Nc zwxvzbeVal_gYkzEoHtk3aDrt|)1TzmaG!%VHMDKhEA4W;vw)6Wo|J`l2q?VS1Q#C1 z;$w{S@D5bQRC$t|?MB?buW`PXC+RaHd7R@+r#`iJlB`YyIHQ&CsLT#}xb|<*xqA8* z`VzCzBP4n)Gh-_tyW)BJ3waeuj++OWLOL=U7SzG>*hoq6wmZ(ur!cd}^RkMXAwCfz zolB=TG(lOzhx*V|j+!aai-0?QjXa45C2sxp6B_M^A0_egwzgu8V9NfIGF{KG_El|l za=A|(AknuX13m;v+RO2v1qHMwWjpdVTB)%O-*f}Q1q&d9rKMV>rPT5nsG40o+k}uh zXuPytyFhN|9ouIJAM+cVn#e_m##*Jht8G~=(|wb~j?#18MsC)TD56?_1iqa!5RPBA zNCL}7-|FH`%2rK%sitnWu`G6*rseDNM@e6f&)YtuskOSfYSY>Yj@prQF)ZB9d;G|Wa9d*{@83S7eoJ*T%?v>Owiz3<{79gl zU?S&&CMuwb?v(tI&V_y?Fi$d(HOLN3L-b^me`yd4+*3?!?SA4B2QkBQe%Df&(I9v! z%XtIf{<|@YE%cqIZ&z!bQ8MjN_C zqRuc;hs{`Py8BGsA*-M;%)!T{&Dk8PEZsgRSF63=LaY4@65*g#f*~R`Mz!JGRWsxK_#<)t`Ogj)B{B2eKGf&9ca!{h=dD3Zw4ip-c6m6`(lhM z%i$x}P!&8=OS4&=Jr5wu6`SyF*bTXpegybhkeh8ItDZp2Q;4a00fF}!co%^o-$vk5 z1Xd%!Ng7yZv8KK=n~}OKcapM z#_?*Qh%kn@4(nz15UJCs#&&z*4GNVoH+rO)(vA}V1CdrrfA!5;9rnr5V6LMzVShEH{)*p{4mbf|!+sr12>kEZG)ftn- zE2AduB9oSw%n@-eh)=_)RhQ??{ViZ;?(6)W_Z)sfdhWv#cPD>mE(PBFzv_f4g;x0$ zIGV^l`xI2xlS*sOgWzz|fryKqh%BcdvT7xuy#ZC#Auxx5Rs@b_U^@cWFmMI}Z!mBP z0)2O)IA?YOm9D^FRSDweNE`-ymRq^kb42S{2&`pCRc2NKsk}zd=ht(xw2n7&LR!ae zJK~)Myn&~NM^!DdUxU93K;lr4(A$gQc6%{?KJhA|G+$6(8jhv3uDSk8b~{g*-Of)z zOz(D{3fTaDDtwP>ZrFm)?9#mVw3zos!4&a~EVZLJR2=m)0Z?n~P_cB2`A&}vFCzB# zYr*qr$KV5{;QNzB;9Cquo|sd>=)$Jf_M`M}I2!p2#>>`|WCS6yZ8I$wj*3Y5Tpg||2dy9Zyg}>@U5rcoT*z6}Fq8!yxg^@|80zRCWHnQp*#B?C0 z>M{gQVc<3du3_MQ1fE0y{|=-za2JRSI~MJNxaP$exCbB-iqrhX_+KrZ|1JJ^=wzba z2h^9z_y#reQ~X~|)N0~Y6KnDV=yT$fMuD?U&76k+=l=tdZs+~SRey+p{5z0lE*7~8 ze@C6-INwFFRh@|Z4S9!dhO4x3LALoo=LUUV-`1!*J?Y!JT5KQg=_-XhpZCUOT4y9+^EB1mAFZV#VT>LguhV*E=FER zUFSB5a7JtBQGrH}s;fU@6RiIA%QvlChZmXhFa-yl#-M6-zvp#cIPK$wRy8~>V|_JR zCFgXs;;D{v(+Yor#m)YmOYn#|@2hh6E}Qv^IPJq2n0hlm(ts(wnI9!)k4R_XIG+8h z(PHF?be4{jqm#-wk51s9aU8E!s4;O~t$=^Vaickp$Hw&nI83kgmsuYX3N`qPv*bBBvoeq`pH^GA9p^qQ7yrRsCK`e2S4RHkx-4SPkKz4vwF?%-Cqi zgSOxd*j}00!PMj9*Vt5Y^4kkAeFTxQ~G!BJdUhGlzgwRSGoX z-$$SnfyoREL!cIc{bwP)FUqN!gP7wHGxKofSPJM0K!CRro&xwTft~&7NbOt5GDEEW z4Q;`zdNn_xHQdz`uBX6Vlz0LZUShueZ$YlD$fZ-ZNXmOmnRq3H{);D}9f6^4Nq8&3 ze=cOmKae`nUJ^=J*K=`)=j%9hI*q-H8 z_83nVj}#UTdRUEJh+FEb?c#Bacii6O%9%<57WkGu#*_D2ot_r&$9WX*mm=$Qs8`!P z_F&(O&~JQ)X5co$BK&uF6#i!do>4DvHmD_q6rkh1YNWfJ7j2)e(|KpeIHCQvM-l!4 z^6G8jfM_QeUrMWb;*847Vfa%wc|Tr>cDM#{9|3XRg$Q_^mu|mgwnaJUc0}QyYL(- zSzCvfUV&&`4l_q){gO63iA_Vbk6aAosJpvhOeR%_V6C{mO^1$!ox}pV(o|7*7m&KA zPjeCn8iZqdM3|#bz~Jb`pf!CN8ptg*c!%|f7t%Zv%ME4?F|qZTx3YR}dakZA?-=oG z7EWT7!J63vme1TF2C1?Kq?IkOIR^B;X;91dgz6JL++e1FxdQ%$)78rwU|QniRqPtc zTfc}w`8?mEWVQW5oBlyh=~^#w5Ls;RG?;RkFGJ~|hjH6v*dl01(lAkQ0xaGd-my7*>}e(LfAxXF-j=IGzuU$M{8 zGRY+LMxk*YS!ycNW|1`8x$u1t6qhFYm{A5OZ zXpa8N#NZZOmb2xkHsTF1^ynj%U-j+ADqR z1OlG-9T{|9eaj{~*hq!kDZd3ZJrDkKjXPxqwL4{o=uTPwhdX8dPj|}lKinzvf4WoV z|Jt20L)x9P#QE-&2^n|Ff+Fse8S>pJ6R^%|^9cCvlnGSBow8sc&`Ss4PFV-wPFYak zPFY95ow7vGowAOhJ7tEnJ7tFK=

9+$l2II&McAD(BaAy`9n|iW8Mf|} z8MY3T_a+dyQzjI0r%X^>8b2((;JZ_1{Bn3V*6x%wL{H0s>*U8wh9%2_xW^@q^a6Lv z%sYqflqF!?DKpHjvhI`#`|gwp`0kVi)4Ee85O$|b*!WMTJLM4QyHjRd*qw6z$52S& zPWcOryMm3y7`dhULr3RiFYM}0nF$3a5vTuljZ=^&G~gV8yn-q&1l%cW z&`ZiE3iRdJZtj#dPJ%dlbf>IwQozZ23nA{5by}g$-;;0S0>egeHVV#Pv)w6cLZw}? zaBkeiow7zO10w7(dvK?$afWok=~8Bq;cQqpw628~8_WvJ9hBXW$LJE1Tp1*HDXNdb z7$3&yT1sDoG}-4TyO>gwJ}s2qrJQ~SWo8yi*Mj;RtXaV7!JV>hytzJ!usda)wh(Dw z;!as3)c~oxui-3r${KMg5V^0zMWr6yDQm1+VBx?xaHp)JSBIj-owANTjH`X%KiNxh z?mTDaPL(*jrT^rU5w9mtg=rHs=o8si-J`|4butMg4khN}w<=-!{781s<9~@B{UvjWEusDZf5}_*a$7Lu;O&#CFn2 z!%!A-9gc{s5u`iQ_m@m%OOKCxlpp2!*j%{aQ(fC)WR?vETRsi~3&@jv_} zUo2o@$>jtej65P>F}vORAO3OVb%`uxr16)mV`Ov$Cp++${Hb6LDY=o<9x}AMxq{JOvi@l5cp0VL{Uvt__GG4-vr_m=zEL8lG1Bt;)5wz&Ig^pb zU$TywC3(aCk~Ph_NpX?{f61>)&V|XqUvgZu(wZdJPwOvPBP~TI9gR-vLsb}>Fx6r` z0_LOgMe-9K(?byJd6oFpW177rScqLFu^iLv9i5l!E0WgxN$e?|#DP_0M%M{`I=fD% zM?Hi%#4OSkJ`y`lBYApsKIJE|?*jTL`Yk_+9jBA1RTpu(A^i(KogJyurBwM5=_s5A zAtoT>AfW4}Vvl#tXpkrcH4-~1Ktldw{3Le2PAc<+^!NQFcDYWXH3+$G^OM+tl7!f2 z{aE(2&Oqs{+s_XH^DSUz%}8TJ_WdPu1EZ(pKisGFW=2oR&)hc!G*j|(16t8;=vZm} zFE`eQ^TY^u$J92H&ei3aFhqCeYll^zhrK!baOq9*dqA}55G$g>v=ceR}VjO zBv^?3xx{k#v1FaMf@|YbA(8r?k3@bn5_KBnPa#5=P2O~p5o03|(Nm*^q#6AsbK9ny zZjiT1Z{c*)4fZw)Xqs+_0gW^(_fC;iBh7|+*9vH)+1}o>0v2m=G~9b%z*60iBfLZ@ zo4L%0qY7`fz*yF-zhwP^pJ7HiRC;ZKP~l65G2TTIQ;9aHLPh&^CKreZi{fywsDB)b1y-nmQ>g3QqUaS#O=*O%&YhBe69C zBB1}GpTw5YN!&RXaV#MHLqDA@rPF1q%TF-NgOHiZ`9Rn0!4?fNg60B0iH$2sh^2FH zoq-LkGx$@n$PWQ?E7JQ8NgjAzKU_`;xgbf_(AEX@xGS^vlpt;7VO! znQ1Uqt>{Kd>F|hS^XLX;^|aDTvx(K0e&VOI&>~Tc+01C+-}*@`sOV101(W0)uItn9fPS)1amJ!+VtbL9KsVrm3AC zM5eHcxTny+tx-T$7T4VRx3+FZB1a%@xmn_kZMf(u8nNjDwVx0t;nkY>kO3gx)S6iU z|0Mb`AMO-$YrryEaYr5?8w_O(_%+r&wI4Oj9?J;zA)ZUK5-j6zT}}(i8BhW-q2{rX zL4CkKNp2pS6vVr;?30`As2U+hQtLIj2Yqri>=>V1%^t~Rk43dyD3V&MrZ~LJGz33* ztCrg)XllhfXMCSXEoTS&t+0HLtzgRWxnG65p;8vh*{aJr24xNCU}GGb)fk6<756?> zw8H1wRAo}|NGcht|-T+rk3X8skp6m%-OK6&^77}!efML{a2DDIW|EQ^nlfx_Pj(SY#3VS)`c9^3cSGduH>LhZy zIr3Id`VGL^p)sZ*u?^l3Vm?dmWb#vs6i~Sm9h(+n<$Xh*UP%6SGy3Nfc zYd#6kWX4;Z;I@&2Da$scBkw%6ka|IF1YGbYx?+fWPgQ#OsF z`kSdNJ{6mF*Y|UAt;5#q=G0pyBbuo~+t@w%11XHKeEM|l<>iqj)jM(_`meY3mklol z5sm`wE>>K;sY4q8LG6ls69xD@*yl;cLC-nDOSe=<<>CYE&?%(hMk7=#Kdfyrxi6l` zxTgs+4zpzfB1&>SJ|#%8O7RFv_afKulgi1io~R?&lL!xrVnmDFuphAELlLZuJQBq* zU9tyH)R9L?3z?GQi8}I3q0oTcHspyq@*J~sTj8$*PUIKm5;r7gNozy1c{vxEs*aP` zVL8?9+Mp49Eh92pT_K4Ts97#TxgMuwA2kr+V*Vhe1>EF%y#N%cHpJA~v|*g) z(vz^oMlAnPA4;O`&EM6d&irj1Za0UC?SAC)h zw2ml2e=(c-ara)H)Z2)_Hh$kzg6!xC*MTY zUcaM@1BmN2)Tr2U~kHw(o(f|KlEBU``CFU#L z91j0`*GjM@peRh6PbD;%j~cy3 z`5^M%ioAumzaTCa_00ym+|ZvWYmVXv`1#*ANnjrGfx4}BZeT_nQ1 zr={dA(DythyR~w2)!q6piJ}P-7lvwimDD|UA9m!|UtjDsI9%eCOSZlshaE{f`t#;r zieh6~A4T&P+=>Yl4}BC(!gw!dyQ$v!=+^Cs-1A4#khq7Bq6I0TeE~j-7Q~y~8$OB_ z#8JWJN6`=y-h*}hC>oOY{82Qa*3(DPm`ff`1|LNWNBJK`LuA*Fq7lzJ4Kg1^Llozl z{U{p1YCLGm`Y0OWbS1ld6isk5JT~rV#5GQ%_4Tk-!AH@6t99StnY9PJ430JELvXg;lo-_< zA4T(V#C=SUA4T&~d@?&+UjK47_}!5Wngvsc?v)7XrMtN~-S&=%EHg~z=P1@H;@ z!xk)#rK#Xmu2NGup}3thYfk7*cR*wwoS7V;PDI{+;;->4$GM&ao+p6=7zBX=uMePE zEC`F9CO%6OPZSh}(ozM31Ue7G2~I(JeL^Z#e4-C3z27G^hg5!zVtPG7a4uU$Epv)I zwn~vlvG(Qc;!$Ti^+xP(m4h|sD*XKk^iIH9r`cYb#r8p2Y#)@xwn;N=A7t1rHkNq# z9E(~u1&qO^iLOj-R%KR@_S&m$Wmf9SlxPR$t0=kst~4)n&Ba_zx=E4twWt6WPxRfR ztb;Umlja)s+txaq*`+tMbEUC1-!(j2;<K@(;d(sbh*6Lh?qsL&$ zpE4HiuEvfX$E*EY#&tBcw{L3It2}&qFIaWhM!1nbY{J;_hr!Y8VS?1wfp_thnHw&y z%*-7Hf?d}1H;+KgdbCmok1Bt2y5NK#Rld8OQU6;8OphKO?CVE&IaxHXAKjJx*+Bm5 zM-96RXD^;xGtY6)X6o19fa>>_BD}rzEH$>YdJ!HxrE6=JLv+{FRPmd>?oBCbm!5YO ze&dR7EfabC`6hBm$VsMyeEJQ}l4S?u197G9&8br{FLLCT5qy5b%+tPP?Xo&?x#ze) zOpyk}PtLsN`tHfMm}D=ts67QbcabQc49qO#=SGey7!doxQ|FZ1MMK!*A8Os)tD+NNN{6{ zWl(De3{g9f|Dhf5e`*KvKePk>PwjyJYwds`tsO|5uN@FF+JT^mcEFIY9T4!f0|LHw zK!DnTU{E{I0cZz00PR3fpdIK4Xa^EO?Lfy+J77p_2Mqbz0Rhnt7zk+x1g&#7}Cdcg}n#)x(x z0izuVTIhuzVP88S;A;nhX|)3aVeNo$NIM9DzIMR4uy&A_ZfseDE!>dk@w+kf^3$#O z9DMYIf9R=}pU&WxL-a%ua`=Knn#YAsbf->EPn_s?I33*d(v|a9I;!;V06gzs z_{Dcq(tJsuhLlg&iy!=5>KyP)alRMC`8KRp%dsH$I)E$nE?VNSHuqW~kjRlvuh&R{ z$|NlTYj8l4+f_%^W=G7Lfc1ri3_}4|;_p@z@>9Q%T2n}o6jIAV@~!~~QcA5UrMPwN zre-+JT*-W6kcgZ6ju!Z-y$$t1O3wJtxf4VM~yi_Fb4pxC%k|qMBTz2 zV=_AKD4dq-xMIZhOV3$Wn_g54r~PD8sqE6rLZwIDTVO&i3$YM&OV311h>xgShM0lb z%tT!WCFw|%K2|u4x+#fdufwd3+ip{fp$I4-gTkO|uzGak4J7P9VXvk$5dGpjL~U5A z^Ii&tVi4);($G6irZmrI0Y%+xA`^@h^&w4yO&#s^Z7m&XSQRo*Qf`$NiMn^7+Fh_P zTn*A~2I-z|NbQ?iEYj@;>3-Vaf5buRd}HvQ^_}{rrj2!t&0neT+=u~n5B~a$2F0!l z&jxhoS1CMa2u_y5vx8CpdkPP1=(1S2D?dX)fkH#*>nk+D`WscaWw{8A=cob`lDU2^ z=-cKx0dp|69;v{|WoL9cF}@wFYv-563cf{FI=xs^>oW745Rw0sNDQ*)FxjE4eo61a zzxJm3mN9KGQ)a%h3)y|QUs{>@4!ba&Ue~-SlipmHZi05=L@JI%sjioXoNa7^*GE5v zTDxaDJmRCTJ(Nsp?;P%%HxZ|&V|h;>%X?ir+3V48T#Xvs$ zbe@NM=?=$xF@>A-DTCwh6# zrEJsk1fIsMLl)|lPV@>Bo8m2c8g;KU5rs)V=T#=EI4K{cj9zWvQYUARtVgfOISE`w zy+-h#E1m2g4W;bj9y`9k#d0Kzd+Y=`iNZEs7WdeRj|jJ3o?hHzJ9Tm*PcQDVlXQ9t zFc(1cC)z0PSH`tAfXrc*r__EG%r}(W2b4tWllsE_tm@;qk$`1}w`nb%f_c+VD9m9M7cn>`BY)N%I?4>CN!h zyk8~A`H5ap_NBP2Hp=BY8aop{u0_y1FDbKw7*ddlYO3i#2h8o zSn?79v_+P@{i>*1{N)8~My4gd1nq+QmaJ+y>L*J55``7wOaS{<^%G8qLTMo#6xB>e z_d?sXr5iWmc>3u9Al3^lQ;&^x2yDruZF@c=D4Qpy*Vnf>>RA@j3xVfeN1%7F7Ds*Y z7DGjFAdCYeN4bi1z`v-++DARMFFyK>-{Lenp%ou;OUpfAm^>7PmG^_n%zY4`qp0a@ zq?_$!8TNgr)2A;w6>N4wouTV@5Ri`%#BfiR}qx2#oS1J>urF2*I?!5)Q)t{>etd#--=m^Pnlhc;v(1Kr@ug1ny(t3IqzTM}TKO

O}M_};5{HejukeMLXg1ZDbsa8a#~5Gte%HX2>+8R1k>XfC#vP9Jvw%_65+hew74YY%b{DYCLGM zMe2bq3qU*Q*(e^Z6+rnZ_}BY)tv_y4hDIeo=&$qS&#ONUmSjiWCEb(T=Kf&6d#8jGfDs+YsC zv$%HI!A`=xE9d(l7n@ICbB=qrK+t4jRXXAx6X8LlN&_(w%Iw~o!>A;6+J_L3j|Siq zyXf8O+G?2k(#z+~p&LG!g{oF8aE5qixVs=>W1_TpXSxv7)S6=Qrl_TjhPGPR&){gd z9!|x*PJ<8u0&byTLx#&v@`YaSd+tO?GrS{+K_|+<{>KN=?euZzcxSm6gBhq*F?l$m z-xPRfyP|rR7skY&JoJH37O>$ z8|zxvw~(QWO+q0!Y_wEvsBp`$d;QOj|&5XUqo~YIF1^k8`Vi^bHrY6NHch;W^vd*RNNHfNH-53YQ(a~ zfeSX6J?{M;zXeltdvDkb>hA$jbDVsgncp&TgmFFH=SxYD-dSs}hOAM()mJoEg4m1xGq zi#XeRtb~8WBpubTbzFN#ePheG&F#&28qwUb_N!{6&z>L&cU)PS`7{2WVy(tXNzB59g-h}un|cRb(!$3#o=PGFcqTQ-H>iCYEqVtP~P z?bOMMnBEk6C+YMQU~US%lQmq3>}FHwouZ?PK|*f|y;F5eX)M?jdZ%f^Wt1OMaee(? zc8K10US(9*4S)E77v93OLzZ@kg~7LKxNEOM#sqaLioUepHI5pp$b@bA{F~uE8(p2G zjam&AUOJr|Y$4WltVaZGDHi}IxppJu=1`(y`XsSu!DHH?^csq_(h<@%}a3CP1vLUAy3SGph9@f*e*~5ry4c}y1w8t|}f4qH+ zu~za3E-H^hQC(DR*Z09XN5omrst<-%mbJ-~5f|OVh_kv`%#OIIjJUWtV=yBwZq69= z;PB%1jKPe!xIJUg=_$baj6uVN$nKvp=%`|luxAW9rZgUmxTrtk5;Ee+)|tvRxhVT5N`ubR^kTnt{*>d@myZ? z3V5)+re0lyqQSzi7ebft@oVNSUQoM`=aAha^{u^t7lSL?hJX_rt9KJ!3Cq>ZZ*HqP%7Sr zF9Ttl`Y#O^_G)X*@OmgdZorvSUfe6AKQgcM&@C_Rb>yZNnw}yiqO4cL)>g=68j>`T zAvogmdlH;^Y7N-zT?ilcycXIkNL&jwY8^JpQ1jc{LG#%NMNW8w$x7}<1v1^Av6UTPe=Iu){QfvzUFc|jT=&x_AU#W8AdLR`CKL7G<-0%$Q`cEBuWQ|C4` z>zIg*!DfahRSk}7V;0vfcDxWEj79y3mc^Sku7OYan2lN1fO@rWaN;&%al<+%VS#FF z3z}M-!Z5tP(HRnk={#+`jqq=%I}iDlvX|MN9SYmlrPWSxeCZ}sI^HbI#ShYB#fdj? z?8KL8&;wYv)rl|HU?Sc|&jMKVop`N|O2ykZ(UP1-Jf6WcwQO+V>HdcF7lw5I5b6E_>HZ>5j#7jSzKv+$;PEKi!5LD9k(f5pvQLb0I%{7EV}?`zdkdLl zhWC|1ian#y`xC&U+D+WG%}B zUS&+Y8WC6X)HvV13SXCoQhZ)6Zcc0z&mgZx*jNoe#7-IaGJ%VJ!T0j^=5dcs!?nu2 zIUZk)aKJ`7i-*gQv|#Co4UT$KsGJTeBQSg3j8Kfe0H}h`^4^Sy{@A&`Vr?tTufK^< zmPFmvu;z{&0Frs&Y5@M!yOB}T0QZHJc(jeItU}B_v>W4+Mo=>}3VJ`T6st7#>dMS! zpuEazNi^&9Ys8F|861~3`V)zSNNgbus6*(07Nw?vM%6VqAGy_WwxmEuqS-G$K+G_+ zlD0@+{}wTta*I%|xD7Rx@w-(qZ=|zk+@~C@Tj3yq;9(5GiaTVl=Bmgw^8!hY8CJ zho^vaOIsSV!BKa)>I2a3xE8hz;BLr6e(CSKWUe202Vj}Pce(7<;|NP5-zD?^BETci z$@dBNj{xb0x!)xvwgf8tfMk6RiF#fhjU=hF8)l6M)$p&O$jYnN<4d1+LI~$O&TuLI zX+@b3*|b`h{2& z!CIMOivdkxg^F&#N61*A<&oXM8pTR|jzp8M!?{S%!+)oYiD!_Y ztFY5$CiNrqip-XsE@#U)z@u*gCq{p1wuf52=paP{?rs)A=9c!=&Ge7jQ-o? zQvY4&(`^#ECj&M$B%Nt3fXJJZWGEyTq^f4sde>F6P{BiQ!B=9D|C@!Vq|AnQrOX3h z>kAlX_a=BfSFF~?i4|jMRiCIk8s(PvdW&Z+yzX$q- zz4VIku1Dnr^mOOGE94)35Pc@?@S)KE9pOJw;%PYN$LL3yrXLEkYWYa&NBe^Iarna* zz((x?JPK%|jz^$|flUZBGq3@H(-9bL@%KFsG5EAtHsglxdp-3sXx?;NW#(pN;>Urw zlHHrl_r1dRi4R%69~QoEA}oAs_*uZ3?}s(tD@R_3qCWur381f@_C~!2_zyrE^%?>N z4dHi3aB5I6{d(H4K-zaXX?-|GzHxlwfsh>pDjk{r2GMVj&4XjX&gigr}{h-JK3 z6dvC4(2Q&N4#1l6V$qw_N@?(?LBH^JFkT*&2Hy=dY4Cza5nzMw&j1_zTL_G{_&qoH zRpNmp?1nvt`A$1nxHCpBsA#_@X@g4#}f! zf+!C|A7_RM)LE|pvV;HCk7J|OhRH>z2A4Fabl#+iBwLbDllI|5H2s`7CJ20Y2asDIybH$LHq7_}}oYH$31F;Yg2 zL*PE5;NSkfQPgP6j(RL6D-#=**1=x^Gl6Nfx3LR6CfY2TWN&RZcq}G{gHCU6?!1tj zk^)vyy$U@RqngROgi8v=n!a0PYCw4Ph;fBqV!aZ8yvUu#_b1aV`jQN zLyh3&*c58Ut>Eb?R_7P7$t7sE-3V9ii-bwfvNAJ21zf>$xB-4ECaZJjQZ3ovj?n@% zABbED#Gd<3j24;e8O1B09XOPP9{D?Ax&ArC%F38-Z*2gpAI7M9ZbS4G>dc=#fbUx3 zf3+yiCq1LCM)>DQ9CZ-_e?`EVLT$Y0!OF}mqRo&0mS~$19{n=}nh+4LGowp?3>5s0 zu#At6Z%6i>z{0;iv=~w2JoUJ9+PLzm*cLwmk0?D}wm54Ye zjQF?g%DjGDH%@;4?Nf|WjG40k!t11cP>}K7)5W;lvoRSjN?@0C+$q)LaaKO# zCNxJh%kW_#YxuBmVEC{xf#Ji(h~XmyXv2pe;Tt||jBog`5n}kTfHi!CVH!TduoymU zMA-0Qe7Lnbst3b|yFw=wIxdVB zj=PeLo8|kW>sd>}n+sN~A%BlH=VZEmO%p6EI16_Yks@_EGUe1e2-PrD!w}<~+zasY z1B6l?2q-5nuP-bLk)NtK=4!qiFGrph05#6v;6$ELroQ=*He2f3gP24<1$+Rf^0Sf) z^RcOZR^@>%tdg*#uv962AflvrtfeeI@-xLWoL8@J9M1ufo;z{26M08T(e6^9_u597 zygR*#(b0lyWkc1|NRpo-8Aod9&k<3i4OVI>882F6Qhte)V(d|dF}4^|M+ZP=Z&E2< z1GQ>6vlm0An!tDyD!~C0?)9J!WIf@LkAz1;eIU(cwmRwvwLdChC*xHRCi5`!`^xV3 z2nHd+OIgYh%$WH((ll#FuvEzJz8e~wx#d2Btm0U!RPWUV{aK)w!mg%`4-&QL1yHDO z$h3mB4LVC{-?q&tV8b`0w6cDt?q{&Toj~umW}>46I^sn_Qw)@OiBJQoD~WdzL|F#> z4MDV=qz(Bm0u8O$C=1;9OTtZ!xZ5f4;}*8W4*!)w!1YYQcwQnf(hR)w6(Ahps58ms zCpiA;cLY!cn)f;&bd>w$fo~(+)UdXrt#RFYG?E}S z??&WCG=o6-ZxTW?2$cE_ATxL*;tEFKb}Vd;o#gJ+w*a>zvp^%>CWOoamA^v>3l|RM83<&8b^I^FN~K%-UWa`coqlY&i4qQ_5uy~Eui{_Cfe>L zVk{#z!qBV1H3CB1X|V6_nYzBwW}p8Dz{^cy3xRrYem3R7g&z_^7Yda7M?e71;rKoH zBLZk;ft-(FaYB2Z+km7c!AuG=bZtV7urax?(rVQDzvt)9SswlBU`EaFj6C~%Wo94+O#>Fw!>K;}a4aU#pyLoV_(=Smf|eNEfI#zKu>s!!jJ>`C z=t@9)osB@^ZwOqBz$6B)CL#m3Ah3&pdl9%3fuWBg@B#`cABn(U9;?iJhCelD&^&1^lT#*tUyC|1kco?|b+Zo=6;&VXc2tZ1WI0*j@AEu*@4MiEO zGec1ZiyD^6=b!R^GBjcfjGC4~w~)ytKc4Kq=Q*Mgeb>kwY(;QM68 z_juJJYOZIvFWx|$F8YnR;+Sj|FoZfnW9sz%5XMbGHDR zPe}3+tpQMC;~Jd8L4>9lgOw#Y(-4EdR4C((^>QljsQ#?m3CNM7&p65mUjZ27h%K4I zjsA27M&Z`Fbq!7=rlff(nesBN>2}CYXowMfoD~1^m8pY+D_$2P;!2d6|I5nEU7&XZ z$EQ?aB$2^Vg8Yx%B7m3RiZPN%Sm_F8_QkLpeXBstIe31UmYkK$IrUSh0)IuV9su=A zITgqBx(EODE&zHh5=qee0KY{XMh_#lm`p3-uU&>E%9dRA|C$R^MIFF9{w4=zvD6& zt^M~`VdvhD4FCUeqMH_ziJp{+&NjLFSCyH4(ZeZ>*SL&|B!l-MDvsfr>f4$|F1t3Hc%voPr)@MLiG-yUB`P$~1!m?~Sa^ zwW$BpSKy5n)rw&lC!`GyW*e|>;PYTM0jD8a;1Fi9lkgDT0IA+}6dQ-JYEk!7+!E}) zf)U@88gw=ni46G`DgF|L6qEC(Q0fp&D0O(rH2l|R8RGtmMEoO%longqMr(z~Y2fb| zjNKt$IL;T~QA>-pr0D+3N{h8j@ukJOFr(`XqeUVu8aX@1BVQ3JbOiB^0iY|fL095q zRH6umb@6D2=SH|@OA@yM4^0Z^lkD6gD^;2ay@0g7tlBCtyOdSi_%;|SS#PWN84$2p zn2dU`F8!p9cCw9zLq>vikL@h|Bl7MnsX9?PZRZ2$bjSyG$XU8W@SXx;T~95?&(`%! zqHAMz`%VDc_gHxQ9_zM!7u6=TeUD{t-(#|UZxK?(j_0wr;@tVtK9=Y} z0H-J{9@}@O`+- zi?KXSm!8OP!zI$`#8XRQkI=z`kOk#2wgBa=g-2W30${&fL7?4Y{z^j@vlP$sQfpe;+Hn0w9nuC;`!FU` z*TzSF60w637PwXbR8W$h2ZU;|ls#XebgsxpvNK@Je=r&Uqq+DR+HJ{i@f>g#$}uy; zwJ)cSCvQFJ@MhL}-nqo+ropdTvJ6h}{_qeJd)#?M0!==6OWpPMZnhIZxgY2);SBb? zCj7!DknsZK{WrY;#q2eEd1d6?mBSmQasA53yIUZdD)lQP?;aC@TZvvh5W}yGynAyP zmE=r*9D$tQBY>-4-CpL{S4Pn@+)fPCxPBUarh5nQSys=ljG~EkXGKyYkQWEj3S4Po`T)ugYl&6GD@X9E9u}LTd zhxV0G^aqx0bPbzzkFSiP-*>+W_B@ZB)cuuF^b+Y6W6O_CIiBsbr{yBIi zdtEVvhuLu1@P;IzZ077uagV&T*UeXyIj6pXXCjb*^yx-jZaxXEjVY)27U(i1CxSP% zh?D&S#Pt~u?8EWb7wg;-V%~#T{0r!&Y0+w?g=?nT1%3ts|2dU>9HtUFfGSN%16jg;5=B?^)!1);Xm+i)# z5TFpIrFARwU@7X0W#lM^`(qZss*KBfM43iNw8mp8Ew17e@jP}Eo^hwExLE4N?s9b{ zDE|R}j{;{@4Aep6a?${GVQAwfST^x)OjL#4!qG>-f9p*M`J{55L7pNkj=P!Xw}|Km z6Z3A(p4SiSu?Z*G&c9*&m>e+2v8BOP1W}E*+0W+^FpJ$gd=`8iBe2*B@J|A?yMDmJ zO6VqoS3VmjuF>30QNrHaU@iHZ%FHAnbK|lE=fk9d)|3!o2Ej%e7#L=Ad z6{pZzLZ-DBDmM4gjKR^rhRy^eZPePbWlGRa*XedT5p8tA+wiyutjA%WWXoJ9ZLwbg z+6irOowUW-fCjVIZji)5g#cS&j@RH!4f@GH1UwKOcBABt!Bxzp{+A4F9ghq*sb8R& zsC!-s9-bvRln9IHi8w>Z0{gQ`Z=hwk{!Vjx5xCs-4otY9eQ6Q{0-XL%n8AP`qra2F z&glitQ1k}^Jw|8)2b+M2-T)y~G&EZvKQT>^Rs4fcy__IA^h4>;hXq2S2LCD`I!uF; zi-3z3|4@452tZPc3~X&uh5ivKp6ve|e`f=M=lW$BApIj|p0Yj`@MP?iML3&=6qAoS z^uC6x1qQMP*J;pCK9ex&KQO|!y_OL4ehvK^kT51bNQASg5vlA;;HvgL9BTuk9CCIL zIGZY*y;P#7i-uBtK#xW?Nt;UuW$i0vH51x`j2gULK=7%-j|g%`OqW^}_7xB>P2=Aey z?1D4=El!fGkyq)*zn4KttargmL*jX`P=j z4-RV1id>F7dtoU|19~WO2N)W<7X5rA0$cE>E{>Fc8*p!Wu++U}MdK5?v&jD#sOAfR z(K`=ys0XDdBChIP9wh!#1Dx4m&j*6vW*nvPC=ID%0&Yd;ZIF;_hxh9J`3aqZ0N9#$;e*lDh~JY zz~Mdyo>*(qRvg$1T_)TLT9kGRUBJ zF?xk@)TW;Z=A|sD6)|bi2i2w=?Ej)})1Ppk+9QR5ty8cVUn8?Q>N=M2M4(9(JZBWV zWHqj%t6kkd`@2h!h&RB87mvvd8H%v5Qg3tgVU7U3$~{h_?8YgG~H+w{Qc0@$OLs6Oq11_sk?9fW; zp_OBsE;qYLQ`v2w7w(sQq?zh)1atTij9Ttx_?aqWPS`37jv0ied6|l4D>AKUz+yXe zOM-ZewOq9VxP7~nmc!CYgVJDw2#7n59dm|{0Rcs_Rc6M9WnG9340oa#GLitxkl%0M zWUwg*!<>~E5=sT>y$nlC1vu0vin78)%MC~VWEmE)sAtdKrW{nE43viJ$uuI2#uENJ z(gK5<;Qr)$`=qQ++}+Ak4Fe!J8ilj%fDa zjRDn+SImZ<8T_~E6P6!6)X0><&d3Yu%RbB3eb!?a?Wszfm~KK=lI*D&%dTDA&frAX zsjUmm?YY9K><-M5aKVa@Uy6YRPrQtgX&uyy)$F>q*oj+Y7l`7$Ao<79+{TrnPmo&= z?f=vY0CL5lY+%EP<`gJzh?Zv-RU zbXj&eW`+lYfMRomA?XVnJT)2X1gof(mDr~CJdj5P^za5G$CQN!EHJk^WBfdU*cJ6? zY|sMaVq7r9#|IT=%7lSxLeVlTJ*#sM#yFm+SD{Ihoe3iKCo_OYDLMELCO>Ct2wggB zAHOmI2WW+9JuoJca^Ilw*;>;98r_oMjG(W=!f0kF6L{V)#Dt8`{iBB6145MpxmjaT zIq5hldv>T%kXl7DOx0DNBSa8Ccc4_(#?P~peLm;-f`Epe;|r|_s5WJr74blW=r4TV z2uHB8|0B}DPCL$85tUH6vnMa5f@xyT`<(DR&-I0lv|}omxp{i#=36OIFdPgQYEjY4 z&g9;HNir6SvIS1DDG4nA0qKP_-#$j3AcZXT4GVIAhAQ2cwk+5FqSSYBPo)k*zdfD!`CdQ--Qk z1O*1-B})#3X94^k`$`5%8g2MMrCD%gP;HhpCKzo5$3k$(AmAj1G@zQ7P&)wJvpncVO{VH}f98rfn_=hX%n&aSSNqu& zStJ0wu!X6G+isR=9TD@hd&1hod^Gb*MwT1sGM+ziWaI~{bx-rr#$mJOPZ*hRc7+C4 zoCmpP!U&UJV=e|7V%M3NJ>N=vE`<3$rdX*X_8-CG6o^i{12KcGU-nS!AI@Y~G%605 zs{u8eu!oio8ux!hF#b=fO=d!i+GJ#$>9Ra4AB_rJ5bi>J?afMF=#qY;OV|H*)utkl zrZJ*_SgsDR!m`W?%YjmAl-dsa1L9CiCx4SB(~Ba}3N4JoA)&boIk8tDrEC|HR#+?| zlilc{VJO-{+dK$GQ>?E9G5_#v`DI0;8DSM69zY#JQ8#5a<5oFBp_Fn|k9;Xt*~8ca z&Y0|>YZWCHi_~#OPRLvsZ^-3RX!bZLO$Q5hSUQ9|K5B=^R~X7{-z9C|6O>oDWo%LrwA?V1=$NH4g!r)O^|y9$S}#|iNUG}gF{>H1f@7zrj^(oNFRUXin!=& z%0Z<$Axh1Nmp14ZC=mU%0x`hPEM=4#Y!Pt-v*+j_+iI{q_^e2-Ay(noE37FDwR506 zJO_sPBVM@Odx}(bczFD1Jz#_x2*OH5Fat2yg0Y9g7&9USZ8VkcN~45h{ek{%hovSu z){OK(8I}o#En;|rQ3nsrw+SH-V{l?N(zKvZ z&eyPB4j!flwdS;#5dzWYGqnP-UwFFh&p6KO18nnf*38OYuV>r!y2|Ppa{}%HU1BaP zOs?kHb$`C#qv!=e0|)pEgRUgE(8RfEIWSv?_(ra5@oR#u&>~WIoW*u?v&5GeUAk{+ zxE8GAK`em`;@f%BlNkMaJFq-xRvl4mnocmNsI3Uv@_-a0R)(`MqDN5^ERWV6FEcK% z$J^OMZQGhgwbbB-AT-arZV0U4$+d!KkM?+fF3Z%i0tb9h5ES~QNGgp49OJ@e??5iV z2u}`+eOfZaOR|NRRY_#hb=w|L=$S&Z%m;zG0^uVw16XX(0cQFc5N6RpD+xx?Ksz{T zJ}_lK6!{xmfAtDhND$P$Of!P1t?tww`_Oa|a^X}Z=uj$?ArnuQGU+#A+;1>x-bA(( zwtWiH?2E37rCoyTGGh8hnwN$jvy6PD;YTkAu!z$Jvuv24v`1dm$>rs`LEG$X0@h>}Alllhhoo#Gatq?Ki+4$9{Os7-=iw zt{{7;6$WF6mFpGG77VrC;X!3(_y5Sm_ZLnXC2_lkC$fiF(hL9@i860^n@N@6?` zGCXA2lbP0|NC~9K9+m|?WqC&6kMX#joj4WX1mLbTv_5nd9@%Y|vTX-l)Z~((X0=f> zYzp+*EgDDHCtGjl5n;G-f~M~A(AJ`&;fjL>;xH=-a%Pv%C%aw4aws@L6B#7CsGxVW zJm*209nEO^vT!>4B4lLJ@_vvWX#a5^L6`+q$f`V6@$5SENZa(QOk|gRt;c+^+nW)6tFS2vS;XC;D;Mv!+;(`b_?u}vK~9|D<`m?NP9ZA3<_lh5+M+^PKK8m zD|>S+*Uc8IaYZJ*2$!i2r4klI1%rZ@MnvcD!Z_a?5p&mGfV5qHkqf zzLs$XdLI~)N5LrA<1t?_GqZ)uo`p6$p~n{aPg^i+UI)!7>i&OrY`UjP;D&@9ujIOi z3nQWFWEDr-3;7&71>s6jjP_XbfO)$@$;#}7YbZ7nU9GRMlGwpv7xuoPV}+3BV$L^l zQXRGpxJU`icA;~Up+ScQr<+~& zx;)P?rBQJ5bkl-p4QsRmw;VJy+Sybf(`fhqu=nMGQC8>rGjn1*kRgmDEbf4~hlEY* zl7In3vH)Qb5Ft)uy_%sUJeso<#jM?DDDaJbk10d7IXU4zeG;n!?=IW}JhgYBeQJUC6_fBj zi5XpFst%qP8}X3WCGLVSTJQS^>m-CB@wJFfUyD*I&qR!3a3aEukJo2mhh?3mFVx&HN2jJC_co8=R?CDA*&e=T{_j;d1D|ZC&oe6AV3Eq9 zW_raRg`JvzhHNFetZmlY?4XR_M)HqdIqGTEN}6{|+O z#$0o)J!Tq(+IQj1(htjnUhVekh_{-oc1GEwZvol;aG*;&2xhPN+-XXUx$gb+zd@2M zu~kf~W&&=NV*Muy#D+)|72;<=T3sD1757@vq*8R{k~$=^1q-#_w-};B~u~p z`%`Fe{mh@ZIE1pg*2;Fba2+8;9U!>3-|h`1GO*Xs)H$j!(XB#gRCEqKiq40-y*Z$b zu$uzc&6cW}j_WRtDB4~zBcHF({Lyp1QA+P&W#4fndIe~YL)spP?DGoK@cZ1sbE^c` ziJjI?o%e0kJg;!|1^0Oc0;3-KI}`kdE1Ia%vEjKdMw`LY9+9oV^Xpc(eaC70zN%3` z^r(!Llr^gKwkOy6!QOqDxb|AtSX^Ur@0j5ll}$^Mreoh#wb~bMt1?F4T^QO6Ry)0F zbk~i&=3#uOyLUh4oT{=JMy-E(g>v2bVsv}^jZ)BR-&~~?LfAJ~E$c`bWJkG&`O)kE zOkVE(;7*5hj6S0_CBpiLGdo6Z32?Skz}_j|+*q*JK2`zGHBA=-zw7BQdUt|9>PZ% z9S4xQi4zK{${a7xit=)NQQ&xdu_DqiKWv#?iX2GG%a#kT!E6@%kLcHk93i}Ks4 zYlP#dz~>1h6{<$BH`LagU9RfFY094&`Tic&)DYBXsFYkaNGH#I=Rvi%p-U&sDOs{j zr{}9dxw=f9lBsH2)WBr*R6|RL`qb03Qn%)*0m%TL4`qDclzMu9rN%evr4&}KT7@qn z_EZ(f*8vsqM}eh8eVy zr-WOlAOY{}Y?W|8txQ%UGPB02irl#&lqfZ8Y)D<3suG%-FWYinN1a-m3?=22)S@qX zy`Q2(`aEmDrkKgSm50(5R#wy&RpT4IYODfRRtF10_ddBl=r$;m8j20$8KcQ#WA#45cs^42 zP}RxPTaS!S0jfZYTGOdgS{~6SXQ%<0y7i6b)>SF0GFc^rRZ`QZdVYo)C`q;>uAQWB z>@cR3#YY|=(;Ta+NM6#a0=~1oYHZ7``c%gEoi!{)g_6;r)R^LX{$X<3tD8Tt9nWfc z>xUURzT}}PQ2H`;dYD$-szZBI)S6^9AXkkFnh@jd5KSgT+c^49)}szLO2AGv%H)~z zLCU%LckKRE#`;iXONy#VR)bS3PMWvo9~n7~Dd$d8r{(VcVMckap~a+apzD?2JMYt9 zWT=EpRTnI2zI|JYs!CQva_@TvjsuHJn$>`IaY|kPtXkWZq5Q!tHK5aSQ|IM=QiWP6 z&KK3Ds`E0%VXNh^?4)@sA;Lb0jdCu7I8Y8muS-^OsmmUDE@R%5w6t|8>e^&=Lh7>J zcV(>I2D#=@E?V}&vdmbho&-syg5tAK%Ras~qarQK&b&AJ$5&)r&7v}7j!uzi^B8Rx zT_u_=Vuh2D6YY~38Tr}FVC^3=@=HP1e{yHWx~!5?(Ru2!wfdBdbqt~Y>dDJ>3M3`+RRUWfVKd|-m#}GOI2j5#8h=(L;ELs z2Ac?-ciGO**SF}2zs>kQUDza2)y(k6x*_A4J!~VHblBL?{_$_A|8ZHWHhJ>iR(<$z zBdPKitEu6SewVS5Zi}---)dSI!OQoy`OZt&E5TCL)OI@<5;4`Ho;GR7knxXUnUb16RVTiGh%&XhZ9Zz zOCxi2m}@dGYiv~~u*clp5Jn1DW~^^)(;tQ+tFqL}Of@`J?QCfINWUlv2l^ze4>L8> zxlM1R76+y_w(7j`w(8b&sUI``O>D35*=CpF#SKjxOG@V<0dH)sc~0eXoEbg5vC2=` zz2hEK`9XDVvdT|Z?|8y{;C6hh4z|ati|FrDGdVn~SWSpA&*RKfT#h%qfCEwrAGv%C z5nWHrcs+Br_>LW1LLu zseHuIZ7A7rJ_gY`itG$N7SXzpF*5m>%EuM-NN38Ilg%c}p^B!EUCAJG_?SnxY`V>& zHH(kS_?SkIGQZ28`L{hNg5DQSC_ zzLAAtL9J=OHH&gl@fn4<*qc=n3e8^X z!vcByNoki}mNo7|v5cT4rNb;}@HO5j`paJJ{7v;5b*`cM4D7Rfo)uqH@V-l&;_6B)Ii(JcTHTaZ;wxsW%ABLFTJ@Ckcb<%a|LWyg`R%Q(t!>Sb9qRFh z+{8_Z3az!ZO}*;X?Q33ZRFBu^>ekcM8G7XYEv>CP)G0c5TxeTpTS}?QE7s#y>()8? z44w9|zU=ii>WHVQL=8z+zpf9fOT+3jPnWu(p&i(?MSZ&=tnO*(Oj6Hyx^#zn1nDPk zn&q3Gcpb*&^S!FFNuB5$?ZskJjrN`4ji{@d)SxC+6I28A5G)qdser1C@}`1{)Qiwo7@T(v4y-KK*2 zEj2K#MsUgHjSa^>5!$#*eO}+Lp7ZJ+EHl(C;M=@CwNGzy1!~^7S3Lud7regnytPl8 zxS6rDwi=?tv3k}U4?bz7c*8*`IJ`>_-Cv^4Y;ROkThvWnEMy*OXsLa0ajQC1uanhd zUfr!OCRv%D9 zizjTo*K#rma<22ayg_Q^lT z%1Z@M$kJT3-Is~)n~SJXJ+JvL8L_o75(*s*&CM3T&ZtQ(Z&5{=>fEq8Iny^ju|u7u zbJgH3)RAx6iIBaq!^E(_H)B|qdUbVjv+6v)SS@c?@nLm&u^QZ|UV`!hzO%fI&9`LD zz5Y;Su1)6Q7wTqu)zX&nDlx38iq)uaR$KN)EE6-YL-SF=rncnQQDNRecf2+m9AQ=g& z?&Dk3yzsW{>(mRLTy(5r$d#+Ag3Z~ri$fP)gT;dJd>4+b@cb>XI@mmW@%ORLI!_I5 zQFlpzzrbT70{qPmaHooEVT}w@F+sBO;rOs)r)jePkF-?YBd%@$rIF0P7ca&r}FEOY{#L@+3LiwdICYIiT4rYt_@*z_!vQlh1DG!D9b;5 zXpqIq->Lq#p7uMHWzSKkwyVM>b!x7e$6m!(KKMNRzW<{R16)U!7U9mpc9S`b2nRMb z@PJ{#PR5CSg-_hRz!N_}fE?FA(@mSyKQPqnz!2Tz>7ny3KJGRF{2U^E5Byx+(GcFD z2BL4#KADf}^oeR^P>tYH$*T%YOf|;riG4WXLx?Mk&%y(`tz3;WYuTIWd{=C4tlHx3 zQa`{<@h5Ls{iLDO$fQp<-ssbcQyJLiN1@5sS%hyx;>by z?rBKP(n}GPweS}4;VM?9k0tXxuy)GlXdt)gLzmG@O~9lTR8{62!07gIonTb*6cdtG(2w*gzDW&l*`#uM(pIeep33g#dBY)3SPg92_r9LgSn{luRHK^H zqEb>n_|FF4nZsxQNQHuEy+a~9)l8!#&I7*p)g@syKV0%>HK={XnjfiQ-A3xFZZ%qW zLP>i!*43(F4B42a=@T1liJjY;Z8Wuw2}BiVU=vQ1*dDyl?%|s^Zp8L%KzPZ;WxvLG z3B&K^<15Ohhh(kZ9Z}OVv7QL4r#-0f`k=bcdq6$d(5-SiTi;xAG4`pOzGw0n)m8Tf z$-`AUnXc5pZL5!VO7~qbOdl+*U9tt~b($4Sd~g?su}4GQ`fc_;Z)|6*emE2g)uz;@ z)lSxFdU7Kyx2p?+p*r3nCHuBKi0=D->6VudeU8P| zuw-?6eaqymzt)=cu=-FxY{*ohPPJB#d>Z4w#xK|$^0c(2sexS~oav;c@5!FN2Z;yK z^piu4p~hAiJn{Rk^)1*e&j>xDYmnPs>ghFccfsmTjrT*>-zdsrM zqgdV4(78)p(G*foG<2!$UQ7a>o-g3{U_*;~rM_jgdeo;6L|oZqWkfdNXPxHGs>$A_ zYKv>KF=Y=)2#rYyof(-mb8D!UTUPHC`n8V5hk1VJoq<(bmp-#D%h+mXPFB_24q^B+ z!w`2VKJL_gGp<%aR=C%wLUY{l>L00i-Pw^>D`{PB+&YlrfrO4T|A%vk%)0KGQ0)`U z@^Up$cOA~l?g;ft(DiX$$w7Ly#hR`fYmQ7c$0FawSE*1xMH$4RwCN7Un!$(e(61#N zocKSK@}6`kszsO9hFaCFvB8g+V{9-sv}dp0xt&V(snS7F8Ii2c^ zO#NU9yM|XS(oGM2ALpHFNzmADZV2vHD>1E4=`N`#Yt&;>#?4Y0J!(KtEp|F{@tZY> zbSB4tFP7lyys+Mw)~MPVbbFc#b~TPQ9?P24e|j|Q--{L~2|we}?dn~)CLBH!M}H-+ z%-l6DWTe#hAmFh_fLJ{wRt0(%sngn*sN${(46GOPncf@k>=DL!BA+tbRTsxL#j1qV zB|DkhfA;3~)#Huirjr+`k?k8*QTs8nJ25)DYfW}1AJ|>;IlauRsxRmjXSMP77jMMN zL9dtZHGG~c|E&d$wc$I)_Aq)o`22D#Po|hXItDQLJDWT9P;G5qTH5S{)=49_jMy}c z|9lD}ooGbD%dex?SRT(OI5GEmKJL$x3ovVMno_f}sJtkMHx#`~vE&rj3XS`2D{Q_U z_E`H}^R>$Ig2TLz>5b}a%$+jhbq&0G4@)e2?;8U<1?PQ$gs|TSh^=>t2RpaaTMD7W z%|7o(+3Dr0A6m~R_ILZ#)S#N2Ta%*Z7Gu*=ul|5l>_G2qV+H`lsKiVa=gom}T%KQ- zc|%*|jjRmykqQr0_cwH2rsBF)elaG*j>ty!hYd|wb)D^POiO?0qleJBQyW8T9?}yY zQfKO*9(M4C>TNSi;oPJz^sO0z7cd*utqq-cjg*TmLiQs|EF$1@&Ii2cP8#-@0xTRxD3R*#Pt-3H6YTo8sIl{McxQb7{wlS|J&zx8N z7+<-I-!;d}v3{4^c5SbysSM#q0ssBumv0`!IqLzj4{xSfheb8{D^}O6Sn0U;hUnAg z#8O$4f){$GnE>&tSk9r3yzoO=m*eLJ(IBk%e8+edxN23+nwnq{&t1?+LKQ13>MDeb zHo)-(rB$xe8=M2x^=)T;S~lK<^=a8+cUrbsdt0_;ceiYDa$2@n?Ht9{+p@(@71R~f z7RfIYLuLpGDJ;$OwdSu_Q-R+HT!~Qf5{;n>E6XbI+XMJLw6#U>z;|}DzkFGW{_^@j z@9(oWPTjszWv6E6ssBLZyrrRdrMjRPu1ianV(9_*mFh#RPSU&Z@(8b^Qq-N;`cCOo zKN%Drsz*&6+Nw^&TO99Pm^tWAH0rU2%%Q5La~O9gTWWWFfUYvu+os}tW4!n5XlvWn zy1j14_O=vN5NuY#Zj}r2iF7=uu5ITrKuWTj z-=m)Mn1wDT;kOze+NSsH;0qY_7f0RULJjCvThW1E z@aWXTd-R99B71zJhhdqSsNxQ&xOTHPiS5?Ov8&Xd>x)Y|cJEPZgKA}y@BD;Ap(oTt z9elz!?u2H24b$C$B^~zl=O?Q#J$fXv!24+56<&2g_{bjpK|R(2G9iD0jo2%m&d3q< zmd}^uy{C28hac9p>Df2vv^K0*&pv>K|3NiMxA-nf*vb_K&H@vXRcAxkcS!<^trM^n z7{Y}c)e_qhduYd7JM(Zz6h4es-YvRulW+d;y}pZwt5npG2@}NokFGu^R9A7U;@zRj zbeEdZlHI1pv|x3t61r7J%OREBLJ2z}dwo|7-FR^C6Z)|4%tV!>i$h!0Wf1!OVwDHj z?rqxiVe9sf+L{mVXlurQ3$VT8Kzw7cX=4^fYQ1<P(yLJ0oEWl$Bhv7pq)74x{V9rafvxa0S|n&NT6GEQ5&K zyjYDtQ{S}CH#$MhFOIyrdYnqe5lWMa!vR`0W`LkNuUO4)SND3u*OcD)%rz>MtKyQ? zLj#L(V$k0BVOq#HslI{@7b%KjA?IcRu7~7ofB5cXxl$RTYcTr{v$MSsu+9O z4t2U7f{AC#LuTfQ(>?q3_$~U5PxN1X+r1~L8*z-#j-LB~O3u2ab$jbAjv{S+4s4m- zxJli+;edJ@he37S>WAx*)6YHa*!1YIitkawdVFIOa7yVrGXc{e`M~fqIBC+wzKe&d zD!#~T^R=jp(an8l;(W0Cuuj}}t2(b;{S#+ljX2$U0WaNei{W{0mumN-b|0?C`t?wan#hMKUed86LC+VNmT^Z z2R^9aW^YivyS7WU9H&Q)RqsOXM#%kx<8-mQeVrb%+AKD7UdKaf46;77Pu&xPCiuzP zpibM>@s@AdFa(%jL{hKBbgPbay4`o-P__;A^BCU+#z+5%cKfN0)IpMUOFBAs9n9H< zj=tHeM}}%QsxRxiI@G0^Dhqu9i;vcj+P*fpZ&yc$-iI@1iyS#FtlMTEpg#A8_4WtU zr&v-AXv$WnG$E@a>V(eL>=14esKHHYaPZKc#RuQ~qBc^>oy_fC9oDT+?0XXLNMuc9 z62Gd6iSI`5nyyoir0c`F23JMY5a#ib%48nzufySWQzMQhnmQsHFNDG&1UVE^flU43 zwQ6X0b{cL$yx|+0I8Kk!=d1Ht4jzgu{h~Hhs{Vm9gl&zpYE+;d$4Yw_H%4$SkgPuD z3xl0L97=zIJ^x=e=;RP4i6(Uak-pRsdc67p-l?dk7-~=^zS>#m*7yQgto_I=)2HD1 z-@9<@ZyEN@7ja*=aO+)qIBN~F$#Iroa!c!|n^|ynEywz<;9zqWXW)GnXZAwJ$<3^6 zoJAUfb1^gXb}VKt@DATNeB&_uGf!NmNz7*r_b#=dn2f)?2OI!JOkD3wuQU!a| zX+3y;sgioqZZRHh&27FIR8b`|@_EYeJgT~mv6$sv%2~>~7MZd#>wQItGFa<5%Z=+@ z?rQd%ESvhv(v|i%uiO4whMjdNSXM5}Ye-F|P_D=Q<;4ozx*Rx-l zDMpO1xxH}#Zg1F%v}NU8j;~dERZUT7MdjG)BK#(ovul_885~1gS9YOpXE$$mL#W=a z1@p@x$N7HBvi;KIRa3&3`OZz$<5WWKD}4KVZM*Lrp6hYto97!l)SOd;H|xW9&PHcb zyS+NN?Y2ABZ|hs`Q)}=BBsWhb>dY_lLO;F)FA&0bwT9(;OPLzl#aDPwY)+|AC+c7b zdzB$+5p{BLM3vx}ba=RR$%kr9cPrnsPwi4C>!2D2zX8Q~f81>*a;fKYzf$EM+>Yt3 zOxD_?Z7A6=@2W#7zgn|2RAI)C0l5I5c{@`*-JIR4iehv08=D-fhUt+d_gt~#G;>#H ztZ7_le|4s~LtSItQSrQQB9wk*gs-S-cBf!zwRPLcsYt0*XO1YVV3(5q#6m9EfkOVy zur zEB`ob5wH#pWbRj?aOqYR>Q?7DWEYQ(IGEE;kiBUB>Ae$iwMA zcHclZF|*LUQ0{22p2h3Xdv-MNGU!0vZB7N3n3wQ}|Gknxu%l%Aub0l&lKJr%EOD&! zD>M15DhQT3qpq`7bjs=)2iU+0OAB}{9Mq%*iqWC2kjG}dP3?1P`S1QwyeNDyk)vv)>n# z$C$Di*LQIFc6C^9%~HSe1b;8@!*I%h?ac0c6N;~$cyZM1ePUa5PwWJhgM+QiD{${3 z_3{09JHZfYm7MFG9L{9BXjtX<(A z;@I0Jn;_VjpLaklGuhEKZ-+QH#jbiBNAV+Z6pxA9)Eiz3U-e`9;>aQNxY`WQzj#u! zRaW=|RVek-68m!mQ0i688o%<&S;DRcyjg$4lf1}8U8;-UR`Z*9ll!k;-LzYs*tJ=& zUX3#YHM?7l&zw8$dwWo9wWw#c@7!UV@lg~MG^~ejc?cKnjcB|T(vCY@kw}hZ*C}%h zGc^_4ob~vto3JeonYZ@dTj|xYNRL7T2;EDI8f-LX-hryvntixE-J?znZrQR)T__g- zR9SeHN!+{}s3JFZJr~w-c$s>^ z<7y1T7q_cGv7Ycn1TSgY*_zB9bu|)L*{M$N=}ghXY1dbvz@usGQhpkmQ{D>}{tFm?S z&b&`c^rUB>995u(bm_V3dpN%t)~U}vRIPqqkBf7-dorlIwNrYe@P4N!<+vgj?8cz(rHdY zTfMqPH8*q~c^s>Nc0EG9SC4t|0M5t)DCK=TTX@#fowZ;69S19ahpfAC)b@IPXDg4p zU-IIe70S?E)BfZYha1&4tYrS~_05*UqV4qu@VfbIeE6qBkLCvq>ca9~_=Mzab^UQN zq~NyC=qyJTaHaZ9 zz0PgC4d+5ScI^j4i+WVox=!_Z5cABp<8Z+D=OCvfui6*i#P{H5ByTX*KjJ(o zzGX4aS6kF22=+rPY1NZH6v*GA9zw&>Muulfw9O7|{OiMU0Zi)NgwZbqoIW$MzF zQ7W~iHKP7j)uP_?cSqE_s_TgQs6KadM7^`V>2E4Es83c4f+~de^dXw?oApppEi`g_ zFsObrsAUmPVQX_$1P!VZvtzQ4AMdNh1nl$7{ie$cYY8B;w^;xfTDGbR^EWmu_OuSl zh0Ta(F_nLcMgPQ>BfR1Iy_m2%unsa|8~wNXrkn8@yw)Rn^y*NnPRI)3j5t}p)zP?5 zHO26t@4a;9e<0UC(EfE@M@a9>Qduo~ z@Wuq!ATU6DU!|f>-p0_@>h0Q^wrA@TKShncaWJykH~PelA7DT%-mB9}WmAYGYM+ka zeUTZYa22Wfp(pgHALGL@PwK3QKHPlpRlRACs>wXkT=J^ki?jOTbym<_9iK#e=OjG3 z2mP-GQ(PxroP~7Sqb2II4R~+zp69^z=pnu_iCBR|zU*Ls{$sW}$;?4mAw&*lrDR9; zWa+d$drF@^64B|uMmLbOV|)hH++YlQyTXe$!lA=@R0QvwFuKHdsui8;)Z{EZ?P;{@ zu)5cm`OfwBjMN^xUyYF=0KGV_{qP~(yb-6SnE9Hr^v)yZHla6GrYEyu+3UMBVb|`C z#t?4X74M0d>@#CaB2Prp4t6x}S6l0Qev{W)u2x~(!e|J?cK6nTgN` zbZwUUc74-EU8gTlfAk%|bz8g{*sBh12$#GQDN(HoPLTFrz8-yJ7N)?Tps)PGn`~r2 zePX~HV)9OoJ)D(QwOQSdYD@|0AEFC)=}?xr8syctnoC2tIfgTvN#541^Ecvhc<=}= zV722`c$X^B#gQy^omUFj8QaP+TsP|#O#56N3hCw&b?1g+b+78uqs%gm9e7Yz>pscd zJaH}ez%EM~{U`NpTpj=OhA#CTU*_0|`T)K4W4P?(Adb`FrWAcht?pJ&BKrsGo6NzI zrC{YZRiT1meTJ1B3KtrOQG4rV%RznNIiM48n195B5o1m2R+ZEANMxpYSE?4~s)UvZ z1a1DnIAB>+!kVhb=L0^k?>-pW8_|hONImaEjr?t0yL!ym#W~~$UR?XnY*eL9yVNec zhk3#aJ!Ig9beHUe@xq`DZ*AW5;68Z*-cpq8)ulQ`hkR$poAmzdHOr_5ytl&e^^f&< z*QoA5xBlq{Ol%MFolK{<>!AA5+jYGO|FiWi&Sak^PH&QW@OYs#qJhQx0H!^Lr!N zYf@AIxA%)TU8g&)*XAuYI&@>leTSd~m1TObQdb2rI-pKQx9`WObQQX?8kxBjbWuCr zz`~Z)6)H6^VH>eoS)G!yQiW1WdDy{^9TZ?4lB0_KGgcXeQyoTX0dJj7R5D%+}u2O6x3__c?_ zl(LrDdK+~5KtmHM;&o5*>sSKl3091jhEA!W_dQ*5K$RI=#`evMT3dpCyYeE8JY&pC z44p9x3t3grj&%Z({Cj;1l6=pD6?{)f4==$7NJ~^aK8v$01mB)|OPKGN!O0P3m+>n` z%p1MQzBtU)a@_py&ebcdAA7ZD=VQ&)e}4-qKFho5iPOhkIy;h*&iCK(nJ@3@IDK2z zxB?Yl97;Pf=Ue!Iaqync^K=B)3Wnn&G;^s0-{|24ZH7(FSA|~Rm;~cwo>x&yd^tTeE6lEi}6u?ZMJN) zOu?G@+=D#^*21+o*evNz6YnK@8*Y}RZOed1V($0r7!C*49S`1i-@e&tlS7A8Nz-j@ zdKdOAk*u=Np3wL!%5V|o1~n0PJc1py+U#ZZY?DhKpJobI@u`PfU(-u*7kW>&&eIFk z*!C%VvO~3XD!yA^H6@ZaY7b`=U#xt}z%D43_sk>N z_=u5C$yVpLe4LlYn)mVez;}8nz5#*6x(m!H)K{};V_L^HvpiS~yVtaqjz|i&%n>se z>XYf*niaX{p|ok)X}cEhoqhRLb=br0xnotz;l{L38jNXP+H_&7*_!g?VF5CySWVF3 zgP|*!j2hi^@bvw=ctm^z@35c4Ztk)J<5E)8l$J+A?7ly%&qS!lyv6(Tv`q1A;wm;z z#Wg8> z;peK04`lDd=hfhG?}jiv?(pS?E>;tB=fdf+4V`d#8MhL!1l--wgl&E}vgh<$%m;X$ zN2mBNEbfoh`lDJlf2bIzmLKKibsTJMKC%y|ZP*^B9)3Wr#=a)=_B}?&-&QM&)vyCK z_~-@qYH{JErh;Xu>7z!xv8F#-% z_!#qg?T?Asf>~pvopT_ma1nTVyx!=WI_S9R5#^ixT>1+L=9y`Q$wioVTw!KJj@uNo zS^1_8d@lXP^p{NF8F9X1%ZjKkOpBY*$L2Z3O6#H%k_yjprM1H3gm3Ay{FlN143~et z<=B)q@BhZT;;gjdBw7Ar@RsU2mp{r_0_hm)^0;y^k=V$<^zKD?Uc0e92{}S7w!W4BY77 z4S#-E-u8#iS0ZtSqF5d~+&H{~8s!Q%dmw{Mi_5ao>raMp2)`G3@bwjcirmh_=ub){ z`S|9sfwFczBds{kBTkho4&<6+vV3l89NP=iowPHlaBJT%^CS#ZghtNUNUu6QLYSITX=roqMzj921dm}3RI=maHmiX!M{%P^!B5ISbeqg*m{keFZ{$f1T zQ36Z6el9&e1~0*mmDX!Wi|<)JbJ9wW4@`^CVYAxo^W8BpK7bVcNKvQ1#8eTEWnjGS z?~>@ID_nSV^#%xRhkyG4w6lJ~KjsdPR?WV~^s_sX3jZYXnK9KKFW0zw*R;4C zS7zyPwr(%ml~j1LURF$`%(jjj<{?dn%3VAUJWpl;9%+`%>S3l9IoE%H`b9N^c zb{rMIw{C;|#7~bag~sCpXrBHTk?~7tFE1bsJIzB#^VeUI=B@ptIX$lYE7N@F;iSU) zuV{n6V46`PO^@qO`st4D$32=kT)VQ_(hO7Fe?l&~#>Q7#NWq%IJ z&cl<9;23rm546S@j&t<87k<}(J8hFAjf_nTqsAsPM_gyc3mi-;#NFB6cxJrGv8%;* zS3f#PNB=sy1@!L6)M`NeX#T+UdFEOA3bTBpUihhfAj+N$m91d)7WU=NQU#V;2N$+sq*sNoXMs

z8spvjUQ%HOxY2bW;#+0OHY0AD#b(A$6ZM<9fN?%UoFfv)H5c^un;rdX4PV)5<=Oq) zq{1`7Az}f`$N7!v`hS;H7&@vgR@wbgm3g7~sQI7s6XQ0%pHw*PsJPDD&1KI~aj6J9 z{@vYC>l@P#dgr$%`-#tvWXpcz;iSULA&b-hMESJ?T??c1xEb+&OlBIBSs`32|@6+SVawcjjGJV@F(}E9^3cqyAWXja56ZFV_b;7ZMI?etlsqnX7 z5&y=1;~Py!i8VFOZ>2d7=K!aJ2VkQ2j+8q!9{m$xtaXm7eT}i(f$yCZ@9+Ox1Npq2pN|`Y`TV3|ejz?IxxAoorKh^6 zCR85ulvG!SsytQIMYUy>p&CzNsJgnSBIvm?qat`w{({PU;KT`@LVQ3q=rP=KyeNOV z`ASeaPMAbT6U8GRpDbE|JKocr4_EdEnt^X+V}ex$i;Jo&@g1bSUXrDiYE8kaDtZ|i zBxNTfkntDV*;@%(QjNcmk>D$mPnbmO#0j>{p1z^sVP&_Xs3ureVLl{1qp}(|;G#m> zrJYt@R^@R8o*%3(!`J1yGl2;BSgR3U^a4*i`Y}?Ca)#8R3lzfE*D(Z-mS<|HxVWg= zon9ZUx^;s}aB`uDo@td;Yx0f0FX~euh|@QB6id`*a_{ShRNcyOg3{Hb-G704!_}aH zqV@Dm72eT#iR{s?Qb6MhifGafq**xDi%b<#BxxzOOhyCJTBICKLvkumns;y1{?%(- zqsrhkSz9{0dqFsS{=2%c$ZAZkqPtVKqdBeFNzk2<av20l2Ca;wJ4`o4e|kU zE7(kYbzpi`+5D=a!m{GBLR1*OIWVK5xN>Gu!3v~W846Z~f;k1%1*?kq1Lo@0o^prM+g>gff+0#8wOb!GK}qSZl9aanau za86ZGg%mm8DZDMzg0fXbMjX+(CE&awOg=T3bIj-~=}oJ|pvv5BO}k6lM=DDjRlUWv zKTQwqTOlEc9Ur=|=DlLpQB6)!wbe_k8YwR*sSz2d(NJM>QAM)EFtz8>uH80VPF6@Ji6P3=v!t6-T~>>BlT$E*-)w2+Y~;ZG z>xzn^axt~ib_w= zuFVx}2H&6?aiVmZ4NEG`+{#pH%jn2)VQ2~DSyixlhN-ayl^k@e{H&1Ei)sq1%c?kP z;j?!XoK4iJVXDijWm|rehOtQLZTB4ct~=9mj4U&lsf4lX>!{|8Jp0qUq-mvyDUC%I zG3MjT9%iwLTS1DduS7{L5xIDfLiYyt6j~$1eDsn~%?#5@8PLe+3ddTs%ipVFS0M`B zbza8)Zs}0Uh@x0_cOx)8+j2KmXtG5eNOL#s@QR|~qUy3>QF?7bS$V*KVbs2U%B;T$YQJod zt=Y4xvK(J_Qv$t|Rj#nqwW3a%ofL0wsHl2PZ)zFS%c>#ge0~*@)jFLPYuQC(uDM(^ zX6eYCz_fy@Al7+KD|f}Q=G6)#lUaS+Vn6~Qb(mA4F3F`^s({n|ZY-lTewQBbj zS8S_0_cs0IT%+`MJ(D_@VbdzHDz_GbX1K7{J)$d9>OyNqwR}+aW9i>D-j-LfHlb-Y zliubk#WBgQsH2jtK4}$52Btn8bVZ$1ySvR=k@}2QGtD-oI_Q~)?}NjqH+yT}c9sEdrC1$V zRA}qdJ%&q%HEU&4U}+=Ku0}1RZR2D~x(vYPUwe%(5T` zORLKrb8zXMF?vTLyMgpmOK$aes?d2cCSmXCRw-kK(u{Iovlf5l+mcdT~jZdZQvkXO@Z0b;i! z*FfP!Fik?nr(;*%SI>*CTD5bswdS-~r<+=fA+v$|+R+F%)g86FM=3{oYlOE)In$0j zu2n&w>CX-&MSbMoh1o;_z; zes;$E1^JiHp8ho<7=QYLIrAhuZPQ#fh*?->_T)vYtMFBOD}tr==vPx#Qh}i&SYA{C zCob}83(7-SlB}+(tl+VBe*V<55(EHQURHvoTuuJ;3F)x8C~mi7Ig^hW*+ZS?FAo*2 zEMkmfcp$l=>WYGL_)DIyOsw3>3u=P-)8?BK_+AE(!_Rgk0B04 z_C8NY6Gs>xj3JJ)+=;kuZ_*@QXt^V*`3vKD7mU}F!wX0!!GMY%-tobDv566kAzmt6 z6Y~uZY2q?*cgjh7f#pUl6t0P3!$X=F5qBpZ^M#XO1m&0PQWzqupF$FE6+Vu5o8?ZtLwF4FA>rcy=8y4K z!}vVoT8a0-pe`Q(NYHVupysa>Pu{PCH(`sR^9lTbfX`DEb32f+T&kdTxA6|f5FZw< zi9Z(ZC-Pz|$}y7ouH{aAPq-!?7VcF1Uf7?7InLAUi9I8R^hFrtPI%eSoL>PBiYuPx z&lRu>4h#tC4Mv6h2woo>?_dn^_rmf0)A)5%X@(<-rwP}@k-}q$8Nx>*xcN(ho!tW} zB(O(V!cPOeLVWDNcGr>++IId7L?I+=jCi`lB1m?Dy z?!@ba#}Mxj?k9d%xF)suk0G`T_uECtgS`|+O6Di!H}XI$gli3* zN8t4apQqXg^*!U9(*j_6=v&drQ6o%?vEY3jpi!tDi6QJ*Or!&b$|3F&u8F&a`-y)P zK9cyE%S91~+Zw|ZWZv~!3Fsv+Mv2c9%1wI!o+)unl zc!2m1;UkFuwA_jR67DBbg-BBqIVm%J;vnH87=(Y!zXFCOByNX^E}`v?Fq-~#BU3C+5lxa*|;?ah*>bv8nWG~A^JyPTus{L zZK8{Jj$PWTtr(~y+sShy+`a?DdL!N>ToZ2=?k6@04-jt=o=BXF?t-}I5a$U`B+eHe zATAK@CtfLB6Bi11G(cJIGHEvlh|j}BYruA=2IxNV?PX9!`X;< ziHXdfV*YYr&4ZyXh>L}5;u7J0;!@!O;#I;Ei9ZrPg4k}k6FYyKh`9&;55Txa1ef>v?>pYkh`=0o!?3i(hlOk6Bf|Z}p9l{S z&%`ni;YSe95*{F)E!Bo?b$yq&i7wtw ze@{Z_u~x3cWa0SGJN5v={lp2v1H{e3M-aDI?!>La{lpuDYvQ+sJEdZtml(I^0I?7z zx>UA1OGSTvUcyyZE^iZEyq&tb5uv{W!@45gBpjclMlTiaCvFoSAbu%)1o1DHJMpi= z{lvcs*TlaIcS^-P?=)`B0pfEo(WSE8St|Pf8OBvtE^iZEyq&sYj{ksRsfhm+u8IE= z?l%aT5GH`HJM=6TK7zQ!awjeo?k8R)TobPrj;H)F&w<9RIY68U6J09Xou#7xA{bX) zxx7tu@pkHJ8A2DpuvEn5!Zoo_xSv=oJV5-d@Daq{S?F&oI$Mp@d4qQ_#@$t^h~?KxHSiecfdqT zZ@W`^`ri-ZYEmw56J5NWCe@D69Wa!hxJ$SuJ}BHzd`ozM__pOv{Ecu;d`Gw=J=1>7 zxHSie`(dJ`x7{f{{ojOfN$>JD(Z$=5{yl{LEexe6{!X|izAxNQ91?571c(X3{lrA! znmAOrBR$jp)TGfIApR33T6)`^($oJ0q~Vg@xoTEn(GHBA3!U|b`M%iBa3Z*INJ-+c)EpouY; z@Kcz0Pc?obVdj{bXU-f`JnAr03! zrGSgZR6_x_t|-v}ODf_(;hGpH+)unfcz}4J0l82Z(3EM3>HX zXX)ra3C2~^E^iZEyq!6OIbICIIwM{pToW%9?kAQC4-m^Ncj7g|HF2eIM|!4xg>h>R z5EsHkOK-bVdis~ZxTJS^o9N>0NMD7}*TPVGVzqEh{DE*k@rRZ>@d4qEluV=6xHSie zx57kAX}eQO`ri-ZlG5dEqKmg9WjjK5z)(u!F5#N^pm0C&ZQ%jpZ!CA>JHj>bUEz-O zO#2bz)*K+d0uwF0?M~_G{}zmEv~_u#=;G~+w(lYIZ(%4s@pr;C@qOWb;s~5kB20jI zqHsU)B;lHPvT#Rwrv0f&19Jt?1OI60ZFfpf|KTt$>0RC?x_CQdl0xVqFh0+`Gk6KC3I8@J{F@nV?h64~x75&g4a zTqSaOo9N>0)W-sZz7mGH5*G^B#6`mW#4W-D#I2S)@dn|V_-)~i^h`V7xHSie*TO_g zZ@W`^`frADN$>JD(Z$=5z6qgGk4AdpHsP9hi*P^jW#IwhE0#O)RpFZWGvSW(ufhHc z%Z>O;;hOj>;eMhA9~)&$C3=PX4GP!zl6a32-Y4z1Od8Dr;vZn5HDkL|GxYx#j7u{v zZxda-9nHib$K$MAiLt^p@p$2W;zhy(#EUI=;w8d0@lxTA^h`U!xHSieqhX?@x7{f{ z{l5j{lHTQQqKmiF&-hW?G#Iu@;$-2PI7PUhxJr0{SYf#nD}`%fm2gLTrhSER!#o1a zgNc^jcBl08FNbkS@A5X$#oN(6bG#OY(i5wNYhsOXKk+W%0pi`3JMnwMHL*pwBR$h@ zFmBk30KW|rExqkd>FIwbjB9>zd7J3s?aVK22)zS_(i3+I*Tj2-`-#619w5GBxf9~j{VWbCM z2oo*6?M~_GKO4p+z02D~7jLIeEkNiiVOX!kg~By)k#Ik8i|_z(tL09-LAWM4_ofU4}@#thr%7{nfA-ZtvNtE2oo*6?M~_G|2~XMdY89}F5ZsxA0hO|FqEG7 ziEvH)RJflw3@_dgCO{l6+)o@KToX?e?nuwH|7g-^4iJxrf3)f@ zxGX7aF(b0C59M zwDh(+q&NQGMi`gwUEZ3}#oN(+6P)gVVcCgoFvvl>U*_8G%z^$tfN|x}4(p>ZOoF%< zCOQe*ok`IDc^Fp`U9i3a!z74r!bB%wyE6&;e*oi3;v-l;gJBZHzrjQ&VY@R4`ulN5 z!Bx=#SQBBG1n~@*=p<}+CPDuc7?%{|U>y&`#z34PToWe>_Y)@x4-mg4JdxN0qdY@ z5U&>QC*}*+#AU)A{ZN>bjT>I_122M!){pH@{m?%b#-$&Zw}~#^i|qC@-HG97fI<|& zu!Rtp3)jR#;eO%@;Q?Za@I>NX7^4A_CoB)*lfnbUr-b{7PYc(?XM{T%pfHujtvNuv z4JKLxwmUUI|Holm8gO}==;G~Y;9e(&qk-q)^a2buKzvcSCcY%xPwWyNAigX-kvKoz z(g4W<81@n3mBItWg~I*BMZz^PSGc1A3iB(IcyoaGdzfeq*zVK-{bwN!mj+zkCc1b# z8c+y5z{GIwHjIUp-&JzFr#Uy){r-;9<-t%j#Kpojafxt0ajEbCF<*Eh@g*43I7qrI z58^)I0pfn)e&Wl*HSrbUj%p}OiE(QV5bI&0Rb#tTHS~WT#-$pUw}~#^j%sd1=xrv3 zqZ$hFDhxG1{F!h~{JC&H@ipNA;;)1!60-+e8X%bk!^T0JEj&P+Biv8S5w3}Ig*zIc zFmIW}aYzE}fr-|D?M@BQKLcsFG~n_!(Z$=*fCr&tO$aelxaAV3ztuniEe z6doWh6z(T360V84!W|7zn1d$q<^b_am}m{y?$iMNbC8Bh11@h9UA!F)@avFbO$L_2U$`c|EZk3gMRF&osZ@l}D@_bX0~F#`7;1ocn{Z9MUAUikhwuRLF5!v9Q-@d@AUO?&8X%r7 zJU|>N+)o@OToXqNcQim@?l*~JDG7W5CRziwJ2gQ6laPi>11@h9UA!F){MCwq*u2GW z{>C7MGc8GoX9?HDvxWPK=LioF&ljFZd>zJg1Cn1`9>fE}1H^;E{lr7UHSulXF~q|# zCLyVy8jfI**l1HklL-NO~qq$D91^@enM$}U8F)I@gL$w#n$ z48wLp{6x4Wek$Bg{Jro1@sGk2i3<~~vXLx;VLKt_3J(zTg!_q$g=^vx;Z8fDFkhI& zn*+oX;UBFR+nsu$|9lviGF;v!x_CPpSc=e9FoiT`5RDAVqg!d;3KNfNz^7GWZFjmc{t(BV{u=(Hof`)9zZHgg z5c$=O;F@S%=yvk{8~l0AUGlCrdDG6@<-K`}JKY%ntMbN{)H4W%c@q4B`31v3l-yD$max~hg_&;%IQN~G9Odo$p>#<_Vq@54(P&1@y+ZzR-ojrcER6sC)Dm&2X; zI}`qQ8;0kZix^j}oTAu<4}(=fgm%XZp#WS-)bu=M9H9%RShm$`_0+jGZt>l@do& zaGvihCW|&xy3LZbUu=OY4d&PdXhMgC_d4(GOr_=ug^snJCus;<`gSzEJFn@yU7R8tEs}PR&1RO!;^0kdosh5-zfG{`fmrnQ|wddPrg&^SJ6)XfY{$-`s5Fb z{U5ZGcZ&T#7@quDu}5ep-!Jx8m>>Bs#eNCnlfNnUyXjBp4_@f6`P#5KYv5!VV&B(4)4Ag&kg zCvFg~iPs5_A-*kq64AP6mgu@?7I57&^YgyhdlFs~yM@OPc^3+0ndH_t?~J=$X+ci`P+-t{0P|!3z?X*R5SS`l-HDc%l;#nrb1Z(DDAfkaL|JlBCQ9KM03azj zV;h^pHWPg=L8Nb9VQ0kDZ-r5w0sm?x*$IQ95PoIoBIY_cmadDK>qRgoG)WE&LKArV z5JBv6nIOFVcAhDjvodFfOxXA{>2D!vVlGkC7X`h?|$YrR> zDaX##M7GQMh?Olqc&hCJk=udE?XU|W#4RvBPpy8~%8%Uk=k2$-FzjK(dBQdEX5oG! zZ+)?65Vs4DA%0J|pV%TCzgdlahVU5TeZrj~;U?IdVNmWn0e2hkajirKhqEnRKCEjk zZ(^f(Zv^lnoGav0us#dJX>T8Je_!w4!TLVTaWcPs4Ejmm5PyYr&;S#n3Ns&RJPfiJ z1~^qH;WQW|!$7u}GvLJgNeDa}Fh{8E8-_I^PTvK{)gPyb55wsZ7$g})l8=LS!nlg| z5v(7>43SKG=jPZm68{AEp1#5N!+HS5lJRZO!xDnPz){kV!~%B|Oh4(5I2Z2c!MMcn z!5R-^rNS#hr%DI{14pHw1g8`jHZh`g2_})4Yq=Ab3Qx54mJRzNX!o+x*n;Kfl$|OJofN8nH zX2Y5TgRl=Zw310!=L~@1H^NW}#7)9AakFqgaf|Q((YnNtNaXuT$o`Pm^`bJ7FDeJY zAkhFZUbvq)ShywzgvSua!>#)~e zTd9AMs0!6OWNS;W0y{{gf3GJRPm$sr2~`96-b&oQzdF}>2@GE`HG_`@J@~lu6kOyy z0sHIDzx*_ViSrV;hVv9mohRTX&YNRgf0mEGNx~a4jLJj@|7gJ%VtmP^j($<_w$pb6 ze2*k;G`vx&HO+l*LrLgG^~U4|((jRQd;s}cP*1|)`&p-XuKXPnw<-y1t!TrlTAW26 zFzYtpVWX`8?(~3qg1;wB-3H(qb@W|$_HKZJ+}r~0PQWiZZw5yOJs7^BYX;kb9{h^) z=2*iK+Q(jP!WVv+6q;v@c8`!S0>H7(Q}A5p&EPkJ9z5T90#0|{3|{O!1!p)Z&cJHy|?gX6g zJO!U|-V8n)^x#tG3HXxpX7FX_DfpW61mwSa8028dc?y2Qc>-?ayg63!Ddl@kqEKHD zyyEn2K$g;yX+x(m&j9UiDxr(OfzDHKKj+Qh{y`58bDn@BoHv69J5Rxp&J%F5^Jef& z=P7u$^Q?0Mf!QcAx`-cah%g*1t&X=@#EvG65rUW zQc!(Py9e}DP10_+tIiah)i-sqcAu2c2H;c9Q}Ai$CGc72WiZ{q#}~Mk^D?-$^Afm@ z^As#OPr!=vW^g0tWpHEXC2$kxDLBA+0=7DD2HTvM!FJ~*aFp{D9PK;-$2o7#+D`k# zqqbXLfP2v$1baE%M=;cB%rjoQ$4Y1`@Hpowc)arxc#`unc&YPdaAwehmpLzimpf0v zSO2LXcb>7FJ)RJz?eEK3vl zf<(t{-eZ9S(boj2EDJ~TX)>B6>EAGEkr5^0X#_6;J4%7U3Gm*)e=X$84D?3{&ypzf z;)cgW{M{M7BPef#1fy>YDHu-STY9J?RH$vcsGuHkig~pS zLK_x*ovKXKPQC_$^qp+)u2CoA$1zCMmH;7Cjf5B`HhG+_F^}Fl?=M;tv;nk+ioc~6%fTiVbiD}O!5PzgC{+m!cR5^(Gbnc`J$+j5sJbzFwoKb(r; zRT+nasd;aygJEJ1&z(yjoMRtc7sBo1yUNNEd{^_xTk1s40w5pNxDYTvSr9^*)zy@l z&9K$fXC!6P_wqe*#WI8Mk*o1NGS-L2+u3^OU%&sP#cj&qZq6&hiMt!PTG&R?2` zm*JMR4Zujv#dpuP<%P6TNJ!3F{wLDiDX|q1;t}_byha7yB+=8f6gYg~&qDWIY zhM~!`36`g~)C*mb9c7292R~=M*qwj}I8VU?otMDj&dcCI&MV-_sSVg=MkZ*70Slhu zybPY|yab-+JOxj8o`7R?A6FxPS!{y_A{!;qfCccWp$TBBkuto`$i)0OGj9X1DUM8% zPqpM$AS7oa^L**HvuIlChbXJ3=l4zSRQfW{&du;q5?TpgX5f6z`E{8Yg{cg zGFv+gQ1DvkWpIx35_p~S6ujPf0`en7a}*;dva=;>X5dnzUBJ~c*8m-312pE%6fr-R zeBGhh43J6fR>htlMM@1&Eb5qRj!b_n>ECeH%tpvZ5>1SoWc#p0`dN(-_mN!~U>b|y zx2u@wEDu<*h{ZB}-@JAJhnr>B;{x}YjS~!)NcuILwL2L6kBX*@=RzH_!$cw9zJ+|F zpHdOeSZS?5h--ALI;PZ?!3>tow1eLbk9Qg^2dL5Fk3_-EtP#6YaC7G+aG>)t$j>(! z8z8stZ83tpAt3>L(|H+u%XtZ0?mPwGbDn_QobI!#5!q)Y8Z-bt)p>6RQ_*4-zF>xJ zfZy%ThXH;(x%Cj0!S7-US8rG9{`vKGGhG*8knoEiV1Elq=XXbnoM=0`#Dkn6(WnDF z?!rmnqssZAL~&0Drgp6r!`)W#__s+d^%ulnwnpe3PR$5VLwI-$l>Q^&P^auzwX5`1M8qOC&J6g_3=Ql!dLqha&g+k(2sG9QgYE9eB)Mbiq{Ft_oFujo-noDbP zEG-L;;%tX+gSM2Y0f12ShelSa!NCf20l_wO#h68hC?BSUBNB#(N;pdbL&Mxri`i86 z9krOTcxZ|_6;C1K-NlS|*Y?Ru%&tu^?y?eF>X!?zlc?e+%Cbkou((M0U5SQS9JZ+W zjoI&99h)+?G06+mg8V+WP`jxbU*Vge1pJ1L!R{11&v^+v-+3AQmh%e8%~nm^1xCVM z-3oZEM5{p=oa4L%UgtaouXmn+|Ii&#a|Br?VJQLMa9#%AbY24Aa-M?AohRU(8wN+Q z1?mcu-ym4K39poB0g1M#qbc7`@O^}u^(w)QPJsmy|F&x1Qvr>u56PNGzd zun>)@@2cE&RPy$OIaC7wu8^jMufle4!FF)L zc5u;l3-jAjA1vHcqH&m;=DqL0w$h`jTUql;hvuF#pD@D7a-@pF{ zehaq$qu;v~|2q&!)B_M2^vz(i^Az0Pc>?a_ycrzqJOy`luB&_BTC~4w z(1Amor{Gb}OW@d`2gf^4z;m2y;qmPd?H?)G$ewlIw}~g+2Q+N~?B29RHh<>IQ(x>@i` zr@s>X#c6cirQK5`EKgq(_E>T@P4~}|dsECjxs8%NNS?Fu?Rn{5lxS=vU`01}7-!%{ z&J*y^ppW^+X#c2?4qgz_!EXjVI49_1zU#GrLr4dI;5-F?=)45pbjo`72ieatsV`=1KwU~5PR`38hIz>9)D=9{kli$gm29p@={iSrUT!+9CJ z)OiJb!+8t%X3&FgIWL3DotMD3ou}Y?&J(bGQ;V^s7}p$2?=6Ak)#2nF!3RMNek}1$ z-W`gU!pYA9seU7&J6{pLE-4&9zn3NJMZH;aCuMjzd3TPI<1P;|0WWsm41Oo*!CwY_ ztj1#PKONG+H8u;~DQt3{fcpo1%r{K?p9|^W0nStKK<6cJxbrf2kn;+7gYy>f2SE@1 z(0Li0>%0Ws=sX2)ah`x{Zr-zBj+hN(0Kx0vPEzdo9PgFKTM(}5I9z1 z7eikcxy1FrRZ%}rttrqlH^E_Utu5MCGwir4 z7|K;D^q$Rp=**>|Kes#ni^h zO21fAXu128{FX#r2XvZ;-ceZMU1|Ww8hNn+{~$~Js`Ha3$N`q#eyQLWk~JG9Hw+nc zM#I(*XovitXgJGe{UBX6jBMJv9)0TF+-$0qCzKbSC!rE8b1pSGwGM--3D8nbCw^yIkhCNYrbwbktu6krq00j8sQh zVfyAoc%<_bJj!_q9P7Lcj&oiCA9UUVJ{0ue!_Ld#FP)dbUpY^~h0e3-dW!bHDT&?J z5Vmuq!(L&>Y=y~4D!HiOT;W}2*%dQ`jS@zyqw$p__e=#ZR6&jaGd#XX?9^evgF41m`S+%xS2G$R{SY16!2Zt5VB)+4uHifd{g>3;3Alm896>ge z;0UZZFM}I7FM&KHC7To+;5-4}k(eX3o^8h~On#@#6aYVvXodhE8f|YjWMM&cLTkT?LjJ?F3dVZlbDjqa=)2 zDD9~=nPO-W(ZL~OVWs}L3>72!N{sXnp4QS4)Rkc11oBGYv4;HVW?p0p^_q211m<#k%iJn7D^u(OXp8JDNfNp8acXNpb zD=<{qbmRu8UD7b=`kHwrbS2>SM59%J_zIWYIQ2gM*GG_{vQq<=!C}rz;OCsD;Be;& zc%Abyc)jxyc!Tp4oa;OR?{%IX5p+q`u#aS2jtKgFB$t%~o3=hcDn^Zt3^N5+I{ks* zCrGUqK| z@$Yn(yFLLo>}U2wW*ZNm?%=uv+|hXo?&Q1#4t8D!Kkd8%UM0~yT59BbApyMFc^SOM zc?tZ!^Aw!zJON+Uv^Ph^$o5f!nh3zB#(q7R7N!AJ_^hS20XWtwm>Xu-2c1ee#!{;Q zk!dUf7^|E-PiKtcNTrOIaQ=IOu-h%aE8tBk$^?)R3m$#HFYxT>-?dBADm07Es-mgrH(F->}v=fFC9c;UE$ z8;XV(LLd@a8+8olU76xvgYfR!rRj6QBmP=c&76dJj;0r{F?JJ_$xieW5*Q8 zLWxQ(gTHoO0)OK?1s`#qfIrj|0G1gtS3+j+M&~8)Cg&-5i}M7Ws;3Jqa}2*n;XGvC zTk5>peuNpf)WJg~I%>VKxPGkI&DkDoO*!JE3OqpKb}))4o`OB068L`$%^)5#B7;Uc zu&vQ-20fg)?KPL<%~5ZRv>{J#sZ)@r=ZDcP6+WS9gq^UDO5*p)@MA-%aEkN*drydt z3;8DOm*<;sM4oR#A>V|U?^%`gnnbnPRi-%$$0{Psk}lJzc1xoX3$ax{a3rY)?W<9#ZlO>o_+)+2dZDgE<&8aRpw^0HxHh;71dc^MN5wi99*o2Xvs3nPM8!?s#= z^#T%MczbOMj$WS-x|_kTI8VXDoF~!k80{Ysbl_O$DR`svX7Hw<2XA$r`Ix8up9CFv zoAVUB-FXST!+9C}sq+eWo}Q)AkX&Hoe0#8_y8>R|ybONRc?tZM^Aw!!JOO#W#T>=j z5!v=;(3@N&JWmGdm@M-3q}x2CgS^R9M{i(iQ1f!jaUmNxQ6dvyb>4K3uGEA(e!8gx zY;V6&{q_p=)y>#Zu?nsuNFJsa$UYY^Ha%3 z-8&GnWOL=`A(=pyiGM6nbB&HJFVX&wBs$g5HF9?9JX0lKW~FNx5`NEl3jST9E?L?L zc|9b6%bX|RT9se~SzDr!n}Q|h3CKe|=4r*JdjF zSI~o_ohRTC&YM9V-yts;9s$Zm5|0HPYUwR?ki6<3;IN?Tp zgGW0rfyX#c!ST)$@C=DMU_n2~L{F%Ilbn~qZs#R%vhx%?+j#&bb7jApsrD60PHOBoUoI&wglko5>J3pQ{^bu zQ%{Lm`P9=N+G(kS>uiE)6`*r;VFiqn=&It;o~E=qu+HAemRJR7d)b{SxX>wZtAq-I zR9F@O^^Nu3(CX9(Dd?@=Nt{H5nvm!XI7lpfbFpGf z^aXlw#h}oyDyrf)AdI16+a98Zq)d=_ur00_RCqu!vEwppN}FQ$BH`DZdXPhVzjblVK1~1A;*i_?BbPK_@PGdmQ#vta%DK+wA zS8|Ui9(7E&=L!?Qh6+o-c%J9_r!T+I}7sWUTlTXr*CDo{M_rJ zqSr+|aT$@LMMbZRilHwmdR>%x{dW#E9C8@1@_%;^Bp!DPeRX#aeH9NvGxCnQ+dAql z)sxi+^p*GYa-os$wn#(w-M!l2`~lOJIvB>tLsl*wi~B+cKV%(zg&LfMxcI9I4`m~V zghCJx^)UEXTx%^y>~(S!I_IG*(j{T=%lf6B!q8uk4Sk(R7l!?U9)>*)D}AATU+O8e z>4L0HWfT`TE1@tE7Fcy|Q@hFtphji{2~D~n3-luu8Jg~;oy)LUh<92gEZ^U83n|>03-!z%#5Si~!qN(W0s0 z&Bgs2z1|qb{i5A3RhrHr01D;T^!;L~wiAsX%q&6!;;}I3y3|G+Z%I{p2hc?4O5_;W z!kQ(oK{U0XvBjWI4GI>I^b{=ec~!7jP_)RWJQjbGsQ+S@iPB9JSGa8-UB%{!<;DHj z0?|(23ohzck5;}HZDxg5P;Fkaux_iH!-H2&s;!p>eIbK*`c0`nuyeA1)+ zAR+JEV&1tug}ixh#Jqk0OUUh4z+IHPztyy>SWxi2xafOv(RY5<7ptLGkChOOVHEn} zm=Lqt7sDPawR%~otF$qI>COJQoEm0E*5w6dzEDSHanX&sLdkQ2!=hP57y27XbZ;dA zH+G(an>a6l1Duz^Eu2@tpGxfB3gqsP0N&%g4F1e{3B1>N3eI<)fM41rIEvLDEakV% zprsCeTcXyvQh*;Vhrj~Y0>5?bQo;D`%;3-+bW=#8Y``f-yMQUK1t#ujwz1gDKc%}a z5-l*mBN7gfrR{YmR-(?B*|UeT?PDI=$bF`HXajDLV7~QEdKKO0VEwMnZ) z%h>eBSX&zEh830gxYGRqG(o9hV$B}JuqQEMZc;up!?r!uHX|i!wqj~%va5SY-`CQs z04=Dtxw>DuO#WNDUaWF>drR zaCnRy9z%y0ebdeOz<2Z)o20LA{mLTrEBf4bOTl;k-2lFrXc`bRdzf2yN%V~|5T@76 zo~q{ANfPM+>S6YbBpStb7)g|7JB%c%Vmq-Og|cQ_S)qX0RzRl}Pz6E(vwI2!%56@uS5#Z#Mks^aNlr!k=7SfrZtJ>!o+sT@4k4jzMn$7tZu zU#uYGj2gO+UF*3Iy+leO(4*MoKJsxHo11YK+Z&$yYgvuImV&>0Ebgd!e9r39Qa@gJ zx}>n$6`Ej7p$WzmnqW*Ge(#t({N6En`1xNG{Z-X|UqZ-WV#Q^%e{VI_XC)lB!8R@F z;?3YF=Lt9~=)tRl9{eEaV~c;N{f|RBcvwI82OjP`iMC*W31x#iKen<#odB9%U|X|3 zb%tX)a4+Z0Aa%fpz_xw$IaGoU{Ic_Akct;=*=IhW1J7{Y0(J*I*c&R#4%mF7Y8%&JI+(^66YoGyUxqt&gxOc@u-nq zv_pX4r=6F;7UwCrtMe?5AIr&`l0qEX)2_D%7^V_W(l=;5QxTI>a988`^w?edj2+q$ zr2oZj+>A3mIR#H}z5STuvY-cN1wD92&&nNv6( z;q6Wdk%2#To`OF!J~;*Nb$!-2+Ffl+tYx;7Q?O(_Zwc+LHs46Iot$FB#{QuaVgtjX zl7iz+KY68}z^rARsIrA6k6-;t1(4>rN zL*5))aT;9}T9)YDQybaOwd`%cV@5m4UbgI=dG>7f=h<8H?5)aa+u!s_es%hopt7pO zF%0fz>D?)Ku<^+$IMVeAcwf+i3xXc}z>XVvv+#1~Q4%drGX?&h=gx;kpLA^uf?u#4 zVvc&pyeE8B$;BYYN_U<_?R%x*W~cWHo^&ef@J8wCUZVU>plm6WH)e}c=u1vxp}bP} z8Oz=tRj+AxW3y^MUO;~J&2@s?odN_`=rQ8D)!hL1I*lG@YL_@wo6%7RN+q2?hTq@0 ztp|gRPfo#|gN?wp7JbGw0^Cg^A1TN>z&Zl@DDO_duLZrpwgdF}1&Jy^GE-la733tR z0GSFkBoDJkDu8uf*OdCF8j)jMuTR^i=<^&2RRe3zQ}ANrlT&bp>l5&MK@VON^nz?# zT~lo$3#tqbFs{idoDlTjDM2r=P3Kki$DaB_keBptO`q0oheS04LKBGDwx2#fC(-Z$ zzG5_+YNu=W3<*^Qnd0;Y_)X)JQ;>rdHsDg@`2_jC_HU4=1YnWT_9q3;x)xY!v|XRJ zE!F3rCHMsY>O2MCH$FK9KXiQp`Y*{Qr{FLNdBGEn=kc)qZEm`jIygw8Q(j;vr$Yr_ zaC(Hm9pvZC>q$q((7w5kDn33XkvqWMRdIKyX{*s);S_suBb+DTY0g_fhKOP>6^2U6 zP?_lZM38NpiE%In@u@YFc{?9SE>H8AQ-U8c^PC3+8Ga|9umOW z&P(96&QtJu=Lxt>Vwr{6wzZf>G;o#CwmAY!3w_Jvq8(M|39Uj*;6D-mJn)6W-v_=} zhzo24wr#3UR#h&U$WLB)^r6R_9=yMfw^u_7=z&nCZ8#xJy%^Af@Isiyz6}x_AW@Bo zm-#PBad$bz|07Nb_8F)8v<-J}NEpN5a_1@NQ?0uN94L`Z3N|}Wz+ui?!0_YGwD9B4 zL~d-O9FE_LEoiKwu4;>_;j;L=drUy4GNpnCIWK`DoR`5bI;b(qJgtC24+86?NSMfANYYpcWdshLH;Ju%Rcl{-EI2S*?A?V zZj`xFYV<`VPnBr20X;@LfjLgewVgzBY^UI$a0QiTZzVec==cDTPeIME#bOHw$p@AG zYctK-zbf-q30)7iIZwfM=Ou8I^D@}wyaFz8-VE~pzqB>@fb%l=pz{*=kn1A?hq%T{)YQQFM%y_~&3{Nms8^BMHbYm(05~+W~U{|f2>#P~3i`QbcM1H^^=0s5=M`{2t#!)V0`Bj; z0uFUv28TH>fuD1pg2SCB;8f==u`a~5nRIHv0|aB79x0gYl)qY-;kH_2cJN8joY@5R9~QL_J#Ts|I|Hibjyp(Fj7oG6GI< z{g^uB4Bt7T4xuVC0*Kj)i!q=$S3>`Q=Q&Toz1KiLIR*DM-kpFi27S>7KP1!BDS#*E zmnXlH?st-YI+%jI)Lk)*ZsM1&7~4*C7OmAW7{6s1dq;1qRq_Cd>hWbktJ9+dFFFO@ zb_(nzzp~v&@Oh`eXr};C$bcATIR$QV3fw2rk!m#fl&gW~oC2Yu9KLM(qRJ&W4T>0J ze|-m&_4iB4^Rk4t248iaf;X?}Z4L4^bZo$m)b+6pe5|QszM2Q{gqEp~Pr@%EvJAoUW zQj1%SR__u#EU~}Rh5VQ4+x{VFQbpAtKq}Eo!SjaW*L{N}TGxQXEmv!79u2!#&1047 ze^_w3;Y+e)xHnM(ZDl(vTC2un`nHz<&|E4kwvXs@(s(MGP)OF)WHpN?#@=oFRh#3HT;7EI%40FUW0 zgeHa1wARO4M?H0*VA&qIyKs2mt4JTcj?%n2a=#;`JJj;%{*{n_B-VsZLH>+EyaZOA zm%(=D74X5e&88WA$aw|i)%VI<27l?i1oGQc{1<+Mn(!Occ~IL85K~FxSaI zv=l8GPBp_efWHscNCYN01&BymvcK#UXi%9P6$KPu7{HXyd-OG5f!!@n7w|==K&w;W zVy6IqiJ)u%_0=e%)aRW7FG#dxG}a*=O;;XGYaUHD{7z7C{70|aEdft*o`NSkFM$)B zm%;Fd!xiw8B}(jGU}OvJ$WaC4h3s?^*zCLnZsj}$2RTo`Arf;G8=uIgOVmpM>BT`y zGXDsyMg~H1b~BLV9!qWoE;rf*%#t+ee#e=5-5&fpNvccIA={y0?>32=8Ms5zq{K6n z1i#yo+JL8wwr(9_FD$=bR|nL2x@a*vJ72-#Jc)t@zUA)bWfy7DgGOgVECL?4=au0F30(;O z&3OvG=)45JbwF@T{pBm)n^M>pukV9Wz_p9pzoPU!Fet z$~-;mLjH||{MKvHpidVTEJoK07I_z9!M|rKSb#swmWR9xqX)VzIw*GGd}Z*To_8nU zeG)ZB3f}L$1TJu11|M`@0r_RQwS3_x=9R)v%*&U{p!gH>S=y%n@M`A?$p2xRqrx9! zS00lx{4sVJ&2d?6-qe(b%C1Rnhl%+p7|EdGYuj^>}a2}ZVAmp`EZ@MXOmjcq4d_v!zF`RIDV ze005Fo(~4hHgnUY(zN&!RJ1>JfI4^-aI#0pD&J%DIyMfVcyYo+wgs6j@!J{CAqrJO9`wk4kV$@`i*bgtbn zb+h^+`FTY`BKU?RON<_fbBshGN7Yxgi!<6BhufHsUi-fae4@u6)i3tTROP#%ud7?N zyQ)^`Q+4W#U)A>`!K$hrLyP{BhTb37>i4N(`7dbQ2?CyTT2{ydB+>%ZM3fR2ZeSGH z(8}xN|0-yC8PNDdA{qnoQesTLw~;FSNs0Dut<_=tJA+P$0D4IIi_v;R4yjWNmfb#Mp(bu1Q6p?HJS=ib&svg0F-`g^NyJMg$fS6m0zpBJfGjoSfAm%f_i zTOh^bmfi+D?-bxqr*#qle9kG2v$wTK2aPk=DZfBxSQbtgew}%0#S?#ZqP1d14f2tM zGe2Im4CjBSb=n4ODv9TLaBq?}z$0kQBWNXp9*dwgkDxWS^gYV+fJCF1|4x3~X)*Oz zrfMhkNvAQDrsb#cS_Kkt6+e+L{!2!gAH0VjwpYMEY(#bSUPQ>F5|$J2G3RCQapxuQ zkIqwYk@E!H>XX4yF|x!AdQ0G%5)Ch4JxTwDGaKvt$ZDkN+kyL?0>6@|?;GpSi}3R* z%`adViKcA{yk~P$1Alf3yyXg45i6v{1A?g)u2 zfC)xBftjua=16phIH^PUlLc7=8|mv>H32ZhXa~^YT7VxR$riZGwZJ{D1)ee52JAY( zY=Kcm+W`L5Kpudf80`ceb1krjzVnd*aF$cxDx+243D*LDG1>|E&-6Qh|8^~~p1!e@ zGhj2LoxrDD3moKH;BeOh$GH}`-?acgaMI-su(kDJ2e7-u+7hyl>w%F`4{3EhFgEHT z=ei!aB(e^qH?9Ysj(P|qNc99xHd+PlFxm$EMq*Jy9y5Ih@QTqkV7bvQ zAhi+L0r+67#=*$!Xtn`Zz#A!Y=s*K9d+BmD8A-KZnje@04H`9P= zwy{&?|3Jn%y-L9E+qCe!E0|!Tq)$tOELWsSysT!Uoi*piigmpyg z`GQ-W{zSmirGvyWK&{`eVfXqbLRJy@84AnCW$PL$oZrrKocz~ibmfk_a>qmJ8wAIi zH}uoP)qEzR)?5Ab_a#?184?4U$XpJ_@?pQ>nx%l1wDaoFR{$A zy^4|dS+-ZKj?OsrI}RGnSN7gnwdb`%qv&WmtHubXIcz7ki!#lv)hXDIF0zY$*Hkqa zhcda^O^D6+iZ%me!#z)ME8~-=fICRkv$0Zz^PUGZ0$GFHSDd+hJ!A75iEiZ@z923a z82>{e3eZSc#4QOG*755|>Pw~IF&)&msM7uGSCn!^Et@i^yLRvjxVuEh^4X1$JwgJw zr}Hwnm-7<1xAPR-*LebNrzp%3geO)g0o>ks8Qj5n3Ea_n3J!LjfX7M95#)GJsDLLp zFN6LZO?L@A$@M8X!FdAyKw^#{KlFqOIM;a@ywQ0HyvcbA-r_s~d+dIv+6YpUP&II> z^D=m@^Ah+C=P7u;^8`$^G+0DxuWWl#Og)-_uSqnTudea9&jJgfHv#X^x0jXx;frH( ztndZ$KY|+clH@2WM&xGydarzzzS!W47x^f^uCUh9eM0zNLccTHTfSBNxuCyL_ci8A zusL1VvEeT^%Un<&B0+zI@T|b!)mbY6pkGD#72>$n%ddcZ-NW(-hJ4EH+ueenNk|82 zAstm|lRv7Sq5$8Yi@qYbQeVo6Qb+%ju&$lKcbq2psncgd>&RAh zv5l{z|DtcK)Wg@;AaC2ZSXCmYgG^5^Q4QOi#Nk_yptrl(S2pwG<)dK@Ggd^U3!8t^zP;Ia6x>#L`| z^zDeeec00`a)f+AIK^pHBi#jK)r!*uul_!k%Ky}XR>At zv815}50psVC17Zw&ewysII>VP!oFi&*cK;_GO`5HQCP%TBQ;&dR6)&Z$ju};ufx1Z zqSGvZMGw5Ujc3(j6I*5)c^%CZa|_`vx^e)AMLi4H%(%nq9p=xhTI?6|MbFTN z=8G<(dn^UQ5zA8Ip-b^{1bZv$S?-SVxiPCo+lPrVs}>twBcIzitn=oH2^8kctXke1 zE%n0u$xlb=b{gBV#=f?l*x9xdd)szm$+kneVX>W6D=fCNYRpvznwkAuLm|wz;dFSI zOT!BjX!uG!9H!9l!n_&2Qcs5IGJK_O2@_`cO1(nVnkK_z(3;k^6m$HKD{=pZw7Fhb zi>KBYqMz}Fd1?*9P*vrp+L&btCO;#NF$4!0M&=80zs>BHI{0hj!|LGgCFThdmgcE7 z$fq<=a0PmOhSfm^5c+L3es=T~duk05j-OL&kh3LNv94bcI5~wjYmj>+Sb;3}mL0-*2nh_*#2Qwvgg$80h!8*H${$JRS6{P8La}tobm+W zN0KI8B2DBbr#NRYnhhsuB|cN4w+YRyHStY%;Sf8cc8rdnya-&DKv-C2)UHr(-7Jy* zEUgUTs5+y@81t{ms{m&-i3;7)deRr@D!{nY?BxqYzF?9Yz)$I_Kv*AU)c8~EJ0&t< z4d{@F0;~dg*o8yyj2c}O8hl2LGrq78%&5_R;fOh-R+!{7veS_`$>|2#;zFKw(Kq(r zR{bycrifD?6Ygfy*$OHy0;uB}0FO%mJgxxn7>lyTwE%To3gB^4@epmeqL^9Z2pkRs zGqVGMt&%KGVOGtwSrwM-nYLty)p%wN5?14xHCE%W=FY4YYsM_$Wzo9M1;WIbX%mC0 zYG}`_73*ABac9<6*8q%gMz`f=Xr~e#E`YES&s^O~JhR4P6HXv!uCm996)48Gx^Rm9 z@jrFKnV}OH4fFwzw8Ft~9z4GW`A9+n%W>#|`PKs=^!Zunx}#v%?P_B#+mDi{VMu0g{2$lbMgc(Rmue6k&a8Y&?_7*0EsMLVI{ z^v??+NUY-doMn$8lfZcc5n4iwkCH!>NO^q?GSE&wyMU*i0z6aL)NrQ$bYkl|2ci2V zmIbmzqSL_`w`jbM6Xhz7pkr?0eyy-ixJHqvuC!%Aj)R&>J8L^mg<{t}LMeXNW?Ju$_cT&Y4dKKr^AXHPmn%A~7kF%3FAM!Zo z)*uAU!j#9{S%`VA9o;<4&O(^^#onQcFO2dA8|AM_p*k^ym)p4Qik%=W%}?8l=0mtE zAHrSv5bolX;2eo;W9y2>QGzoKlFe*A>&nM?7h{|Y02$EUgT0C4(jhG?hu@qeiq@R&p^I}j$rY?}-`cc-44U4t-3WfaGk={W`W$!f>eFfEi>`Y^4VV4esWI31t zA*dO(c>97eQMi9GBb&FDg}w>HeMaGoU&Y5xF*CX)jQ$z5pKB+af6cIA#o@)w3Il6~ z9cTRPNZw+vm|5%>+lf6B?_WqcvsSo)aZB+A#x2;Z%BN8$7fK%iZt7P-i+dn#Aptx-CP4Uu zE^<63tY~t>!DgH2ZNO(G>I=P&Oynd9HXw6`>pP7cPE|w!mL@Z2bi5#u9tf9!i+dn6 zA8z8Q20UK%$^LMieTgZwD3|tpY62GGHNK4G@jz_M-F_ zJtg{60sSNz2Qa_P80SqMRh-L>wlB_$d!gGE_zIo{zq_B5QX{;u_}oqN#LsOf9&R>DE= z;jXxfppKmhXX3GCSf@KYGr_+iKFPXaH%1F+5>r)na-)BeTcp``; z=YbTOW;^lxh6?4K*+FNn=Ti^xyVYIsZncgeOjA18obV4kbTdw3 zcUwa2Z`)aQhuabA;Mn1ic8R7jaJtbpV3I@+Omx>Fj0>5>Yjed@924BPV_XnU93K`z zJld1MbZ3+(FC&CgGf|+)7V+XWwH-RYs8ztcK)!5CLKR@4FnvB;vg7Njn~`T)eYLB` z1>~C=Gya3FD!^HQd`_!D2KexqR)d5~@@aOC!J*mA7!k~7+hG`lle=j)48GvQU|J2* zDpAt`VR%fli+Gl5%g!hW^LSb|k1b_2-8`)pr>|Ktf$0s~Sxr|=E8a<&W+z_7Ru4@& zt$0^uT6T&Q@2Xe<@gm)J;;FXn#LINs!8^xr+u1H0kZp&u=sDYArGGc>#J=I4*e;UB zhQs#~bT}mnPE6Kr_`bS@ZQ0OxqLS;D4*jldek4(DI>ql+9nRGGheX;$6Ng%e#>(XR zmko_|D(Yg@in>_CqK=AQAt~zE|63p3(xL2gmQu81pBGT&x}`&7TjjcCL*q$Iu3I`Z zp3LOBWkaor^zl=jTK+NTGz>v z9FCpKdmw-C`SM;5#E+AmKtC;~^7CE~#Mj==xb~_jX4X`RXyJloc~3lmS_tui%66D_ zEWpZ9ScjMQFai0J!;Bz)_S6Y%FOfaq-xGGm?+K-4@jlWFs=(1kJAo;#1^npT$TmqbGTPzUHucZgxF9UP?)fE=^ z5O;Phz^WrHaJIw>hkV`j07nb?0O}Hp1H!#_=>bkMOb^*oB0b<|i=6-m6!RXBEHa75 z71MK2;by$_0B0Pc@x;TtGk?Qsw7e%?S}6Mb%A%90%hiRsjF%bm!_~&OC87W)F{1HY z#=OU~8OzG)3>O?Sir-0@J|3(rM_l$~w3=xeZaZjfYqo`J`BhAfa18P(5RO61dl;(W zh_bw=aD!oa&q@O)EWXQoVjPEx93@el|1ZADLoL4{(IsLEen+D50DR9WH?u#mxYlSJ!1EE(;_P;bWxPuS&(ia~{8Br-Rb^;fEQ3>~m<|5Z zELU4JJx1hMi5vm18EwZkm#(^i0=R9hZ(5f3G_Cd8*4aIQiL&+BI*8!~iy=FU`l?dD zVcuGSZyRj`E_bR=+g30n0vqha5bl@fBa0k50I5au_jKy{tVivIoy{Quyg*_J!ffMi zyDGYcfVL6^#!1ve!vxu;xvpe>nqZ!kJSIyMaYwBSNQ9*F4PWn0xxo&g0z?PC6Iw7UIw3c-U7Z5^x)r|m%taDr{GJ@6Yx#vEdtxF z(kFS<*(8S{U`hITSn?Z*0tOzF=xN+qJvYrNvRr9zOQ;C=j`I|J*Lewi&v_aAz}m2I0#MIjVrOoR>k~jz^1tS2<6??>SFE#=JR#gy#Y);EyCUA^2nGC6L$JNuPrA zoG0J{i8+G&!V@as1J27J@5Lb^@FC|ZxX^h5Zm!8;jvxai%1{A6>AVbX;k*R$MJ^G6 z&CU~$m-?6^wU=!)`q5TWui**eIwSzoozf!LNHh!$sk|(xAK{BWEWj}qar-#)+fv8> zS!P23)$7QAMWS&8P!}vfe^F63jEW`mM5&kDwPJDi zn%^#fmbag`LnvP(w6R_c3z62EUCA2V1@>!0Rq;=F%?sEG$7}kw!i8ub)ZQc?350R<%vVZ6rci_DBFX zcU}SqI#0o7=LvX>O6xO>rL(u?DyWS`@uPa_(dmZmj8*}1Nh_9Z7;B-%kTq76)0*dL>ey`gj$4tc2D98InfjrJkBY=N$-U2=m^x$IW zC6G6J;s^BS@46H4P1k1&^f}rmud4o(;AN+=I&-z_Q@RQ;3$2?meO6NF=H=RbTS7&^ zcbuo-yUt7Cd(O+?2hJF06;#CINpdvF?XF z;t3V-ch1Y;@12*xKR8dpN1Z3&-Z~lRGmfa@8D`LHc!9Y6mLXaf*SIy6c&r(>)X6kO zqUO3maF0`Rt*J6ts=$pUvKS(u=tA4#%L{I)olAn<%su6z| z;uIb`7mxlTu?{>@eXTmtQZyQJlVg~rR)K>gHVUW!1r#EsYN%Dtk-Uy9lzC)y#XfK9 zVw8ZNah`(VePbo?2G_TMKX6_Gf9N~~=Q>ZoJDq34dXo0fmMAo!Cb5vQ{juv~NT52_ zLIQQ$0iJ@pIBy0&9rPgOPz7*z=gk7!Qhin=_NyHsXKV^1H{Cru1mvbI^^G#q_S`go zNQWhAC-($kq6$sPToJ_{5~2e4be@9yI4^-GJ8uSA)MQ@*PjQ}tr#eqSPQ+!?EU=Ao zSiBhOAeS3WT#D(jV>msnp$Q!0Gq%*Ht9>?Z0BY0I_DLT`Jm}PwXv`+R2bWjNtC$^KJL5( z^3wz9Q*e><1bk9rjv!BYLIr%dyaf8B?M}gGU7ywJM~dv%5;Y3&7o%;!pEY>Z zE2F?yC2B!{^;Z?3Qni@JUO}Z{kP8GkZu)m@s)o28MXt1K6Xm?pCu&F`L zIxbC)m)$w$uX<^sH`7JC!}7pp)rJR$7G<;mWu!Lr6F0IlzQMhmH-mczJs6gn1Pl|h z8T?+b0hyTi1R2ia&4O%OM@(G^;8JakH*$)`Oobj`SRQ4m)jTyDtD}^1w1lH9$g0BA z2jKa}^T*@oY5#W9btfQ~t3(I>J?I6tal!hOq%i*epxq@BiUOG?#RnZ2A8(niI{`m- z-VDkQMJ3?I&YQtaq8=M<_~kOx&7GIPEu5FZY0jI$3xgiK$ax9; zw(}I6?mPiG$1nETq1r!HqAp?ZkzDAVJ+wPqvJMA{A%psl?bvzT)|~a8V0Kl2(lqA) z3Q*mE8(a(AE9v6^1qJC%*%RIvJkFX%A6-&0u;rmHxX)=!U8vn(OK4~C5$7rRzVkBp z&!7io?ENC_@4O5$r{yOFOU@H;J?CYx>^ue6ch20gt)hLtM_S@8l5gsdN_?vKu#e0oaGW(-JJ9q-WfQ?Zv_s1 zB*NMX@PA_7# zq{Zy9pu&LrjIwyyy`#a?&4n+nJY`D}ak0ha(!zeMOH7Z&uqa<{c6}Go-%0nTMB^=H zElf=Ql3*PPeGCqE-VE*>^s$5%?e7|NAXfp52XHs%C2)7=WpFR&6>zpjmb!77k!!WX zKmq4CFN4=PFM-!PPr)0U>(e)a=`iQLhPQ}oegeOh=)`kE9de~|=*MHD``o%MWKM8$ zuSCyI0G|1bKADI0$vjb3y_TU0cwl*VkCyH@Nxz0O6YvyCp}|R}jKZ}`u4<398=GZp zBmWeud~X%}rbMR+z;~PiA&Tsf!qtQa*#=xAQ4qkjPTv-6WBJ>G5l&5~#U0w`5+0At+IFpzr50to(xMF;oG)Qj&b_#YXQ z@ifeH173|*e4Z>(pku(AM8yK$W?4Js?%T*qM$P3BP1_`OIMMjru5cc1+v6d4ornzM zhLCN_D6hWCk0X$dA8zsy*@FwRec(d~oc#HEgc&qaYxbqs8w*}nAc?JBm z^D@}tyaev*JOzh1Pe6Zxad%4$mbmV-a(WH7mW}2F;9qffkn775wW{G)%%TeP810%W zz_0SH!fk^4$0cgfq-n@@b@cXp@Ym(JFuN3q(D8McH*IM#gl+_ ziCh5$5le}YY%JYYW>N)0rDE!@RU+}|Xx*U0KlD!$Eh#`h%hw64X|w}y7oC({`0Ae@ zp#(3`A4%lpHi0)yHumx|s()4)uTqX4#D87*PT*iDaH<@9$9#3n5O`%XUkjxAg@jQB zKHxkBA9P*f91RaUZ9bzwRwS&Z)%6N8T^*>GC0k73B1sG3Ql*Pfb%5gC^jsS z?PvzQ3D_gCuKDt2^^3&GP#7 zRqi8!zHAxW0Qb>0RC$PnH6q5kNXZA9uTDan;WVZ`tJG6X)d8I56u^(3^J=sT)m;yG zV@Mxed>|JDuj&A#tNg4Nx77ZTmfQyL0%tAtgmRTr;73lQ%h(ojN$BmCS_LLKr50B> z1+H@%v(qJBh1ZX6H0C9_Dla*db9T9xlK`p+Cv_6A1$oyv=zE-tN2v zzU;gVz7q7{tIkvKHRlQVrt>oRmh%$Gk0?}D3cl@JbI7+pYX518+7x)nDc-P@HX1I> zQrlx$g|oLOl=`e?Xa(F&YwjkyaZY&{+i>3|KZ4vR-7h5Jgc6GB+J1i7#7`92e_f(p zjX_1TLY(i(;(ZB?3;xr23Vz_c1oG6UY|7xr&MRPx?(S)_E;X{Nc4Slmhd3{TyE!j` zyE{+8y`3lE(Gqh6;fYZkf#aN)!ST*Z;IYnA@C4@xc(%kG#adIvLHZ7!gAT|ut6ENh z$r8=F3H3cfMr`6%$d{1sFw55tc)m0Hsz)qU<;?f4iYS49jnSkh4jZZ zoz-*Z|2oxygUJ7Yo$HD5U2nKSwLm zt(LzF@WQ+L$;}!Ptq?%PXcgGbX^f8S_$}T)V*eKswZSlOnWU+~FL&M+$79@&etM*55_)X;uuqARXw$H4olgsB96&3Ov)fS&XvaEkLXSaV(hUv%CAz7+J}%g)Q- zE6z*ctIkvKHRlO<`dU_2ON{GM>3$>8tOtH4(f=__sbl-0>wz7WP2CK9TB2z&rM{oY zfu?T*_${+cfa6?yvVigm*CH3m*TwD@@M!W8cbRmA&`&qr!9@~vCBc-;Q5S{cw{Qsf zr&3X?+r}RvY&AaFkZ91yP~frZ`Y0@r|EqYZG{5#{ zD1Z~4r{E;#C6J#=$fgXQ<-7v+U)%I8VB)+2uHn24@(d?K3S8593Z~8z@C(jcz=NDu zz!A>N;K9yI;33XaaHR7DCq*@Ry|v( zOuNXA~Z;-_6f(OO9Q>{({JZtY*QQIo|B#U|&ILc@z@KuT4xti4R zu-Ntbw2fS(D;H(mWVD(aR{tRlMHPT;cEZW5{=rZ`cS0VRBZq`3aczP`zcuUjb)0)Vnm~x z_eD04A(ssxxBu1JpA?W$6rg-8_-8wuNDXk89yRCzWT(bN>y}x>vB>`)|G(1$(^b{q zNgkCflQd~qtSgDzJ>q;x@kz;-Byl@8@q5tRMCGPT++JH(*OQWWCH&+d+t&9tc()6A zqCRe8HqaeI$<>nIOP-ayAc-4uWP-|_BI%V}E#YAszCqwdeBAyMyLS2HD+0dtj~n?{ zrN3HwzDVLr8{!~OvE19&&>5ZNdC79gK%IaMmc)&;CncS_CYvg`L&t|j93#RuUkAe_ z5>77Oki>0u`sK3Wot}dvoDjrqb@~g`2RBOi$x%rXH+1~?gFkb4Nig4F&xujgiwO-I6m4<7JBYEXnnf z9~AVrh%b~ZlKiQle?@!^ji-`i{ld69Q+$SGmgJg({wc-4E9Utlgkh5JO74`zjr2X0 z{_pBX=#jxGlIfBKlJXW>b|sS}mq_B)BEsQvxTGqXE4f1wH`4Z(Oq9%&%$CfN#O?PQ zmwb`4RPu6RUJTY)8!vfCWAc&0xJ0*5!bSR6$smoZmcsbkQDf`Jl1C)R>N|UWdBmT_ z#ElniI5$-s?t*GJO5vBrVq`ptETFNquPIqr~5*D1~wlCc9d?j>=1 zR66p^mn@SER$8=~-mIJXTj}&*+i0!t+&A-=6pspaF=cgnUTC~r(xtJu@s=82^0&p- zF_-)LchbrCp=1r^E#|*Sy1A0l3i{uL@?xG?A}?*fSV9}LXueQCe8-J6+GAg(Q^(z9 zbE+h6PmBMZefb|K*<5w{TC?s)sQk}v6RmVl^WU@^qI|<8Rmn+`1LR}#K{}g~%#pVEwt<&Wc5Hj%4j!bZnOFCHayhZvWeS zGj~+e?WFojVp(HXQC2MHKehj>{JtW2Uy`UUaqDcvPS{*l6qrY5h+-;IE zI<}6N#Eq{5suKPpl6zfo<6hODB+Dg9VV&czS2)(he)D68`aHi6m}Q6!TokAgv`W zlDMr-|Bh^V?Krl)Zv1(1^71D8`I5NtI`u6j@qOr9iSI6n8)@j`>(F@t`fHN79V+rO z2|xE*Cb=c_@xPmXtqd1QUXr{PGYMCx|5#~zs|+3rS}cj%>h#lP(<^CKY&^6=9v;?- z+xgnRQ^G^`JQ^Rj)#*1>-jNc{6PFhJtWN)Bjp1)dcx2!vN!(Va-$w@LORkpuC>sA? zz+H|zal*06zm?>_)kw!Tj-N1L+{Er(cNy2m>&&p%*wAbbs`W_>JF^2a9la_)7E2_# z=3eb_Gaf(v8jd=;``EKj?6w{YnxjrT71da^wQF{fNw;#aNvYD$6BLHHbi0f{y8CDh zw9)8XU9Y(9a?GSjQD^ZqYAIO7_E^wy^4hfK<&LeRhQA$_hKQxdYV2=s3py|NSO*9+Q`*$ma^%4S;z)w*W$!&TaFQ-Ez9 zN&m)XeHMnW_Sp6hHY`5<8&|G(98tR~-AB~8uO5HOiKiaFvxe0%#~y#;sXnw04t^LP z{Tq%t?U<89tp53E;c?y5CDx}TH=L|J=AMlW;i|TCr7tT>-|^$Qi1`o7ROyrPKN&yt zkdL30>yFbaLsV9;#<9}$ZNptA=7W`4(!a6qOp~f%my^a#1oOJbR&ABmTlMs#k2-q7 zgww_y-F@O|p-Gi?ok|}S>b|O3fY;k(%siiiuPd@$SF&O*GlP9DbI)w$jrql_ z^7+NnBP(yri)N|+)x2Q7Gs}IxzbK|mD{RaiMvc!Mot~~@WBiPj-p9}O+EXP9TO0|j zt=UKzciO3E9y_u7*ol*}b$fsH4o_0iJF6QBRoU>cl-XDrJXh}j>8@O+;W2*hV&pb6 zq?xUta?KoR`1Uy0+#^l5&cAZaZ}8Jcvs9YlnohY54_(gfqghKqa9x_)Y%UF7ALW{z zq~U%^t~o%O1${Ja(r}L?w>esx?R9mVYtHyTy?qUoR9BU5bwKkYiAh9_%4jE&gcw5j zX&Rzvy6JA9O#>ae35e*Wy6ZMwQe9P6Rr8ZDk!Ki%C;DO}4r?B2n?Zw`rKo7~lu;&< zh+{^R$S6^g#Y|Xl&_qRz6I9H+?>m3@?mD-cP>+?f(xmQp_c{BVy}z^1zUSV$1%x*W zB4i7Yj6nVY$N_d|-t%_=m11tGfod|gykf{RU^Jd(u ziezR1+2xR6MOZ2 zB9Io!;0hp;c@Gf%(_*%rwLtVQ+*#xkK&HMnUh6&}y9|P9jq(_f69VCrOUH4wKwamg zY^JB9Rtiz;Kal3FRdww@{t9v)A|x}OsMt)e5fDs}82#)jJjnA(W;yu9wq)Td^Kr~~ z5D)GA087mC6c>f7Oc_H2VIVVI4^~Kkr)YT*Mypqu4^62$tq!@$wBQrM0@`WBzkX9M zpbZx0FJl@;_|YrR0C^H^>6PfWsNoGuWpT}r(<{->Fm}IiCE9{No*dJ_Xf;;|*_G%K zpwUWH9L^Qe8Mh4K+&D!2$=I_|UiQ19+MEKJjaEh>Hv!oqkTpPd3S=vg;|{U>(Yn~@ z189l)T2w558qp70H)&-&6aMUnaWsEgA|-vuLE`916#UR^9@^A+cNKC!2N?GlmS?@B5d zE{CPIxv54pO=f8=Q&4L>cB|xiq1&O~w?Y!sh?V;MUfZW%Z?!%>37^uMve2gs5OK9n zFG(1gUJLF=@YQR<7i9zHh&~;`=hON0`_89-g0!!W{#pPMazxlYz`y8rtXO~D1Ucug zfl?vnDka?t%WpT9Pl}loS_GL46h;2xW2-rVRDcW$giiuKYg<;j?gCO2GQ1|>SHPo| zzX_xl7f?}5cnHW!fgA>s5y&5bG-9N*fo9bCu-_^iMJ@jgkR77dWFR{mS#z$6BToVu6bSDMZxRR}dfO`yJ|%WcAnyP&`|5bh zd@ih8AZvhZ5y*#t>=(!mASVREyMmWp6L0ySfE;{F9Qg^5qu0if-vPOJavW&@weJ(i zD}kI4$aO%vu8Y@tJ8KD~3&=R+QxOwZ0?7%a2xPZF?f`O3Aa}E!>*MX*3uKN!_5sNW zochVzVm?>g5;zc(hf!xb$( z%SJ!`{_wS^B@3h9en2oB#@>j3Ib&sECOiU2XTn8a0Oy{;-+nR={~yz^5F_-utX91n zaYnCtBZ=)WijG`lqv&jCo`e>oVxuUtWfw(@r9yvLjy7ZzO}WFXPJ_%|SX;lT*uYuqPy#F1BFZQm%6 z79g7ik_WO)AX|a#639*)`T&rzU2)_K zK-LT7`#=s0X4D-C_bGol3WZ8I+CnUYDPtp3t?IOhyFZv9_?FQm_PG78#_+4TyhDbghMRrbPqYw@2MWe|2bQ%W1D1DZmVS! z_h+ZaRjJQp)VZLOX4Lx zy)Vs#2Y`cAv0|CRv03E<$S`R2q&vk_%$fW8yDg&%*wfig zSd_^tUT?^(SuKI|U462N{ttrpWM<%ME+cW>O)0_r5F6aI*KHK*-fY0wGrq z3xr%fA`o)*s6fcfV*=s4I3WaV ze}Gp?dN483$ME?|j6_Fuz97UeoP+1wX6N9(tCLm-&cPP^jW!2)Wyd?OE8&(rhpKe<0|=PB>%L@UuUc1@J2 zu+4%G8@BjeQL_9&nQkki5MI?U7YLtiUL}xwfD8)c0Fa_UehFl~KrTWLHyK1ba|V!h zr0Lx4Qo zh%cYuq6@ligI5L#i$WgW2^VP|9!Rt_dH9`sEDtw|`u2c4T!p{Ucu0=TywY;)E0D&2 zRfQbe3hQf*z10_hJUMpYa?7!!KtR`6u^gKVBa5|Quu!g~i&?G+)?d4n2W$AkJXjR@ ziy5Wtl8qZ9&R?;P#6Hp&&%ed`V(z(kty%1>{zzZ+zRvmr!U=^oXFVBfpZ;q53|F$bC>c(zK^xjWjfwXZ*zgA-bHL34B6kBhB9LzbIVzBcfIKIV zCxJK{vYFH$f$X+cRmjU>vf2N$dd5W_`~{>Co?^w$xL(-ubTiIrZ;+VZkWZ}lN(+^#F^jN1!P27k*aeyc2s>I*!IzXr%A>p{OOO4$_1w11@9 z%eofl=^r44=@Tnw;^jS-Q8l#FgPGaZgP*PG!L3*;wFiG0>q+#H9$c`?dhqf@ZS>#> z{!Zt?D<@eGo)2liDSB|od2ngeiP$H4aQU092e}S#K19WO@P6UJOJ5U7=uhv3o{II3 z-xVeFVaRN@G79+|5N@|eJEcDavdYdTCG#5~yM@eo(7wsZ*odvQlL@@X26x8F*E_&Gx-f% zfi!LMwBO}vKU|mgah`TQw=A{u8yo^@emK%@zTNN;YUwyXkX{o?3JvDdLxiGH3{(b( z^J}oX66rD?JZeV)oz=x-RFaIzmL12EWHiU7cSC_1R|66YwTed?4G(?G$Gv05{_mrV z-NvYwa9mAyT7NajIm*%5`E{SQd~KOoe1b&V=Yq&E=94$BGn(&5(5zt8%q_M*wuenQ zI|_?5uZ&n{*mB&m<&3l+^|WvEwDWrbqKD17NW1a-Z%6UF<$N>>%lX(y%_vUTQ6zEI zTyeaZeD%d}eiHnr#x)A(qdr@<#QJQ;&bRi~n(vkyFX6bFD%M}M`8<oENi> zS#C5WaNdl4+88_bO0HZUF2*j9)aHb%#E;tiCkTS|nINsM;V|hC zi!^ep+M&@1YjvU4E?3L9^S2?x5k{rHn3;Sn!@T8Q>>231Wmf`Q_4Urlc{cw!fvzL@ zs4CCkxcx0QCwUx4vZ*OB>%`X9R@?$g@#%P^-sioelvTIQ?QU?%8%^&MzO7JKD#lbfgb#^q4jel5-kzYA^L zXJ?b&6}Ka#W!PtqPL?y7U;E+sm!W#nE+1Rv{JQB)c79=_KB4gX;`m}azrKTB%lXbK zoL{fG*3PeC9QlKz-xmPZes97Nf@4*o-|v9Ku=$2Q&#pSF59#;$_|tyJLwyOl==aay zPy2m-q741cF4Xe7^=aPuv{(*@GllC)A-Oso3)vFlPqSqz1Z00$gKYV~ z_|t6RZkR7awlt2y7Ph1AaUk@m+`fUj{K81G8lKjXWRWLeS@$u=y4C&t36W$E+Sq%i zW>n&{*2O8)ny1Z7xhzg;`W|W>v$eS5v*py(kDGRs%ugY6z{U;7L#>Pc`V^#OrsDIE z9FsP*d(^Jwgq4x%$-}|RZA8L$L_#4C*W>G*7vcY78pu^_B~%si@P)~zk4UrOQ_Zo> zsFBREt)QrmNY~0i8J*jdhHkz>DuF3mB{V_$|GYdHs5ZI4P_fj##{yPTeRp>vW&U%J!si7icc@R!um9MUeKpEtgt>U z;fU*&Ec9vlI_uME@}xPUFP_3*t<}NW=R3|mNVO`1CGq7ZwDmM>tnJg7ICiT0D`XzA zV^{hw!CkCJ1=0xQxInH4azI(WQCT*XS_q`+uJ|0x1KIBo-(OE-gfM8V*g5!O{N?)G z&=_kW`uG?=zTNqYFDUd2{q-g1uj6nQZYNX~`fHc-*MH*3Z|ZrV`#`Wu@P9nVh<}+o z%EGK*@0aWxTmfnPS5@fuQ~1+pLC;6#1>q`xmC z)meXS+J1KYHClfxfBxk7v$mX9U!xj`Qc86Ev#_D=PqGa;w!Lu~XVqvFjG~e;XVa== z-3J`&{)HX#3ZumGv}GNiK;hM_EM(n5$2ztny+i5e@wXWk(@~-eM{-=0VU)P&%Qi~< z8zHZdz1%m}?45-3zAi?I3&1EHCAt%37$r8Lozq2$NodF1{U0clhSG8!#pU3|mb+dq+1CtP5;WO3uVtufEV{K=>N0sRccd{i$cWSG;7s^)$JLfUFC?_2 zcQ3EF)$->Hu+$PyA^G#WS(ZPy!V0n}%bydtNYVTmL=8WGCVxiGwNZ2rjyOVD$e+*s z*hbN}qxXIxe_jPeI*Qibfti6W=qS1rjmSQ;Rq|*5i{KC6-=g``!fIY2e=dBj<ve0H!}1xM*Z-EmScRAtnXHGYz?N~#rXf2hAi6gn<9U@!BD*lKZ_$w z?y5rm{KtP;{w(uJ2zl~{cQiDACIj(xG5&0E`~mqBWXPYRFM>ZKjz62wj$gOn`QBVLr9-hjE#1$hZ~F@lf}NKK%w7=PpK6>f<53<`=G&-+PPYAp%-L zArJR{$nx-q7|(Q1iab1oOApP%AD|t-De`a*5=qTN3V@VVg*?3Bl;zbuRc3~= z2N`4+mF$z&aGwM;)NM_U%Ae-I^ z zJAVP&V5qFx&@Kf`bDWjqFa`9ioC?w8>z&`f%+AU;V?JPz zRfV(i*Ql*$B{}Y^0e9Z&(>}-3-soxnqBr*4b!lJkX`k$A=kwiW zq?#MeMNj*ly0mZdv^RO$FY~nXC!I}y4C@}KOZzrYdyA)iqNjcDS<=p5UooRFGj5}& zeU+zup2xcVb&dUir+vMreWj;;j;H-#UD`K$+IeqXSfgozr+tTK`A6&0zTMNlz|%h2 z)6Sp&Ha#?~J6xCc2R!XLPkXngeS&BCqjhQD?P*`>X>akgpYXe9Z$)86H2pXzCU#M6GVF6|?pcD^YcV6^5o&Gxh(^|Yscp|gxLTi^G1+B2SZ zK5-}d)6DnN7{qY?yt=gC=V@Q%X=h$9wf{L9yLqaBQD$Yo ze-X>Rj14E-Q9x&PIcr=s-#Oxys2bNO-@l0ZY_AkzeKup~T3KtJ+Bx_Vj;m?V`YYk7 zGdqgS*2}eJW<6@T!IxK76>nT;bp6HOsuGNvx!v~1UXeAex1+E~^X(q%9v{W>mUU4( zmUS^*hILIZA?tV-_jIg_`fU9b>$73q)3C^v^RO$pR3l@gza8yXLH@X5qnM2^1XFwpW|si(_DA&^Ts~nY2WCvE?1ZK1>V@t^Tz(Hr=9m@ z(YM6v;6jIT}|t~vG0j`Xrj&Ly0o`=V^2jbYbG=u z^R(~xEWfQT?T5Xw@9@UXeQ4ulGv6PMdT7SJy)NyOys;nmv_Il$@AAgJvo7tEJ?+nW z+Ib&Uj-5}G8N`fzS6$lqyHuj(nGbl{cY50Uy!n1#UE0s{#(vP#exIkEzicYUzPB#z zN4&A`^t5mCv>)}h}0 z)4tc!&L?DzFHE#~wl3{mp7wDOpUoeBw4=l8wd*mro^yS%ZVs!RK` zp7#4Z?RR?GCwtn*Vp^i&8Q#HM?pgja&+?}{?GwD*W?Ws`dp+&rJ?$qw?H733$JeF( zxM%s@p5?cB+Q)mApHP?fZg1=tdt-mj)6QqQB)>< zzsu9U#nXN&vX6;2O?7D>?~VPCr~Ls>JHL-6EkCC&?HNz|#AsY5)}2?~pXL=F=iBSj zKGoBHqFPteA#dyhp5MFb(muh{e#Fzh-_w4vr@gl>?U#Al4}048dD{OR`Q7Z8{SNG{ zwPWUX3tc;Iw=mLW*t^n>0%xkr+1{gO`xrj%-HhkIJaonE4ffUudLl?%by*16qy|vlkkRzv(+E_1mJa! z&#~WI5A*KJ>c52zPuf1y@TnJo6wy79%FLrzyaC^@RDEtlpOujK$Bs+Oa9rZFIyhV7 zVq@fn>$Cc{msIHePI|(;U^|U&TeHe&-Bceq<38d>6hT*E^uL5brC$^#6`7RK< zYow7wKyrAFkx@`1zXie))p`MlzKU!#G8az3Q;TM#y4D0B_lb690oq~5C}_TjXwfu$H~YV=e!Y5r~ktGo$po41^w!2)bmhNxAR8Gute=N z6S+8!ECw`&Tu_?&8z2v$htb$?1i})H^kyJ7X6km{?a8bGvQ6~)4j}gVaQcfj zyj4Au8?}5FWTuK*p9hk0mS;b{3FJA^!=C}sZ*8?>|0NK8eQJ?E1DS)`(YP*b#CMlq zXe7GE7gqsUDJ*sakQULO4j@gUKkovfOJgeA0BR*fq+%oZEc(0|$VSo5{|0j0$piz% z;YvT^>d)ie`3hu4M1LLz!V-<*P$RyTAY}d>$ZmoB7mzIi8Fx9p*&)V035blDHvzFb zlc{aTKkwQAR}z} zY9N?!F|J+>WUr8!4MbndSZ;I!*>q04Kko&S6Sc}f^i!0!)*V2ku1^3t>P8U+cw<}; z&`hzp{29nZNHDOjl&yp*{gfbE4)7~t`TpVt{k*8vwHLKoP%ZNE!$3|78~zdqbM1)X zr+{=p<{Y5>!*}Q2jPH?2F9ShLimi;ZfZQj>zJM|=js@vTAqzl1)oFY9UdZHxm)8S% zM6|O7$R5$>PXRfG4o7|7Lm6~7LiPa}Ct7|2h-BvTK(+~)3nt>5ze4+~f!OC)wKc8< zvR&xv0CE||7Ohq{1L+bi=Ydq5RdpOoqmE^rMly^(Tv z`ZO3A$PJeVQ+JB_z7@6hz+aKy?*?M;fa_6w4M<#jI)|6prDc46knN1M?ciI_Wq#Xq zys*!YL_2KpD3CdBq(uG%q#`VK?v;oMf>BoiiAKs={B@2lClmCm9;Woup_V_LkYR~d zJ!b9)L=QIrIU#x=RQy{yiH3W1XrMkI$Qd5R7zv5{Kkq)bcJM8wC>{0Mad5ei+CmkmF@qCH_4M zq|3Apg#f|(*l`WwjD9XvhKao0eXuvjAyU6>JZO@i~P%1p@EcZ2J876564)cN?+ z$Wpc=Y?ubJPxN^M5WOpFJ@`o=%iSo@Lh2s2qYC@OZ;JW-`8H(qE}w1ZaUhh7tokb; zrvOEkf5EgI$-EL@^0rTc=~2AdeC<1`H5-V$26?BEiP{=DfTGGz7%&L0F)6n%aIh|C=R0>tjc zY3-LxMlOo497a+7EkN#b;|h9mVOVTwdRADh4KmvWax)N?^YN!!UI}E57<&bXi~yT~ zOct|gCy)okNIwt6-Z|1O9{{q_jr4IGO$i6F9l+mAn34(mhF1saQaZmnEZf84a36nB zA%0xhP>hbV`GJC!P51Sc!nO7&m(9CIg$87Ol1woIFDo09#A_poN4xGb2CoNmNb2I6SY>5c`#yd|v* zJA%0#^E($|K?C;Awk1JVXHTy_!rNSfQn)%>u7o9Ha>x+PgjIOtl%Q2*X_c@mn_p8- z1>NbT_?4`n4Zk2<3C+1|AwR!V7%sZA?IAi<*ih@V61MFw42KG(4X&y>HxJuGRX8D3 ziJC-T=H&|M%8cnjZz1T+^T>O~r#gExw{1`)PD-<^bN`pPnW1y%yrwz)M)S)ImSr2`YFXBdVC|Zgc z!A+UjiD*nXHgR-sbt~Siil6l7LXAr3cGQ8GCg83Mo~HQ8YE2k!ZB_1MbuCz?%b4=`Cfk zG7sm{C2cRPd)N`OLz^Gw!xDgL&2zJrYEe&0xx1!RPgrSZF3?@d<}34t^ZgYpF|Lj1 z6kM6jXBOaREnAD(RFJi%OH;nBFr2So8RV~C;&i$C7^ix}(oi;!PMapMrewl_^l+|X zfX;j-To1uaxHj7#+7`Og0St9ZSSp)t#SVLsBeRs zQnbQDm#MWRES5mIJlrkaK$|EFIR)*?P71RfHjOCTS*%?c*`ltKG!h17j$>{17Gejs znue0v@62OsTAz-Dm3tQtR}xO?@fhu$Wj&{RhKog9UWOUfL}W5|Xa=JVNmM0B*2c!Z z1rS+JVJ!Fv9g%cILe(NDqtAia+45kRX-nsFed+!+`Ycn;SlymVp%^=C<%MwUxU;Me zC{{EhuvjZ5)mk-u$zCm|`KkG&k=`s4b2`JxnCPLvC1E-fQ@4y;#Ia*nhjK1d%H~uZ z|6F>gmWv&AVc zpuOQxQJ3Q8ka+mDcgf6I4iOq{%Kn&b@5gpNXOYX*^f<7DXxJD8>7SknUM7Lz0gj`$+ zdautV_sgA5=!Ue9&>(u^8Ayn_&Zz7{Pz_Vjokea@^IT_HoGO&Gf{vkLCA#?Iw3O^4 zq9vUcW=~a6v`pGK21*R1`=jinTB639)@u_2-A)au_TizS4UB27PYO|?OhTB&UhGq| zN>#vFKm3W)F4!ilM)BA$0>6#$F6vvrhI|*7b##wMaS_6uT-6vHUfp|VzEr|`p|(lv z>L3}bQ&jHehS>G+8KV(V0|R!wnTwq|y%DDOS6$0duR(6+ zYs2NR8)1l7hIw=GpqyCN?7_L-Z2uaS=&MN&r`mK2LsU>37GrxkXt-nWrTJ`h#tgV0 zCbH6c$Y>|fT++#GZvoqQVAL|K*#=3g_)Wn`Rdl*zy-{1^EV7Yu7LzFz6w|c%nsPgS zYT%j+WQ+)v+;}B;$pvVhYA@D<22tn)cJ3mwq ztO++nHQkwhtwu=qqa`aHvn7mgZ72kk!l1XShqt!XRYm-V z<*uU^WANFrPvCwjBo+S{1V!zopnom8H&Dz@nK7&R23E5t)Wbos<8=fE(=?M5 zs>Z^hYz3Aa2nwYjpT^aHB#uB3KU`mRtZJ6hne6(Y$en=^ff|SE z)!(R)Br*$jFURZLfaN9#N^1l7y3ilAPjBUMz8c`OnEXuxo_zms0j$xY!~z}3)W^0S zMQp55Jkf?Jgk{hiQ7q`kr9hr{0hGXSUe^tRxiS4zrny|i^b2@L1)-yqU5jyYLb4Nc zDP+4lgv}Vz-1I&>z0ZE+8T+|dST{2|E>4{Zn>EdBVr#kbV0OR>;*PkjlgSPY*w1~_ zorX-Lps(l>aY?N)Q&=+rvvp44;3@Oy`iOR8$IpTqOvWEM3&wiF-iZJsnHi z7Y9o^x)!%ZcYv}OynSpUz_nS&S}bi$i5T|G>eGVDY?W5|OBW@J={CEmN#3d!RW7$6 zozLV#inRC4Xm9PBA1qoN+|s$EcWG-^=Zec+ebGac&@adA&IY9(6gIghijB<3=A_ml&fe5P6W_WEUV?Qti71B2CllX$iQ8w zX<}sz{m=&U>W=){Y^jjvHuWuO>@g#FwrZ>KMl2VLbUz{@)}}1hN$@5pV`C^N46qN3 zJB1;x6*!?Nv!sv%7jNll>p(<6SjlkRmNmGYS;ErDwuaL0!wOR#?kjJ=jnSb1JNDex zRp(Sk5ysNEE&%Nz9njKv9@zPdQwP_*m@U~thSsa5?V>^@JAg}E?Y?E%Oo+^<)LU4H z@Rg-^ThuL_nhqb;K)O4)D6&%u9UNR!t{|l{UCo-un~eUpLOgL)L=O70tC_}`V5Y_l zv-Ac1!zEY;31Bk!M~M<9p5R&tleSFSnW-6@5q6#-OD^Fq3nrRQPMAF9D&-8~8lx$% z+L)c^REAJd zMqM*RlgD#;fYF%)9mQ3&$+NLB z3qn^>30XJ3?qDndD)G{~HIu;{RLt-Z!`xzIQg2jl^7Iz$jMBkW8Noa?$|X*8Q;YQR z4jIyM1lJJccG~n~fH;ng0F*>4gdOgP4QpkmzoNj69Z0)rHrHUrE6nKYB?+(BuwfUOVF=Vb`MF(1I&Y;>) z%E%Zw9xhpf`x&^D%oNtC2*xWz6#)~5Ja0IcYahnV1iD(KAJ)%p@nl0yVS7etB6H>y zN=B9>-#-(*xi>JaDxTdlz=OTccpfIzCwC!(sCH<;XCQdBj65y0bAxlmHngp}kE zoW!sw8tI@QCb&r(lzJpjYZH?gbyj^fz<9wtlpFbkUu#G)dib$X_ZJr`e6-dxhI5!s zDrDTKj`vk*bHJ(P)^VBLlHeexrHy&2&>mL#Qg;y(C1FvFIZ`IuU^;#xav@?e;!JDH zbTA#eZeb}Q3#=7YW=F|j_48yeA{|U%AktoLY+@v47f!pQGovQGSl8kSoz96Wku9}B z;7)hF69k=%r+Qb)W&9@A!K_g>h+w4{e-n3vi+Gr01KP2MH{XOnBu6l6FZ&yjhEm*Y z!Q*}AsMgx$*_F}8zi7)&sYbm3&;}d8wH1_k4+kn| z!YnneFEsV_dgbNPOZezYdmL`8>?1c-(tO(uwn76p_T zXk&}@Qnjd9v865E(V|TS1+7+UtwqsFEv=!@uT-g}YRmt=d!I9N=FChIDE5E8=kq+z zn!V53Yp=ET+SjvhGv~5a5hH}q0jEw0u@f$zJo(%4&l&{x8unZ-@npBxhws#iULRhz zyt>g<-%z)#p?rm_qP(`Y&hJ`MZ=kIDm94E0#yurK+Z`LKu073}HdJ$3g@z=w?jx zD8sZeuagYA`|Wf|GbVa-CGsW8a~X6GMxe{cl*sOs=LQ|~lEV-qhSW{NH{!M>I%McyDwMX^bcdzN!60^R||H-NSDp(>{9&JueYwtNmh`q6l zLlyVxX>{68jTU0|vm@N?Vkkq%$ zU$GY$yi(~0To)q^ZT@6R*;|mNv)Dt@sr?Ys{xQV0XO05K2t`F>X#0KVC_UQzw*fygCDMHQL$1%@2|4$8I1oIv*`+DVz9UtCs+%;~A;A>FU|JwS~ii=Tm- zEY2fsw!z}@0E=#*W0X_-U)jAgC%3Hf=p(H=X~m--n&~ohS&29ogV9Jby2xNu9l}WI z@XBuF&rUaaebkC5Rf_a!o_pl>gI7t@!T_C9oLUr#>-{|3W>=9dF>!0ZMF7J#bKO{Lphla_*#l>B}{ znj6s*n*Au*uoBc?ytYjzqoko^w7#v$xpq$xit!b@VR$FvwvU4H&ASmr(#`52**X&@ zsgm>-iZR7INhKADqYp*(8zT*yNc)ne2JNv*GX!T)0b(7n6m7j5FVe6-Lh}s*Y1r9d z(#pD-49sW*JK>Uyy1QEPq)v;57`n%?LSGrsyoPBCX#Ndlm@v)f%9K-+BKn~DTWBFV zlX)D5mG-j9JV{*wG6zMG`L5KMG7r!PnTstl&2()xWUR8u*c>9`>28s8WMx2x-;nVI zS`{;0ri`2@GTb3DN}|Z<6OfT)$hZqKkToeSNi9Wb$<0OZ6{RJ?O@^BQmv|@K1h_g} zRS;)5PaSGSJbkY?fO>f3(Vob6vp(&OA&%Di7SMrO)dbZ8Gz?AX#qvd3Rj0_0MFh@~ zg@c6%)^%5z;x||EQ+53YR#{Yqk>J}t8b86hz63$wCX3|LXo5rQa!6hO0D^3FeIa7+ zjiJnlb-lR{5+&>UHT224UPYf#*YBnudL6;=LscyqX)Z%uC`(%oH?<3O zJ#7#fMXKwQpnS0OE{cy_vQkMU>-r%2PiGG>?bqb3nI1Yo6U9A{PfYB>FHC!YI;T0GZTRHoe3pnnNjP9H34i@OSQ7FnsWnj75ihMdX6?yS z{@%^7$9jAoHs|Zg)_e0|9gIKNsRr^->{fc_=@=ixqDk1@y0a1^1T!y9e8TQlPn(T4 zDGc|1Udry)cm5}Y?)|*c5pb>uIClhGjvd#nB`;}xTjJ)n-8(d^oNg_}3G3es$M=@_ z8+*0PN?QL);`fjSrh~BdSZaGc+C>^_Ge<0JD!S)7!DH>7R25!qgfEN`4qG#0^%iGt zcghWUzoTuptdAI0z^Jh8z?Oy1c8%;fZidKm^yRXGo1=*X9JuU8xz+!DKvmUGG4jQG zSik(V8t$M7r?x*1KP1ccHw!(DY=1`sW0l*i8gnW42y_UMyEshljZx%IwaA@hk^2IA zgwS?>mC<2*fg;z^H#bSz6y?s^7L*w@PuggpJNh~Vp4yuyOYDofV0l&Jz;S3N@>*)r ziqK}1wX9BCcw1RoF`^VS>uDR%$hVJVI~N1YER_7_tDOi>UH4{Fx0_x^;a>wpb7NBT z^&B)UYR*qtyJwuN@!*g00Aw2YwErH}lEtCfAxi?9u0<2$^ ztc#t&uwUKu3TL#MXIW{qHHfpjl-)g2kpxE0=2=P23zIR*waQ3Qe2Vq;Z{FB*ZO$pb z&T+X}Zl(NL`ubNQgh~5y_?Oathid#~p7)e_4*ScvsN~9ZK1v<^aU)^tukQ}lZ|6Vu z|Ga&e*+zVt*IhHpH^vMqP7~w&I~eEvyd;CCp}Q>e-F80Dri9-V%T=4AY;2CO{s-MR z4cx4!&G5&zU&Eg1{>A4r`j3VV(aj3nf4#%VEV5N`3qcu=wYtr)e7Rtg5=`5=4 z4rtU|2qhC(jemZ~L1Ziv-(0AJCUt$=jai<9Y%I(e2hawwn_4xhV zcsY8o-Nn~JwrNg8XulM%{1QbKXzxeAXnRwB06F)0)rZjJ5)3Ipd5Q_x-QG?IecuL% z0b7nk!K)iPusb0bI+4`X>D*)t0fYiI#;)?W6ETXg2NlO8K6k=j?| zCpgY~8bRPE`-<)|&DQofWUQW!h-j0n;oA{=ZwzIswAsGP-{%-yj@5oapB$GxOP?`T z{|o)dyeSyHsL_(717sP(6-Z3cN)xqmMPq zIs2SM7PDZzv(G6k=U{?%w<(wsqTr+`3VtSIQ^Aw;LBTc2;osf~QDi(xe832A|1+`? zz7vqK*^rSMLBk)l(A{6 z*XV<-$|A@($&Q|_VfVRmm}Q;kSr;Pb`zIsYYiU4Er6K1S+F^TI?&?5JYKWYvQRMtv za$tJCpbzO;2RUeC%<(F#K`w&Wx4fT+?izDnW;gaMqwGdS4h)Zf`;W-Uxs#N}jM=y0 zH*4eo2vcpERo*LTh?Tsg5P7r1UWIu92c^&^|{j_FoJNow(9 zVhSJ5&vL4>dG99=Z(8Mg%-Q_*Cl6!%n%ct2+*x_e3kyJ#)?7Rb&gkZ1&X2O~u#Is^ zXB*?rQu<&V-L1Gt^{wdX{;_}fj3T2g+M8Dd$zt~<3!qK{)JY1c0wGIVfd>ws010dN zx@6EN4^z78m#Cq;r7^GN`hrdQu4WXhJxH7Cpq``8kHI(MqN9@;T>{r36~v z{O-Onij=9KT-s{b`z~2~AyR&Zk3|p!$f4Cw&>l&@NF=G6Gw#aI{k-X&_7sDmi<1#nh9k(31py4lcn+F&O%37q^54LZL?%Cz?C zQhfw$AT^GG=;k3bu#t3@kg?F7d1SOEpR+Z&5FL}rrdF~szmr;zM=n>ziVEdv6n!XP zZ?of(Bj(A(AY>0PN8eRAVc>QEXUQ9^p!!oipW=HqZkEiZ^`9oL?~nWl<`vanf6$*q z8B2~LL2w_BLF*?C)#jhyz7L~G=Er`1JnU6f2^>dx4&u8l5OWt6{xAJm@$V=3 zlPZM&T*;qk$v;z;!B72Hwm**vwdR-2R$qQLzsWhaSW=Kymf|Cv={57{RYnQa{bT(JS;ih6HAZn3pqm|bL$)A1+(-A2JIKkt@>Cw3x4EW1e@(JDqtE7J(y7< zG)d{i2h^G$MXi1^HnXuWeGvU!wEmF6{t=(B^7{i{wbpO_fPbwpzqL2b(wn=hespvY z_l3f#`IjhjuSkaeW%55YG6jy!k;kQ!-o5s;qEuw_YC)d;R++Z zZn!%QyvukWZMfqM_emo>*?3Pf+;#(h+;9^N{7K{e3&Y)IxNjNmKEvH(xNU~(G~&Ar zH_dPl8R6~5`*y?SzQ+&zZ7%W(HeSM9@SDNI;< zGRBXu=%)TH^OG=1rIt$7wvBCQOLwxpH};z#4!XN!Mw(KDqVMo`-y17Epjms;;qNoc zKTSrEn_jR9 zj8U{%vzmcw--sXZQadD2FW+AON>dMG%M0gS$q$qBVpHKhBVO~IJ?$$Ii(7WuTITFY zT(?0g{NCD=d4B)ele)id)BM9QsnjJ;hP@@bX0XuHn&-EX!(_=Jsip8euxS3^ldXu| zGQZ8g4h$04UC+)59I*3;R%t1;Flg6QR8z^Nt&DEI!3NTZ)EQ}?%(U-Et7xWi+ye#3 zLF7euHOu)5+OfT{#L-$io%72o$FJfH)yeo9-~Xxd^|-OTWeUCPPc`*u$y`m}r~WCe zH+@d>#;0Ep0^_0^4q+NbJKmBM)3qb*)J`fc=0kSFWr1H~k2Yl`1gb@K8nU*SYV88Gd$kiLsshSV_h zp#QwqmKb)JlYlL0ep$MRlz<}UWL&#W_7~EmwSj z_5yWR$M85Xdff&Un|H%t*=z-3(4Jv|%jEe40*fD@PFO^4mdcqyI}lXrX*>GpPGsDv zqYs+)yuxXU>}H5WT{6;0b6nigPp0ur%uqUV4>a{SI-?b9o$vUEHow?11Dn{I{$`TT z0eOk3cgrki^M!JZ^JAsGwAy(jIeHKgACg+`Kl;8(J9mb$J=gqG8M4?Z@9}1x5jWc7;dZKt}@)!hC9n}iwt+M;Vv@VJi}dRxCMq= zX1J3Lw^+KU2y!3Y-k9ZRcGwNvjJdYJ^vw-;G3Is|ex!=;;c@0#B8>^$_NlE4$Ja1GS{yu_H8glVfAOq7W`~ z4bQ1lT4Jx2LOi0y?$N~0H1vr;CG-Hr@Yu0pDqOw~gIgH8L|kEn+usuro*7#r<{07D zcX8^>OxaD3y;59?m3t;AYo8s1^(Vlk8JBI%02ki0?Y!g%NMS*76eU z4F%8Mz+}gkiFq`_nWcG5Y`U0f>YRkR^rF~mQE3=;00O4OUMA*8Ff!#^jUMeiH+F%z z*b?9R-V6M5V&{uu!$zIG$AUgRwpd(b@Jqn^q}WSDiSf?xv9Ys6zVY4{@0qdXV$L{~ z2lnt}gwKxki*kHcL;ta!d1!~V*uxI-kTzb3ceL0IG2&xp!8%9mZ82i6BNiJsK5$Ht zt#pHjT1!}67EUT#SHTo*A@s9UQ zsH~|GK3_xCvg$^ERfBIS&T&=ws%w|l2}yX>c#l`nT)5I#Vo>GRls7h3H6mVRRiv2G zCi82{m(*0b{B^GK%JO=@%fGzJwXAw&RjsSCYGrkW3?Z(uzN(^nX?0blYk6Ix-&I~; zZK5hnN*tW3s%@;Us}=KWudc1Trq)%ps-mjiPmGu_VPa!lQ$t17#QM4he|b}7bzMb$ z{lwC1k)9P3Dpsu$RjZJ;#?fO$b#0@+ytbms=bAFbHFthdkp(l{z=+iJvdTHtwac#I zAvS;YiYnLA^6HwVhN?;3h0C>|y20O6UUP9%Rl~J10D3R2YjBOMbS=5oU)AU;M-nP4 z8>$)`T_fvh2$#!Ly1uchsj|-1!1GDvRGtUt{B;#|HLjIa4NM={Oh60XVVot^eph4l zbyX(qpiG=8Lyup8Hrx%Me=*$AhJTI4zXg7irVajM7-^IKkj3x9E*9c<0>2o3hWp{N zk05R%{Bv^yw)S|6%L~oiD1`3Tu#6c<>eVo2slTDBd#nSSMD!Y{E3@q`p%w$<`xz^N_`g1KM zImnae0l5Csj^h>EhN`g;Lk|I8)~Bp;3t;PrAfEYk2wNeJ1FZOyz~_NaDqy39kB+?5 zQ_3of0ap4X;Pd)}7GTAv0)K3HS!K>Sp?H?DyP$_FEfmi(=7-E;z)o*aPo{yxwkO|Y zT2Rgn|63NnyCjG|X2B;}@Vdo+(n6DJ;CH+!#Au6uvf5t}7AR zYNs|hLDx4RQ(VAuE~WA@EC7*WdN1dC$Bdq_j#b@X0?l-+3b=sI1H1;Be7FIcCP`8N z5)q9*b_q%TC*Hy4JI2Kmjh1>4wt{v938BkcM}9BYrM;Zfd+EEQ#WQ7$w#XnKKH7D~ zMKZh0xi9Um1Qzz_RM%OEk6~v}*HRUg@+Sirj*b4o z@cAk{52&qx0))Q}SKqJXuXjxEm2hj!^j=A~=+k>8uZ_*`wb*e>_g=}s>_*Vb&~Yz} z@e(qI`g3*a0C+H8^gmeAP-Ub6bQreEqpl}F=O^7KitZN5S{nlcFYn$f0gNF)e|dTj z(&wRUAI9O+S-E4{Wx2~xX=gbl?uwQCGbwjPPnOiO*G z{Z|3VJCc2vPj?yND1!1KZabhDar!8fJpSBf!;$w}1}>Uy_aU5iIS5y8jcVI^M@!^7 zO|&4)arFS|iH-j9A#NRjdSV-ze2AmH?Ks-oj%$m6qrL5P zZ1e26FCyT0yq|QY9jQwiaK~&o+M8*UZ2)LkZi|?B*Z`+zgg^^$}(d}etl0_1?Vq}vmjj`ET*mAnCTj1$QB zD}#Mh2EsQ+31@ow*vAY^8+ornJd=hvw}GSS_~ZZz0Lwvl1rg|CBD6Dkj*G*)H}YKr zpl&!bCG%iD@_=$!o?3xVARZ5KEKf|QX=CEr0Hl*?hd%}I7GMi-*z;=GqZA_x#p5_o z_uBaCNX%y7WPL|C`KACzIul2kE26@8>xFepbX*Gc6FVBGj$>z^K=&I=;uS1d0GIR*+)?KHb9<<%kPzR zkx?%X0MGg$X$?Gi90$08x5{Up+HuTNJC1ouoK?>};L>dT%51pKZ2aUeL(>Em!|E7q zOK^iW(%2-L3^U%iDDfE1Ct9B7A&hyt9BmN$9KBt&EfMQ&edInYnr_Sw${z>&$@&LB zac%-|oCD!A4zLX{8n~r!^_0oB@#keB<4N-H0~T9&5Vrxa(1yDgxMJX}dAb8QmNUlT zLml@3Sk6Lhe?_p}JdAM0i4;ygEMqLs*1nNtjCIAtb(R;*2Lf#)$2_Bz9Zq+wI2rLH zjfE(GG6CVy#xq+H&U*DWT)jZak5Cpm{YH5WJT4-SODt(v5$w}CD>DZWpWm5$IfwQ(9ESJQY?K5Sy0!{!|16N;Vm$f)V z7U|mn?x&1zr44ra@NcE#pszIP@9LbM_4Y24ep~1C#h`x;^vfYf-)W~`6sG?g(Cbed z^!qxdm-ztt$)I0B{s$C&NEtT1Wel4GL8{xO|8~YZ1&#%?5@0EZrY$rb9 z^}QU6(B3CO8)+=E4q+z{mOwsus5|?}V>aA&;0^(>%lhO(oF#B|=M-DNxFD>q zmVu6Pt4W_?r^g4NZQh6Ft`+o`k$$wDer^aob$Jr73-s5LK1b2Zy6w0;(74mKi(zlp zJDEn@ALf{I3D@VBgL%Tia zhvX@FB*fs`4)jn-KE%0zTW-P8K768mN92JPn~CMa_-;Us4Oc)saMrrN5V$np65#S- z+!{ctjcyIYY;>D}a{|XWeCTci=oVaOdbd9tuJ<7uj(Q&e&aU@sz}fZQW20MxxI1lh z)O!bTcD=V-a3F~~x5+w1*z%(Btt`K@F^4~&Gx&_D9(ngBywBZ@e1rRU14g%E?DK70 z=XWOn_c#&Sb5RZ^3Gp5VKPNGnQv<_23AYXJrn?3HG6Ry)u@H`FHGutq?SMUi4S?5R=mNNh;T{5*?q&|4 z2PtsFCHR3`2Pi{hlr|Fa0b3!njqgaK8!o{G;N4GzET z(BBJ4gRJdvN5gdkS|=c{5Kh=`Kqc(72yhqjm$1{Npcwh=2OL8g`T~%^{0D4<|1e-2 z{CR*wC{G0_CvN1&M&OqNR}WYRD1+<-z#_mpz-B-tpaw7+v~Iv^z%k^*I=Hg{m4Jl+ zC!h?re+_U5upE$tJU9t=HJ}x+6|fVK0sJ=PVHxuY?quQs3Gm;0F6=T2`oc{>UKJpZ z%J7~F|1sp_4%lHAAPII%r7d9#KkWf10K5l#><8?E|1HAB&UReNG3|Ir$0%!vq0=Pc97VH}ZJPR250L}pcb^-nl$aoOx0(5%_ z`#S)40(Jp@4~W}}Jz0Re0FH-YGr+e1I|07~=-(IOJix7h-vbgJ!ATat?SNf?j{tqP zVJ|%3CxA}@Qy)cn02~IS{s4Lceh&B)Q1V0Y0(>9vGr$4B-vHgW3vn)>0B}9vPQa6Z zUjhCH(CbGC2jl@R1vCI!06zxo2YdqPk8Q7$09OKT0z3?O74TO;@=p*3SPa+%cpC5l zAYljgpaHG~tOsldybI7DgRKCI0Ji{k0NMe49~WX8U=iRtz}Q@}#N-GGCD;m-D#$fOtWOQGhvss{qY_9{_#<_zPgb&+u6T zr~%vwcpmU)KuQ~~JO<1MGyygPo&fv`@G+q8&ryB>3jsF(9s&Fe@GjsepxcWmpMZ-1 zHGo#YQ-BWu{{ZyaEyM`Gbifk8TEJGoKEPi91NI0p5pW@(4!|DVA!39sVnv*Y7f#Vl zbQe8Dg6JuFiA0QjdW$}yFUAc0M1L^=bKe8eJq^YzF1P+Tf56PIHh=?ZbB@QKBuTr3e4 zqEb|erD7Srg{#F?;%bcbR)|_rhk4_#i3ZV#Z=@!%Qe1;Iy=%pF;(D{C^m_2h;L#}=63Nd@omiE+<|MQ@5HzB-QphcU2(6t zPuwrQC$@+O#Dln9cB^<8*EK(aapI%m2jYjAbN-R|vG|GDAs!Qtizo1X^OSg6JcIkv zpB2xEpNd`Lc@&|ai8k?b@uJv`dBT^(%ec~JpLj*QDt;ke6R(S3iZ^iG_^-s9;@9}@ z{*8EB{8k(g?}&HBLGhk=U;IvdAP$M&ix0&g#9{GA@h9;S*71*sKZ~Q{nD~qMAMsbr zTmMabEItt@xFIjF?Fw7m#=F(Vyvr=Ri$Zo|<$DUNg)e%rx5MB9cj3woVi$PAf^ECK zGV1E9Y70v)np^7g7(1bg%elP}TdEohZLIBav0bdPuz2N)j>$5wX{h#B6&7FA86iw@ zW{M!9-3m5U^Sx)v+S?iH2w7kg-gzz*M39mnvgT9Y>%2B>6ZM7ggLS}VseUsl(z3hcHJW5twlmB$%X-P<@NzVoMdG@57HTKy|F>gxOM^MXBLcHhfF2CkK0Y%j)vY+FpSFx5%ijt@ald z&#Xq%jaI(+B5y`o3lF4X0z@@7*m{eL7iu-S*N~yZMu?;5jv~z%!<%oMIZ_n7hyB~ zmzVDmgndaFA6b$;=Oa-?ANc(z1u?RuF6a{>^CR$zko%FS!m>Z=S%{9yKnX|x*=Cy_ zI8I?3u0>_uL7xBToNYFZu0-^V{!f+Yx|zdsH>$qjSsa}-Oo1*84m=qc=*(?ek-r@O z_f=KXX#b4t3X4pzP$S%#bqy=Z{TQC}D^ZSlIY2P5OG117u5iw&HwF@xL8L9GyTXRU z%F?D~%c^jUtg;BB@Y;%N?E~>H@bPl+I|~Qfrq@@8j&V7*!58jnCotU|fuppWVY3yd zZ?ufsrkWc2cS#pgMtBjOVU^C1 zlFbv4C@6M)jbJ3iHlJe#gw5m_0pTry84yi{s;?m@@r@mtgGQ{{s)&JV-0qcCTAuTp ziP3%I@~TR+*~cgsqkLPNZ+xC)H2Ul6!xF4mW|l9(k}Qoc&abVs1}Oq z@*12Ijmm^|-l(r(sZv}#N);(78XJ#MW;@c?6BHFqVUKYFwhU)|qlxkwmYIQL$hA?4 z41GFO+RFp9^Omaa#{OxarH&4J(v`Rw*Uql3tXdUT^-L-={T*tRp$t76Q=jGjx&~Ft zJoZ{GQ*UeSUhu< zY9+g7OgnXSYet}qk{&x{$M&>SM>l8$%4iw{OjUMmebwyBLQiIKxnUQDu{GdEmgN{Z zA*bV1nT(bjxdy44TkDWEv$3YCs=g2x30M~!jCz!%j^9TSlWo-dD8bN|cLg*?X*P1q z6a7)5=Ne{;7C+aFABBG|>g$T?TF${n&WK1|g={+`brmuoLYJ)aCVZkk+sM$4x`Y5t zhmE626+VIpgoF(t%#d(}10i93SnzOrc#miaGdjhKqTC|j@zj?WHZ;^V1UrMMq#4zX zhLvOtl}%Bpvv6@mpcSHoj_JK_JALOGWn8lyUo*0_L{lT%NM6TO){$5=PSydLmBzM8 z?d~GC~RM zigxDLX+S#*?=wm+ijP%4qa8Qdp9#w>HCzabYJ9(XvR0I@l0%=s)Ro|VWW`DsOf0HsuC5;80jx)vh~1xF30lx|Y5j&0=44h$3uPAgJ~ zAf;X>l8_rlQ8pxXC00f)R@I}GTQvOq0*#VIX1xp#SDkW)U768ic)ZnIppTkW2B-8o zxzOGTSq5*-ilz}{XJm63$0 z84w#~efY@Ewu}9Gy+$1i0uiVg0VC!0jj8j5_N1hE2Y(LR=m}26~;Egz^ZOp z9TH+7r}NUsss@3LswOH@F!wKi_53$2uxfu$TG2Z=v+ygt6O3mAaFgG}c^3GSPf_eYz6EB><1hJ@St)EU>sl; zU=d(7U?X4)U?1QZ;0r+VZ*UF-kOwFREC#FrYy@lp>;SX@_`C)87(jm;@c^R%lK=|< zwSd)tZvgn*4|hA@X+RrbA7DS=UBF?$F~Fw)Z0nX!0$dkhvhiL9w+65dupMv!a13w~ zpdY|l4nQ)%4JZIC0@MRG0JZ>j0Qh`myEC^PN<_JDIu{bv2$S~Ih|A~tVG!pa?gFl7 zTh}TCPF95AE#Df*!_YA6Kp&?u%y^u^mdjB%pJByu2LR5t7`VWUC-id~IO97)MFzfG zC|)w?Zs3ZHsZGg8vBoJ7B~N|DataS+&cw;3+I%%(2i`qH@QOjN5FF_#8j|-DO}*x4a2!$f^%=u&!uXE8Tb!VxVm}+<>GspxekGI zQG8cZvPvN0ydeszYH+S1A2YSp=$1>>rfQraDdYvVcC`4nhJwxlBMS@i#ew%Zb(Kvu zRkO-#D{HEzIPEPSs>6)6lTC5uRagxW!o2DE5ICC&2}#^pkM&9Sth3gz)y$5MGh1ig!6g+X=9v2tmBLv^ixDbDB# z-~8HZ@O6z0Y;0l)7B~wP{aWi~QLf6a?~au(>kv{dnm~^*JeN$+-6mjYzF@A$?epP+ z>hdMkD?OfU__5s3=;KF|yn(w={3e{vi#Uzd;iO_xPx7=Xf%F4cS<3c&?|vM)_}m zzqb4;@pZb_TqV}_q$1@$X(Ft4`7E~*-(rL<;i|i@qTFAxT-<8lXn9`~@(RZ=F!3#J zGw@ZgsG`J~M+3(kvGVQ&-@k!jid#Md?N?j6)EwtFWSRWkrKu9{&=P5zmAO zce{&Xg#V`izK#CMim_wIXDOjD{a4TbUJLM!`*z#C-2Z!1^OfeOV}XIkaUVP&1AmUB z9}2yj{dD+zMf<0*NoJqes|4-*Hn0)2ryQV%c_3f#bO@nY3zNg`M z-ILs#+;_O|bEkUJJfC{5^1kj(OCOhhW%~W;|4i31MrCAW+>`NO#=kS-GM8jtmHBe! zFEb}(Wo3OQ>;9~NWyNN%$ZpDhKl_i_J978szLEP*?jLjil{h(D)~58CGIq)pQ`S!T@swXrIW?vK z)FD#~rWQ@TbLzIKFHHUI)ZA%hRGb|FHnUL8(b9m<1%&g2QndO-cnb&9DpZRF!lbOHE z{8#1>$R*uX8j{e%TCR9XHU(ZpS>)*F?(C~p6oBO<8zX72IOSt zT$FQ3&UHEW<@_k;!osoNCZb|NCxl3}d%5BKKF8Ai#+j75^ zdr$6zxj)E#JomZW7js|DeKYsn+z)d<&h0a4)TEh{E}L}Sq;E~yIw^TFE(gH(rm`0= zP~Qlz%k!4!fag8WA>UDY3yraG2yl!uX zH^)2Ko9Eq{zAb%w`i}Hn>22wI()Xpmm3|=oz4Sxr$I_3dpGZHMu4gzi5;Br9QZrl` zX&Iw4GBR>9CTHYj6lD}=lw~Z;sLWWNQIk=h0VNh={s~TuXSnA9&mTQKy;Hm^y!Uyp zPro~TV8#_0Ph|Wmqbc+C%saE6LixI2Qq`ovlXE9OKlza9HA^8C4n2W@wq0W)^+G*U4g10?ACQyI4s7E8g=VMXAp^J|h-0&owo3%ByShOUg<)71dNP zUIiA@0GxvPC%ismI)?i3I%9{RIgdN>XQ5z$>5^+}eg2w8Oj;nqeu?te;E%y7vDIDN zi##?$v57(a*nE{IG(?;EiYWu}CSQE3YJBD5Bh!1G_`)P+@RlW%Dq{xVEF92SP8sGwKvL5)pyMiA{V2;dMb zHZQ;;R{#t%GEiPz?GP+9Z^9hkr48$=s?&3_e8!)O;UCxJzktC&d

$!9w(NaN3DC zq_NJotfp>Bd5w>DY?PPuS+NxoTMT-iZw2y?dWozQVZ$KA*hWwj(MZ8GrSh zxdk3V)L{MpCf2nJZ{akp9XU~~_K_QEWFMoPb(TwT&7l~@%7fdfH zoI8Jx_#?_$y-zhbzNM-lpO9*r?aUQ;Q%ZatK2wQ1;Fn4WC^5fi-rNiDKd7cUsqh)< z@DGIzbs9`cUvXK9;J7D}az6#$7gV}nc1dA=*}RfV)4ie@dPfdxGfkEY*((tn@aZ@_{?;F2Y#9Ef5Bs+W=t=go$s4Hcjmm3 zIn&E#&zmb|AbC*(S0T_yZ(@v*-ofzH`x`2&mo9~vOYmm4iRup$RnzFhp7RyHl^*d# z#{^!HjM5X4!%8pGh0E&z!M1e^-j+7iR`{R-yjZ^l1lz>O27d%JvI=|-k1S{1U=zdg z1K>4Emyeb*8nd#JOT~SpQf(VwIZQ-zJiBZ*s)4T*WeNuL6%@{xe}TwBGK-^xZKM_L zVd6@}2t!^2q|qF+IcHPu7vBs+A{k#j|2Hl0Jq$=tp77|}1#{=S@?9AdGADS2hCfo3 z!+?ocf5Ym6XF`R@pR{OBb?pV0ELzccZQ~-(1oxuGhKfa!3TtwLUyi-i45CAi$Nfju z%WA7CU07Qa4o7_Ba;&+#La}!naHk#sqtxnD1y0P%WL+Emm8NUx!ms2FM*+ zT*dP81`%hpDlW%O9DBvf>g-rczheYmE2zUd$zp^a?^{ydSS1|mRj@PO#}f|1af=F1 zz;)Yg6e<}knwFwv6pm(vNs0IQSJW@%wq4=4O)*Y|?zlNpIAy!; zXkmBiaKtJ@sD#JMl!O{Zi!%%-Q|8c|fnbGjsz~86ovP8+f4>^ZcAC9|{vkDV$__&R z6FY5(t}m^rEB6bhYS3dB@B_JzJJ*CrU7EUiInSQAl%-4M7Po;l;X<$B8rNc&Y{YC3H`(9$6$oRu{8Q;&sDrf@@2mHHi~a7gdA#%Hfj19Me}Chs!E1{ zQ{nVExV2Kf%qzj@3^!N?g9;0L^JZL#{z>c!&;)TWNgVl^R4+?R{!CndNhbM4r5F$H zQ)Ce4ld?}qeMM& zL}shkInzro0*&Hac4=`T5qK3&>u^#VauUT)*Z+;*URol)p|EN#KW;S)0MUqD0zj1! zlQ9xY>W!B|KyROqr~ibuf#@8Dg9i(8A1(ZgSsNdQ%k!X7lIuptARce#0 z8Qy)T+4p*>2KAqM2;cf9tj#&JJs*?wcHM~p$Qpy;1v5b68z z6CeY4+#1W)RyghCrW@G&y2>h{$6W9ejJ0Cx%jeb^TWh2r*B_dDkSfLT$G#3E{vI9wE?!Yxk36j8 zz9mKfHyJp)b|p4CROYJ-cGUK-kF6oWV@cu@D_-r95+|%U9?=tjml*4DtGRW!-^Mtx zC_a_JGs-Jx&r>Y&>sG)Z@?XluKMZb-xbP7gSJnFGU=pC=T5(dvlJ`KC)z!_YUMBt- ziYn!<8}XS$&c$)2d~>Sib47>4sB#liF$UvbNEA1cRbnHZ_?LX0&HYQ*^`WfuZ;4YH z!hnIjXr~O?in27-R!leT zW9s)9tfNut@cK{Kk@rphfc9Hm5E z{mD$*`1sd_qqy9Mmak<x%{~MvpLZ>UI?bxne%jHi4phrQ9DVV*aYJ4q_D89m6MHP^Icm%%>Xla*WV> za5f?43+)R@=IqhR*KUqK$k(JEf8lGAgFGE0QbdB@lVW1}I7S0Q^<;@2R0Bh zi{?!)!^DGzAi55^UJQ}wda{I*ZjMNbW$&LOT%z}OsH^opNB+*`Zy%!&Xubcy*S=`7 zR#jBh^WIgVC2Kc^y!)VqrrnC)e#_9(YyBQ(96*fbSW;J4Bl_#_Ah83ett-~GsVBB+ zoAoJp{?#X93%?06WJAnAjrYHZ*byPuLv-QDsd+8cR;n~eW4dBTYNOEaj0g0iXiXUS zQQB1CDgyYy-$v+Q>OS~G=rNe)AIvNs%#0POTHxv`5qsY0Di-zLD{VIvcq3E^CChSO znur~Hx&k9yxu#9Py!a%5vV%;j5*1Q^V#lAhEP0VrId2~}PF6>`j7w;bLHvtB6#|A< zdf3}w3ri6@;dIsN%DrdW3{2XW0t}n5hRd8c3}ZX&En?lLtA!`Zy>QwtNZl8d%#s$_ z3bOT(JyERpbftHGo19kA4f99e*5cp0~gPpKTc=I9;g3+2<3f>UXl$cz)x}9;GxMF704sy!Ir}(;--;?LxPk# z+l}oA%u|7`n3P6oUtYQZbUw$2juCk=DYh$95LUp!mt({%gmE|qOyPGWrYL5R#sN^= zP;GuMoT~!#qfQ(yNc=Eu1#p`J_`zuiRX>zBn2ZOLmukf2NI94k5tjzDTdprMj!On9 zJpb9H_gW8Zi5LJ-T1&;0CTe6K6eQwCcBTruEPFI{UuPHE=R%>~2|XT)q>CD8FrP%+ z=+3kV`%eSyui*K4kT317(rEjO0TDN*Gv>6mEf%h%(Jsa&t1AG86`84q1b!38g^v5e zukf~9{;%B&Hrs-%jG}?zzWRRv5f?h93uhMc{{Y%iu=+s08lB?7Am7Zqia z!6C5vD5#>rF1=ILBJg8%)!coA$OZ(|OQ(7nof$6Dok&u@HQ;qC`KhL2h$i`Q*wnG< zA~BQ-{tCQRIDG`h`#vuD1edVp_;8x6mhn}O9ZwN?w(@J z(7q17w}kMP-?(v;Ix|4v!YI}X?PaidJ;=s|g2<2MQcPaP*#1+XxjSv>QWb7KNj~c0P!-AL3_cfZF&*PSyCAN5Wz29BdZ^bbMjD z(Bk@GiW#63REnf(4VWSl4o_&=wsR(t{MRJyA~0AKWWruu_GxJT)QLC;v%=DY*;rZs zSxLJKj2;a#dn~|gs1}%mdpZzxQO;Sh&k6E5*LF z6ZVnst&{v0gYB{)|1_EP@#n;7*8;ho0kTXC{s>+%l9?%QYn>wEL#Hl0wj`BftwLU! z{4pZNXuEBkP)b>5`pdE!r+r>_TkS}|P05Xbh0tauLOF9~ zQj90TLIf@aXah_%wc%XXgcbPFvK%)c%C`VgA9_OcA;a@l;D_6u2LqtWPw=`QFqToV zVj=}48R1%1P|#?$E8=&c`UD^a&=VqnC2t(LaliHbfP0aY-UG3=0vOA<5jxpQF}jShE(B^mASg?WBUu}w9R#=?C|&nisY-R8FVLho#CB*;X z(FhS&(bUj@g30$id9f<#A-2ulSI7SOt;Z-2wb3@noKv1q}Bl(cQ{@_ zs8i?u!fsCeS~%UQP>gRLbCXxY`>t`Ej+qxxC}j(LYx zX|Uh;zcZorVoo}4PZ*3X^8O|{cy0uiS?jnxX)w0IH*nzyD8(;1b-PiuhEyyOS`w9X z+|I^#0Dam#pNtRkiGTy(`9~1^4~0;Am}A|T7E>wFlM-S!I-auXV77Y3o|iiu)Fq&y z87g9W#9RiwdNKJo!;>g~n7%|tNNgr<1b>J_&TW7{3Cl@v;+Z1*{+ZsvF5lUGEp}D( z>2UwdAW&d4%zwInrZ3JmVt|_~e_!KovYAA4l#pzC`u0aAYW+es(Db)$s40S4n&!vv zfD7>HsSTigz&5aAAJ0TiuahEU>1X=8ya4&W2lAT*J>C%yo66PX{0EXyi4hkQvJYIZ<@U7<=~vjJ9J z&i;m)!PvfWE z!>6;gp@yxA)&rHG55P#KOXG;_tZ%4cgP}D9#bDgS?l(oh1-N+j{Bb%v_Bj1cB9!+* zdPz3Wg&%CF>Cq2;v>fmSw|-!|%QVt(NH9o^>p}waRG=$nP;l9q8)`TZ=7yRpiUevNc=GEGT^QY;1hczRQ*t%8Ud1* zYQ$&r?n&c9QT=TsF~UuYfAvbiYzULgwx(o(+oCu1X&qH&bI~tUE(B&Z(WzhMIH8;B~NiE2yHu?u<6nu)1nPux%p^ z5K!+zR{1m9P$TtQ0bYLcQ%%KCP4YXl4K-517r^YLpn}8xqYX8zA6gRT$p;Wrjto0X z8){fHG%kQPhVVY!4K=J4+7n>$T#(K1Gv81n%izBQtP*9VL(lUFx|ht=&yq)UZMGW&P?Qwt|fmLn;u#LBk18O$hn%FtI#yO_XG^!4h}8x$M_Xy z*buy=1;PaKC}a=G0f^G3BYbXv#>kq{UEM>nk97`9d6LxzvUwIiC`i2<{oF%BrIJJU zkdQP*e-Z)l^u|f=7^)fbI(|L`oYx!8C_UPF6T#~v`b0c=#q+~q?9brigE4@>!hnux zn4W-fjL#*3@g~6t5TwF@qY%o;8k1rI3GiLc9ZHzNG11h9(>oI{!w>e5T!APJ0I3f> zA^MQv*g5#&W2o{?yzT&uV^pXj1+iCUxRw*(R3pjzObMbx& zU>u3b+|63M525$t8onIN+teeV4d7uGNsU3~wpGadJ_@GPNY7@G&~(AX@4 z*-l^?G-C^t$&_16hTj7(ZwW)jvOO8Yc1Qf0Y_OaYR5VNSParbeWS&6gS(0;tY=I<) zoR<)J3v~Sia2%Xd5oes_c)J+)zEW^pyL6r7K9w#$(as$Tkzxryr`07Iot{w6udfel z?#}M|(Mg!%=V%fv>qkEXD$4rNQ>chvtsi~0euQ%}|7XuBvwFno`%x3(e}kV+){nT6 zs>Ai8foQKHuOID1+sE}Ie%xEuk5~sItshY@t{<&IG^`(SHyYQEKH_UP#}OGTsYe0z zNph$~0eMcDVz7QR0rY{@8Dq`nG6%Kmwh0tmKN^U3BFg%aVjs4Cq|i~M#Z+DmJrd;)laWY#Vgqr!Rxpt`WYE{($1>3>IPp~6vMdzWokvjn zd>=x!JJ^-2fX}ICIRYY8*P>4#UGjW{>XWyC2h5)Q3%*TJ_S5@mjH>5oe?aJ;0sWsr z3{4pLT7N&5_hV#&)ne7TfnTL^=zrV*_JQ?6iReFqSsROo_Q1Hpui0oJ6YLa$lQ1=sH+( zF!s)L_)latL!g%%2Je%42#q6!moXq(LC5b zRA&(yMnk#cU3)MBb46N*i!ZzbVjg?54L{>1>&$A zqm+|M2r0|D4i_JK2&xMLBOPq7f|#!bMKKGNsE`G(_%HKddppGcGpItq&`J;6Onj(X zU(Pz*wHRvN1~AOeE5T>RNP*!~Hmo(f84NsDZS;5uA<=n1hd6oW1tY`?w- z4rc)j3&_4rt?l-J=e}IfX2jt)!g%okt*5M9P#s&CF|J0-!FFf9Jeh%YI9J#@oU?!{9yYiEXjBQMjB~%l}7tIobyZ%wr>FU%|Qt)ErtZH z!#P98ec`8Utq0qG4K^PHSs6tmxDMwG9n*y~3q9C=4pwI-0F1O7>@4eW&eJ{E&IP3F z0~|3aZ9UlTJne(+vSr-|es7RxxvV=Qw2{aoXXvmcJf)rp2hnTDfD1@GGj3ExgWVae z!?C(*%fPFa{7m&0vC5y(I-Jz+8Sr|+s-NU{X6tZLK_}*269I;TY1VZ(r)>}u!H%H^ z+gU%f%fPMN%F)&+3a4%G5`k@mgYB#t+SB0sd_;O9s#|(g2Mz8tgg;|3uKt_@H+rt2$l@T873&`j914d0QagOXJ!lw1T8;Q z7K@?!I^g0Jg1_gwP#APaatYQaE&zeLzX@SXo*$$6=v95z#j~R z31ob5(a$kJ;|i5_5Zezk!r@Q1M+TwI}>c_(cF{JJl;mve;LaQU(6;jExGMANZCG!hVGs3HsrMi?R^qIE(S=u(G!wyWSBD!KYR>T zYVrC_KrW*~6)A{A9T}b#6f}|Tn79vAj{~FtdO`%S^_xU)I&xz!U>#K6kN0-~xr{3l zONwHIt^m9RijVRBxs@X4A*8k*piw0ptj z89)l=uXf=5SwJp{soZ2XSvwK>?SW;Li$L)v0%C?>i!*DJG#bbxwk+9_t8A;-mfX8szzu9LU_i){YzteKkYpPJ zp_*a{q4(Zv2qZvgNg&h!p@oDJAk+YX{193QCA{z3bGoi&na97@TkHLIt+{i~>@s`G znLc}8g2yjGhL4Qx(oFUO=YZACaL9~OP;5)hZ92ojZGwDV)A_L=@w%2y*R?b{g*kBn zSX~FFW?Bi3S{aZb8IpFUkye&*xg|g)dp6^Iuzm>6&59aH!59YWuXBw1b&ip*Q{;-57!{O5|z&8~RpUy)J;qV!} zsS*yKd5(Bz{Z_oQUlZ?~bg&4A&mAV-c?-llzg4^ojuY>~bHuyo3GpueLcB``;!6sL zFI_6$Wo_bJwOzccZxZhs+S>|;ultvHxAa3D3x{u=Cf;oaiTBH+#QW7X;@$C(cz3=f z-d!OSUO4>g!Q$OLOT2rwhJ5Idc?IqrW$BOsRjp9B0 zxOk6zB;KP*EUSgXkJXCz_%iYSuvxq(E)nlZDgX?JpZY+&KSr>I6b?UKD&8|Q#Cx_u zyyuP1Y)%}8 zX@1z8v;bZjF1A}Hbt0FnAy(Rox5V4~G4b}1Qcl~qA11uvwEf13w`!?)2d)?Ipp(RF zxIw&w9~Q5XTD!t&tG^YmsR&cWa9Z zK0YJ{iC}jAFxbnW4vuj6Yn=^YD;rG7hH&O6E^LG|E7roxXlOvE*wWeB)X?48*xF5_ zbKNa#TRNx0pN_lUJB0Vp9nmW`EpPOS;28A)7VeV=Y<6U(G=GvA&<~CG9#TPj#E#5; zWcm$-WO^-&Wa@kM@Ek=NnL*nrb}h6w{L;SBNe}Gxnh_gz(}e!Yg@Vr z>JZ4!kiZ20kOya=6fAg`5k2B=gev|erf(Fo+1M@hDHH8pMo zLYpA4+wmhd)R zp1krflBBZA<7i0sFT2g#Q3samhXW>3ta}x8Kj8dwhklu zSBZl|4p&kO+zu7@(N_h|u}BYi2bzi3ggeJ5J-ByupfX$4FR56oM3AgTcv>P{EL3I9k(QV^c7T$Uz`o1KFqdzw>HX9W3HEobr zaa8ECv0E{uwYz;2kggp-B#s$VA|1A-v(1QpAj#v1(v3)GEpx3KHg}@qb@RdDrv8ip zX=`bd+BjRoI0n^`NKKIPv#?k+bEJ7!9HOR(1-xj`)rij1XdJ?(M#G(JBRW%KafGX} zq%W|mjNO8-lPbgUugJy5+x|*73W#H37le;%9optsB-=So)~O+Do$Vc~J5em}2%H0G zH?YoW#n+X^!lG%6=t5ORw3#W;tZ}k66_~gRC)zHz|($v!20_-U|d=$cwI}NLmzz(RsGh$OU zpdtsFz`O+0bogk5`w6CNz!-9Z=qQFR$Uj4ejwQMqI#Y*Mq8j7#qD5#7bz}3HCdFBu z)D#(*K-HQCOHs!b8~~__nVbj~F2VR+#p~`wP`_W}IX;<>xan~OU#3_u7xHDsy&95- zyot}$kfLh5@UwItj9k9vvaS_w)XZ}+{j-?!(P3`A^v;}Zp)luzk<0%ic2Bl6Zop*D z$UaKi1kOn9k&3`N)-@X0M+=P8R1a+RhQ^KSjqINZjB{5H43-}|HyGK+3XBt4FN{+d zbTtCwELUI&PLQ=gQg@8(;{<{8Uk~xx&c=>)M)vUnbwDNgovt!1YwnVx+) zb6FK((^W$XFVPiiJwmLC#qqXNv8!o*=``Ik{ zVC3?DH%lXzw(*vn|0vcRp`yjH@g~nf8*d2Qv5hwXcVOeqg?J7jz{Z;!Zk_UljW;)p zC#z}W4I$pMQnX{{=zxcfH-L9+<4w4wvhhYL`=W$pHr^1X6B)4aCb+I~vcN$sefHS4@djKgz-!~p6Uw~lZpU}jOdD^)8Z#@ujW>kq zV<`a}ZwR*{_uicl9zh##2%NJs8*dJdZJ8}_t{OJp92Dx=7E^I4ZM->XHm6iJ-hkAr zjW@u1*mz6x+jvV}$;JhNRyHhz4ZOpQ(hz)@7GG+F@gShZjT;-Wu4kACnA6_bGHVm8HX0GUEnU9cNGJZH z<+GqGm=8uKyxA>Fmm67lSUGp`9?OkvJnc?X^HB!PT0U#pLL&!{!e}||7&f&mKv>Ke zNQsl5{0pCT@j-YjN`8@#&5c1327kpgaoI|mvEoP$SH2U<{PDqC7D#be3@nGyG>pw6B)dEzhEPIyJB}axEy~o zlMkv7Ay|nB_K+2sF+_{$_1cS#waCuoX5l6JxRwC2ujuk^%bbTcPT3rvp+9zOv#F~y0)$HFgb!ZN1H0_ z0vAc%bnFe*!&&iHD3)3tPj&Z4a9GMNz&eYY+gT&K zWL3hz#%em8Q-fN&BqL~)Q`a~nuP&vC)pb2k!IXn>wKo_Z;Ag7S;N==Pe`Sk0v9&9R zYqPxtEPv%^I}h{Hxtm}E@Gx80!1*f=+aRvZmixz9aGosCAO2ij(Ih`?_JPA#7Wk-YZZd4VjgSCAIDyDme%VOAasAc9t zs^S|U`iO+f*+M4v!#@sGy+mpr4(~y|vBgV0s08=5;r!I>xbo(tqP9)2LF{J6cMxb> z9C}17q&#=k^ON_`9zxoSKzAi+b=y8#v1iI#99HTMgg)SKO+1R80LP?`va8OLu=)$2 zZ#x)PS2R|0SQb`a0HhFyH(8bHBl6!fRkEBjWt%`0R#gE~Ea=WbP%b`G%M z|EtUfJD|#pNk9h!^dm7wTrtLg%89?>~)ep-;5oz59Z z^8J^TEc{vx1-5)sqm-Tbvs>0;?=jzhr_>^<9_PaX@%t!ub?6Xi;f5Rj6eGrv#m1`N z*lgtc&HdP%oWcf<&F3EQc)-iY>f)^OHS*cq^ehsmU)9wI+~h+|FGGEW3raP6>k6#J zEQDl%w#F*q36}IWUVZfhXSQKc3jej>-R1C4aCGh_efCy8G06|KNLC!U02&0@s5ONt*1 zzGlJh4&pt%42~p&1t}R+SqyY}3i)=Bp5Z0u+$7(B+|{lR6RooL^vsarc~+=r!Qw?P z8_sF+_ed$lB#Vu=o3i;74aEco&Z!VuVyCDUr{sts;O5q*77Gg{B{DUGPlv-xx7QVl zQ?dM|DcMwOHoo$?5e#l~m>A>aQtw=COgul(YFibj%54GdWKB@mZNsv9{ywQ9o*=;b z4Y(?Ryexy$$xm=A36+cIdzP-zgGeKPWhxfcHC`lGHLdVv<{%ig>L?qyDy*(>0QRIRW*&hm7c=h#BqqH|%wz*!WrY)3CEd>m_A?m;gQtv= z*%#+p1(W!~$yXgajDpGRFgW!Z$ey5}oYirZ;yfDPeZg>~($iVjS?x=$h9h73Evxy% zabE~-_&l&?tEMM$1-zD%g4&tI@vBwaKzOQ`SY~krymga;);Ep&9mpPaNp-C%;KiC0 zl+~%~l^TKkfwLsn-+h7q*0P=GYRtV@znu@?MAT{ysCOp^mWIM0haY}{zZZ2l_`iIi zKOVHFcPh_ME0mD2B5}5iqjC%X}3rAv&1{X@<(L3?R{ezf9xM8OP<{cZ#3eu5>l6VmEq>}SfDG3F8>13-==R6jeIY39<_jB5NrcUToj>ft2SeDI~33}=<%k3UP4 z)p$J~ZZ=6FibRBr61b{$Bbve4PAF!a4ZNG-Bnq8o-I|7Ck5affd#3 zfTw7b2>{Q5o5!M;0u?;2#Dz+x0%tFccOY=q_rROgjhtQqjI|o;cwqb#ZZ_)y8O>UO zhjjoRp%JbD@aIHeMUt4fSQe@~65!J{%3}ck87?3Z7s5g_&H&EU8t-G^eAg3?Yh0oE z*8u0&8n542EPTNQ_;L9vwDfM^JgMqmcoTP zXWxidl;c8v-BUpX&5c|c3T;{eco*>ycoDe#Atk3~V6>Cd79S@$<6+EVT-|_fBSyFR zCRlt5H=B_mQj5ei>bWcuI+bYWXw+yGCgX6Dc=_;vzq_)kP*NorM0q=#a^%EKzFqyA6 zj=}G+B}eQsZR26}#`oWUfArE%cY(C6ej*O)%bAmUqjYICHk|t^ag#{QSdU)3Wf$nW zJSjbD*|uG#lyn|#OdpN%G@i)i2x9({y-VlpREeS9xx-q{@(vvIS<~92w^&_0-apQz z6;`jG4qDNCDQdN4FfMG}fC#;CFRCI;LE?fsj?uIe732ndmn2NEc}q77#O2v$Ydn^u=+yY&CER`5c(1IH+jv-oXOY6EbM3|wV;I` zw_X#Ow2d8pQsq;IvZBoW`1+LfTADe6uTNXABQk%^*JrHPs?10E`kYQ6w(YVgZuoh% zA9$r74;WR|ZHDNXBMJCeJz)uqgZCRZwrtQ#AmJ}9SNz7Zcbk>S-HHHF+eu#d}c*CS$U29~}pJ*f!dy8LcB-0@p%rlvnQ#R``U9Nd9 z-f|^OA}TdYd9F3zK2YG+c3iivKTMKk;JP)}1Ps$}DY_3zaBN**Ly#s_s3?*-R9%C& zo8kH~Y8b}W;ltGZ2z%2BFG>Tn=vov{(Y27Hh}L(DnD0f*ZDTi&E-=%t6QU1-?N}Gs zEDSOi!)f6}2p!jwO>OoLq+$B?M)V=VRJy=!K{R)}Q8B4HD&NOw`e)UBiE9GR+j)7^ zFInDzTl=D*#jR}hu%}sxYRGTVO0AR8S`MqaIt`*nQ;}i%r|NwWYg`q?>V;)J4zjBU zAk1^FK>X~aH;%=JY8UuQ8}q!+R6&nwA8ua>H;(U%j$i|gFfg2`#ofM+Lc2p zdw)f{$fkdpy${hgS%zzpoH_Jw&+ z0T@3nbp<$I?{Xi|ivln+u*uqoIBO>CP@fozoh_&uoQdH&oRz$w0DOj1$Pk^N@$W0L9cCR4o^yW(H*5=1o0LG?8RbnBa7S1Vb_U|TlR6Hx8eMob4ZC9Xa zLNeKeDBexEz>Q&`;*i1ou@!)^l~7-~(V*XFpPOq&@!>@{1TanZ=B8Q90R1?Ek3@^| z;&hsEa}L%o(`TQC2|{uDY4679oqoBzopFzNXTB`nS>YsMXAcqYoJHcD%d^VG>F1p$ z-ubtRcfn)gUHGzi7nvB37pGrbDc&Xfh<7PZ@fN3FcD;C4JtW@M?}&GeiSd7N`gIlJ z-Lj{6w{kjBoPOH{;{Eb&@qYEXcz1j!-kk%X5U4o)uBqbvdX;#0A0gg7w~Kf0lj7a? ziFo%HK>tl~`fnzS_uDq{e)m)H9=u(=hh7%%;jhGdWFQumiqjvRCEjCe#C!Z0@&0g~ zcu!CXO>z2@pNaR>ZdmazPXFTs@t$5L-ZSmuJ$t%%&;3HY=bwf*C_PJkE`GMcz!L9K zwGe)ZeQ`QoZBH9AF6OkMIJ53lz>6~{F2V9mapt5BcwzVAbjBrY-HNkT43E>>J1X8j zQp#ET&cM=3an^pT#9OsRyaUe`@1S3Z*Fbd=#aRb`Bwk|%)!gRRq??lT z;^?TiF~u&9R)~(q9nF=k%hEYJg!j0Xj9bn-#>TvWm!)@%;JYjx3SO2DrMxU1+TlHD z%-gKFA0swG-h0rY9+#f2YtErYbu#j;G{rS%jIWKbKBkV#wK>xVqSzsmeZ83=>w0s} zIfM5!;|;3P-v03DEEfLw2m9jHU{ z86wx4V+ZMwBEjMH=2(Lc8ORWQy*YNU4k|U$-0RJ;;WD!x&KS#FPL_|7V#BX#d;yAa;G^qTH~_g(U}z> zAgaF89Gj*Sxd&1C2WET1i`X3PJI%4Nn&o99hqGfE)+PsZx6f-cWU&V=2(@^Uv}(8 zYzK78qb@Ac^7-&y7fD zDD#RpnPcO1qrvf?{&)egZZgMaYZwQGZl*Z&#A1QWk(OK(7p92CzR4V$r_ng3OpV5y z%(0moi$hM0CCxsQ!3?r)GRM|QMd5%{k_(ILSb z%!zRtk{HtS26JM(hUE(^5d8!VD-xJ1Lah!SIK+R0IZ>y>ONaPxFefJJ@NyB^dsjIz zi32*^U>@QNovcGg4)Nb$PE66^qY&=9keryR0To01H<%OCbogk5`w6Jh2=R^~C+Dtm zVulVKOLRAMrVgz{^>uGBC+0Iviqk8pAu{%WF+9%==EQ<+fGX2uH5l)-CWX#Ge z=6rORTQ9vcXB#NY`Cvr&PesJm4d$Gq7@OkM&>ojKH<)vd78vJ?9+5#fIxm$z_L?yYicWXYKyUX^#N20f?C1QPhYOeeL>3YpgO~)?60`ZvMX2Rq<%aD4uA1-;ZEr;U6m6yg*Z@A3}+>y zzv2$-u3wdt1LcKxcHF#8sa!lj;6a%=d9T$K}U zyMxo7UT)l3-leN@GT1#6EG}@_aJ~Ki)m1rJ#Q!@O{?pG?A4mVeSLKB5w81!V1n1QD z)~?|7HzO~w&0^i3s>X$^Aa1?2O1W5=|!t8$X2 ziDfvg3Fj2OW7~Jy&Th;J{b8WpMtYueP(`1ixkPJeGZ_pffom%IOp9}hS(y9=4E_*c z(qHlD$WAZL6*AiIKx-mqErel_?)2i^f7!NA!rx5N>XyDj@q~t4oLi32210bw*e@8P zeHZ680eYN+(eGLyDBM&K4!AgX4nS8pV4XK(oxDNT1TJFetZ@!dXAVWPrv4mYfNAsl z2#W9+jw#zbx#oWu@FDutT+;+mL?8BGK;_xYpFxV3Q%1p6y%f<8%82k3MkUW(sA@F> zu)TfoYHr!8Y6cn+9?7WW=?GP;4nfE!MP1Izly=3RS zl6#%%1XTn?UQLmN^8pFxru4YQOn8!~vfkj4zHW100LMRz=hxsSbYn$D<#CfN4BgDw zEQ}*oV!o-dJAl0vu8uj&Q?`{n*`kIT+Y$H|I5($tP7Y;YwdB;$Ymu62$&O)eb_`>7 zRC6Cv4P$z%xnZb=Nou43CNjQv5dEy;70s_=hWR<(|2`ZtFiyx&ceeOu-DTou)ZHk4 zw2n6(p*_9scjD*QJt6+UNtKZOdoWCbp&GG_tQJag%sN&GCTr6Ecp$upI3v4>(=D)! zI@SciVRayUG;u~LLx^ZeZl%PuQkG#%of$#-%pv+Mnq(W$Z-txYd}I)Ix|H)AIY$Py z<5KhIu&6~#I&*{655oN%>39s}FELgtE9#gxJV{&2EO{7M&+5270g@UCL@Q6MJTi@` zJVT=PBie@=bpX&t!uiB9rt%DkYWxT|={S~%teFEG-bWEU`Ba$KGkA_ewPpZk1l-FI zas!YK?}0d#Co|MWB2{UI=K$xbo_IWDp$;R?e2vE&DsKhxrpPA_ggQ8NcpX1vDf_8B zMxl;bfZ)9~{kNda8Wj}0mJIdFG; zhuoOT0|e^)BN4n!)1Ls^vxD@;R2~CRmk@^sK#|iw2hM#x@MhX4h;zH10#IQcfxCON z>=VTKoArXCntdKt3;KEB0tVaVYF}ZI~bk7CJJL|>N3oER{)UG z_PII#CNwGkXZze%>E-C}VwPYH*H%7dd~^HJ2ny=&LXcN~7hZel??RX!p9S=H32q+cfQJ@j`WR1g0H`nwQrO)R|nyM#wje-{FGs=v#@v9)g5S*TNB zK!2Bm(!2gH2hHY`N`DuSdez?rcu)OZ=546->38BUm6>zbu6arW<{9oO?NH8aj9N2a zVb3`MPg%|vZ5>LZ%C69g$lgn4&0bXBuwrS$thuw6t!S8Azt6%s_54_~&nIp6sr)yh z|A9mqp*=_A?cWg`|0YjfV2pL?D+Vo{4MwObETLvNt|Vf0EZ$FpOOQq-zr(+gt6?kE zWdPjd0!eG+SyvWUp`G&_TdN+wtPw`JoivQ!vaXQ|CD=mHViD7-{s(_wkY9#~oUd-7 zzpi&qlN|ZMjv}ZD5OOMNxcJwi}t?|j@zB#|Eg zkEa5B3aEC=n-@6dNwL)jM3j6^T!Hw|(x#b&6q;f1O6OymJlb}&3(bKx0GA({jj*u* z@BQv$%teY`WTu({FVV{09z3H{z$7D7%UZApMjCD{fYq6H)_?^Z%5rHkuB76qSTRcOL}4A|E#Fzz6?&MQZtdy%4uJq z)tC9Kb=^zNb-yV>3eAKrJQkYk?0_hnMi_bHM=}&2P7WW~RK}IGsOmgmUd8AM zRmlqc!)Aeo{Sx8#I4~?b`Hj4_0i^zdzqcJ6OR#}`HS%URnZl|VaVKQV&xA0j(5yyS zIv>;Iv6$k7cSm3Y+@QOV7(S>$&*F*qs9~p#2}!>K$w)Jq*y0-eg@1y;li`Gf4?tu? zQo^){^23+nnU|McmPRo@JarcWAG85{03ko=VpbgW0s{WwgO>7wrdr5ABb_y(n9aBP z4)1wb77_k@P#UqV2+u}(24qZU%RHEU5N9#h>6y#~fakysVN!*TbF{LlWRD+4uQb25 z3K-3B<;)o(47Cx;fKZG{&QAcn%!4tE2uOite$62?N>~?Rc;KFeRjn`(l za+2Cn%(dZrx={>gJAMPQ@M*Y4rXK`X!%03$F_N4melsxcg;V{?S=P)wVz5#2yQdrUIWJ%=K1sCoTNzB0aybU z+S3Up$8tB=SHRE&r zV=z0bcYySfM!Xf__rv)z%qU}y5#w8p^#U+ngLATOFuNB5vr8ntF8~Z9xqddo*d!!+jOvNT)_)*SD&Q6aZ4OZO?15JHD1;gfl<9CtV=FKYhb!mT$uzz$KGMj> zdM1$e(uijP>DC~-5%K{qVL0tpMXSn)%0g zWz{by8KrnqeC9NmtpierMl1r-Fu1@c{xO6m#&H^JIxv=!9a|EzOP8+<>^QdD2Bh;e z;#wdbA7rN!)yZ&4lner&tHzr#5NZR~n1}kJ4bgiPB)=i_&0u zFf!q3rNMZxlm_ETD-C9lr8F3iJ(UKhiNv2g2;tM?5WdL#;vEQ|aUH$~^DCwaeRHOq z95BCTvM_qhl&x{|TO#77Y}QKRhLuK+W^LqaraDh(6It7tC2{LD9~Wo;0kY`Fq_u7? z=P+V3sg7cp$Mjdsy2Wf>s0N`(+*`~5c&{B9=Iv?*5mMb^jz0o%W||MG{{SOPlJ$@! zs*%tMd06R`m|4G}=#QwIMf8j;t~;8KISKm!->`913YZuU3Fp(w(J7qU$h&%e7Qpsk}qLQ{^q= ze?ARMsRGZSpVw|N_wfwUsyY2r`{BReyA^os#}Yyw8%45*78%ACem7g!UxN`jkO5Gh zh%iT^V&ov9Lf|?_qhjRXbdA`5C1i;-=2>K}J~1$B+D(TJu)a}Oqhk6`ncB1=%aNZ0 zac`x;NSj0!Jj7A#?3Rr((s=jQGtITtyN%b&GB(5J77U*!F|q|{$CkqNdv+uf>hcdFu$YPRO8)P6^ zVA5*5$0962ds+dfnUt_Rj6v#RisQc&y906B+H7%J!KK6DWHU*vWHKNQm{{W63I_K& zOl)z!L1CuxI7d%)fB{Z=%2M563}u;p3r-wtT8VoP`DB!;CMGVWZp!KaH$8W;xyiL& z$?Fo~WDIunc1BVbokwq{)N==4rgD+gB^A)y$<{*+@#^i2q|VZS-p(N&y`2&N1!Jou z{dzkGyLvk#Df7mwx3h$qJJ{CS8S!5zw%EIRJEbU!9bKDRZ)c>BdOQEjN=n05Pr~&Z z!-(+F-UKuFM2zL{8f;0I_{8jco5kYy`9pumtl}O z3eI7}VMSz@^mYoL_25D4au%Or)$G;CX+-*{w^Mli8hjpdc$IL#gZ$-aDAFgrol-vE zfz;O{h5UBR+?bO+0>Ftc{L~rLIh-tGETS*w>4OA|#N=_rvH@%%A z{5@dxh|6vS*_GRRJ0sBB`3it>()~I|>?HTlJYbF>{>==Ol%#LHaIg@)S--fXi`SP8`Ri z?@W`YbeDI5?Dr07$z7-o$P$iR(gV6R>?AqstU~`KXhR^T6vz1K)Z|$J5LY5} zX6t^S+|}fnJ~OO%JGS0Iu|A@anguj@t^}yb0qY1xsY@BbPBeKw2&%9;J3xz1@;U@X z|BbI8+q|8RGf)wRnfJSo3`lajB*SRNCB}-(+06y?PP-o$_bM_^-z;xuoFU$sw~2Sw zE8?B~AMws9!$y2X=DD-QJFi*1^G^`(g3H9akbCtNnHN#JX+`G6X}BR&k$K4&@h&}B zyvvRf@2ZQ$yZS!yuKBBY*M)FdqayQ`5#rstM7-PD#rx&y;{EDQ@$Ps*ygNS=@2)(o zOjKn4x<{Ow%ve%B=4gC~pk&~4&9{ET>y zd?wzbML2L#k@?s(@g83(-XDG<-V;}g_v91eJ@ture~jW{Kt<-$!^L}Mo_No$5%0MZ z#e4o*c%w73)RW?8tFOh6s#2Vn7-K6L$aRzqWL>PLx*~hhd>*-W zl?-HEayqJeMRdh~i5%VgZSnSzQjYFhSjxctYQ$T$M7#qJ5$~WA#cQ};yn`PSukml< zt^P*5rhbD--aJmcmZjpYSuft&lf_$ilX$IkULsfdmG3D$rrVii}w8?Zwi1Gu4ui$*(!r_w>N zV{A(Y!HyArkPd=?p1B`MCxNY%BK`s^Ow7_y5N}ko@CvgIJq)pP&BxVHkeL1%@KS3i zNSs4hwXLBbIhS{6Getu|avq<#C?y&SlJi*)xhSPI6eJgDa7L!qP>@`x;ZeL(LqT$P z{hk21rJ*3XhYrdI3$39bxk!f;Wx5&)l8ZItfoS?9A)=umxg-v0s;QwMxsokiCX@nD zLqT$1%|ZgGp&+@R4ls!!8VZv8>yQkFh=zjXDjgD)5NIe!9-spf3=j+Sv8i1g5*fW&sVW+*0cI?wuXXa zhd^#3gn!5bUT3!8T}JYV7Z9rWS0aCm&BkO=0#j=!NFFMj*wmL0pph&v7#&GURfLAU zMEmC9$r)CffvoJ&PYfYbZ$m zL?mU8qlKK{YA8q^C9oP>LqT$sx|Lb?XCiaBR>Id3z9OqwF9cOI6eLG$T=rTzvjPM} z)fx(t({v(-5S4#mHUeJM=4fjuNRHJ!_jh>8lUE2vGS1RakUUz%XBSz*C!IbEN(}|c zV+4VnryzjRRtb=7mt13qs!PbuR$D_svQuc;)%r@<)liT;L|CvFb~C=@NeF^?91R7@ ziv)|EbP6m-9O;J?`*2qrhnG_q)(bCo@fypH%&rO-3Y>kv2VR3ab6P_|vP$PKM+GHp z2XxA$e8&MxG!!IX)*k_J z?9qceFXSg*mm0`HNswG?C`djd2tRQcNbQi1jA5u+$HR->E#Ea{riOy#8$!dejWE^X ziiU#Zn<5X#JFYxZ?QJBSr%%2mY2s+e70QRil)WvSI93XBVhPw93X(Ot3}{nMmw`M< zDy$EUiIM!44Eejr&Ow(Ow+2%~LGn88DX8NdFrSbKltp+@LqYO}>k+E>r+EmHqoKgU zTW=)amn3j3=O)2sgQC5L6K%yYp3BB=u#iHrwVu3|dl-r%z?4X$p&`IhVH{Dqnc~n}8VZtgq$O7zaHfdG)=-d~r_nedO^rqk z1<9Eji{n*|CCxsQ!3?rA6eQP4Md4^xiN);ilo zLqYN#fpa+QrdGp6LqYOgfpeT3q^E|0fLC8cEk7zlH*-=%S$@IbU6hRLB_A#n+X^!lH(PEV>qnO^lK={tJFgrj9Gjd3i7ISxS8pw2gs||K^d7r4F!2M8WPP6 zYADDXry+^Vo*D}B#%oxsTxp`>DN$@H%*6+M!26~x(19PCr1}S z-V7Z&mgsKiOdVQ@>g#GK$eYhJDbCHLhRE2%nBdh=khfqJpeoyBHK@A?^C6XAM+5tk;eUxd!W50ky94I!2&KuFA`> z7y;MsR?u>PP0lV9a`Ck%sci#FR*nw>Rf|hGNby)hVc=k`6=zCQzEV4`0f(EtTzDFy za92$E3Y!nX;!`gho}wrWECE}>^kT}Fhb~lIxnWF)v$AB6;+c!Wz$&oK%eKCo!j!Kt z+XhCbdYSP&N8zsPClF@OfzeA|W<35;_ybJ&3O8Oj9R}y5lcz@t18dZF0oGajrTT!( zUbs+;&3_r{t^tcSmrZdB{RD-(V#-$*vF`)J-}{;N&`(epIBjis*&JfY0dGM4re8KgyIpeLYngJkI-R+=rpk>zy_;r%C``ve5V4s(7|x_fP@1y z608DftpnDXqW6Fb1Dne_Qyg6cPk<<_&I^!Yf_x)_qC8BOZQjAh-=p6gX8z875nwcc@m4NAL_AlMlFs#trFN+$0X|u2vwZ!4IFsjm}V; zqE)36e4yE4#Ho#eMwA9fj?Cn`UWJ4(N==_;L9sAobw_6__IVA1EeKzb4dzOBqGy- zt6DdrS=?L=S2X_y(Z7QC1I9pD3ISo~t`pzf z;|f%wIsg_O#(?gDi{~OW_ki*N9-7WC0%!?~aAg7!EPVjj%T5L67Q8NfgKy{J?K}bz zVBl-q^A-fm`x=>kD&js9E;MQhtJ9w~(k$*JhsGKk!1-7>H#a<$a}H&t?0+PEw|OC6 zuY#LPDi-^ka&UYWz*jWFy$FAZ2&|4o*o!A4LQ`G^c=q5G&F>@f*8$&ctYIwY=O8r| zh)atg^9m`4X*VMc%dk2zkIdf$<$Gj4&Ebhl1w1qns-FvvOW+{cI530ZytaM?Rfye%^KxMfUmb1N$mjMK167OTS=`Tq2)ZikPfXNW#-wa zX?ybwL}*_!=+;ag1cOASl|+ZhIsm&ICi{~~9+;$+Mbm1^gsCB^TjmPvL@c4iuT@i0Va%>_WZ5AK&hTmhujJrL)xNw^;<&%+@b z4+qBY;O4STK*Hy472jOUq9ESyiPwG|QS2g?Vq*B?0<*%IFFXwq>U;sg{~)N_d>M2L zs{%#8uQWED49C&`d6bAGZwQGkfGv;+LhvgDw^xG5F9^ehwA~d%w_*F)+7$}A8bGG7 z2Ev`m{cY_E{Wj~xP_?QJD;+$d=WGr4-9Fm^hwn12tzF^3f9(RPU%SGARp?#&DzQhq z!m7=?K)s z;u`;70$jLJuI111+8_JW3vuUc#%JDe-8UJZd&A~!Xk2T2AtBzo5Y#7twU_oz#BY2l zVBDf#xKy*4)84kabz@^UY~LCGwzzdcg90RO+1S0frLDbltMQelvK1@LZe45q#~<{6 zx)wI!Q1c0*55X!_YuaU;m`X{4zaASWd2nnE2?3P<59nIJhoLKt9{Gf?@B2uo64|%%H4!3D+V6{+IgAGO`>GK_@kF5* zzp5@Hynl$&W&R5si^3;|21X!A>qeYlm@Qw$tmYBIP7#4$d&2)U?|zehS$ zawDH}ZTT&=A#Q-vt^>&iCV8|RcbdHSmhuDln97kDr5548paDC{s(>YqF)*rNXmxx0 z2HeWtiq!ODl1d|`d&N*{xJf4k>NRs1slPx<-w5xf%^XI4u$jYFiqu~*@sXkGL%e-T z@fbB#D@gb-6~=&f1e^{ZurHtkDEolpAn5>>(EwJ@0j!k9K#!Tj$d72|@MH*Zj$a5J zm)Fc;mzJ;H)fnGFr=FwbCgKj|J`r z^+BvYnK?{H6)A$V1Y+wXC2wU+z?PU1@!#m`gA~=YaJf9dr@q-x$0F9#+?ZL@Qs;uJ zN-=N?sSmOD!OUT17E(_qUx%*j#i|&R1#TtvA-WxyIc(y0cPQdG%!vm(Boam7DE1GJ zgO|9~pTYlC#?j3;tnyjiQzWp&-G^vgONUKtOe(XnOAqI8#+rmRQtUD!fu-&~un$^1 z$g+ODNJrNu+GChBc)|A!kxiQ*tjE~o8U3^fyi`QtQ6*brjxsCLKq zX5}UZq3nJO&4Un@&YnNbWXGOnwlhFKQr$v2(fYjugk@<1-o`nXjWk}_>XkWjJ3v+6 zhCBLLnxlC(OL7*f6$9tx46s&NT0`oApj)L9SWN#Wed`tP zPyFQlm$g%{s|_|jwtV$iuv`RZWnr-reu1t3J~9*m&jT}_FSPP+kaAl#BP}q9Nx`?u zMTdy|KGgf*_OX{^pizW!2Yf4@4j~2G2>}}L=9i)7B2V{#bEK6<)kq6$&G+OuK@h=) zUxhjqEY5P-lwx4QD!sg=+ei!SzV~E>9^XR7S0Z)rFAgi+9;4?u8r@By$xbKD`~u)~ z9l-u$@ITN2Wuy-(!1GTE@%4X#cl0=zK61VsimFjqhOCAwAejAugeTN&ge`Z%2OJOR zfbIB$7OJD1xpZK*9V$=;NYqjIJJq4JX3PVrTw^05_cdNM<95JoJh64N+%sG1_oAu! zIy-uY1$v6F5pOo+)amiaT`nn09}!l?0FQK0PG_oyI;uaWVK=;QB0AgE7lA`qbp%+V zG?*KOQYM9_LJ<_2=K?8R2lIb0L(PobD-e7!E-ege*oq#c=3av`OY|+Gu3-^QA6DE>@58QPeNnWAn1jZOitkfu>L0vD^H( z;zTw0ZN{z0Lu&gJISzMZ7%&g@O=ews2(g&2wB&-d36d~bBauT!^%YQU#EI98P%-q)@AKe8=C>V?%|WOF0_uu2r?ZPYA-Lgls`*3{A=tKSN# zB5I*|4FKtUOq0ja&#?r5gTUwE#!wp}AJmw;(UQN&CnKF@o6fu&%`}DofxqwJ1ep&$ zWJWypRs8XX@e{Yxsp4uVTqQ}lFIi6<`u3Y>_-2cIZpIJO0U{8^%0kJrcHDoF}aBqEMV2v@akM5Eah8GixRhj0=B zAAAw80jnankFYCOJ7h)kWb}oZxb~0>S4mn)EHNemx;NY@z^DLpycc8iZj5U-f)>NM zaT!%a+ZSYAa8HAD4c^<~Dv3&(ImEaHOi!b#78W)Z|XAMOcoEdjiej380v2jZKRpNo&8mW6ENw84GfIKu`Gnisoe(Cx&J zvukz%B&Lg_=G$t~%xjT4^CtY+ioCqayCT+BfVxoqktohqKuRrLX=eKKD1#z(a%dU8 z*$f%3`4`*O_Rw$1sLaUlF1D*vtl*3cJ&4j&_F}s_)dDAsOva#o8u}k_fpq?6lwCa3 zWS$#(5zw%C41~xEnJ41diZ_3{@20g zlg1V`+lI-)5p1IiO>xNh?GU`H`AhaLol{X!I(}^J*c!teJGQc`eN$&sOQlmGn>sow zf6PyxdBNYw5b!2`{@AM#z0u)$mm+uv8|K#o`~Nn~?LGAW+c5wC!G?L7`EykI^k3p{ zCwt>;58S=+)SKXDmfb}e;RPXggBq$CyVkWd+l{OdK0REH;aYYj{-U3Qv5`}S4T{q6 ztKs7j6zl5l+|<;)PfKSP4}FCH7UoBbmO7pQR`|W}qaeX{d3*?8Kek>I@ek2#g}(|@ zQf`+g$TR$Pn6U&mo;?aH&8*LWkjot(mHR!r+unC}?+3P0@8G{cG)fjwIJUmd&hBl1 zWtc<0fk#bd{dE7M+c#q^LfGjPA(5~K)&P4c$4ihI+6|hfBoN!uiqvWeraY_Q3bs=& zE2jRcX+wKgi=m2rGcs%ObbRxAZ^Ua(kIP?e&f)yPI@N{aer^Dsrk?7J2-f!T06ozaUB6=Ujd?CdsF zP~)a5 z>yj}mmxE&mc8Ap7{*jGU9Nc@+7lMy2S6E9V2-tf5NUNA)i7{{>g@Q&yYk zS@_3Fn1(65WWZ?{41;)9O+x+D{UB2`E>Pp(Sk2n7zM4DWFpZ6yu-ZU2v^#(nSaf(r z3<@>eJ3~k_iCSjT!dW^WvG<0@5#!OUsoU{H)#oFNtp_!78lIkn!>S2OI-S`)f@um< z2l{7lg3JdWG9wmld zO$kU5I`Z%9@;QNg^aAH-c5|12FmnXTAH?qflz|HBC+={GFCg&@EEr-1k9}MegahI5 zQI>*kY>?I%&;E=Uxj$_zCL5UR%Oz>O6WPAKxo^~qPU^w)hI}tel?y;L+`2G@vUZ9r@2BOtBWm}eTR)h zUs~drnSUb7Z`FS-+53@WW=Kny$lT7?M2I{&d&)Mm7)>ZQ^e&=g&gvn&tT~4E=boKm zYtswAa3rY$>y1%odB;eLQhR@nb(qOev8TaW#dTqM&-fPRWdbn~JmZ^i&iDc(XME}N zj4yqj@uiP5z6s}y?;%L6O8%Yys882ZKI`Odu6ZfmawSZnD>X}ZgFN~6Ske6$FgvSX zBpG6a3-tM0)4vhfhjS?7X<`85&nl!0_6{hRIaG1VeGgneMy(7he3*IyVIMo;MJ0e1 zu`(9@9C8#51f+;1R>X3`=n4lXtRvH={~Sgi1mlSoO{_Oety{vFkI^8(DmX2i2%+Qh zp1(DJl=HVdM*gT96_cu?@_otX|K|DIX%SppgtJoXWVDtea01USmS2x>AM(6U=Wm~e zFn@Ce;s+}wZ)Hs2+^k{#c;|0v{%i%Dl@;$gr4h^pX$-`ax#>U1(uX|g!}(iUB74=9 zLn?cJH3A=l$%7>BT&)k$?cn_FD1LVn(Y4Qjv%W$riXZL#Z589V1pKdN98>s)^%twf zHUmdj`j8a&{Ou?-1nNt0{m#qTd;Zo89AW7L`{4OoevE1r1|6&6Eb;p*b|Yrs2ufd= z2hZQ~J5%?7^8+q-Sy7SWZMqAW-6-q)t@&f0zhz^pCS$D5O;D$FdOv?_2Gm6KA>lhe ze=CAK1Rd6+v{PEAMn4j!J485PfWrLwOuD7DW5P;opt~nH85sn5-lO4Nh^89*4KhEMK(emQ> zTNW8`eiEaCz$rXf37SD13=YHj!6R@0&eXutaDJC_OcTL#K1bqt{x&~wYz(luGDyYw z+x#^gT_L2N+xelgMd3ol5lm!|qCtZ9;rdY~4i_Z6MDgr8^=#N{frVpg!v0u$5m za8@Q*Rl_+`YXt68^&IM?;Fb5GP75$-X#y>(B1!SlC&0Jmoyjd(Kz}j?0(Ss zTYj#JmIxm5inkxd`$6Y#MZPEwgXh6H@(I5mcK%ibJP^#9$_SFio>@24AfWP^}Wk)fRYx}5Gzo*Nb<}sp4JtOYv@bNxWPC zE8cA-IPx_pml)e{g!xlhj4IgP{utI#k+Se@$TCq-u;(| z_nQaB`|aoA{VosMX9s0GI8nTZ4i@j>qs4pVYVjU@T)fBrDc!{;-5?DP5L)HkLiibOU^;{AC$e~R+ek_-e-%qkCbxuzSKiGD0@Gu zoE((B>c8S0*nbG$4yqNeVWoHncZt_{x_GN^7O&|s@tWTjujPC3)(j{k`Pzx%t=nI` z*3IG_a+Y}Oe-6)xItoOL=)C_*9sF7+J!~c2l%x;J8FdJb{|(BiI1OITPHao;7~W$g z4wfi(jE$wN&R!9IkZp+!y&l*@6s|T>eQir~DIrS*vTN;XzyFxWur5%GOB3kjh0i1>awz$AuPkBINDLoyg5)+6Gp zbVyV}aM&Y$fDTA7K&(f^57Z&~3=!)Q@q=_ok>JpJM7%+V3}lG59uYrS2bCIWuJwrc za9Ip3x2#9RM=E}Sip{GmvSAl^+Hg^dPID*#%0H&Gb=zqRBb&XK20Z*$JdMa2WBt9i`X1(>k;v>nr9Yz zBh6Euyh1RNp_cWC_|YOhd$}S$>GWApe(LdK1cCjZAb_&V&b4;QHTH?RgzRj!tw+Q= zg_gaguY_Ie5%EKW1v^qVk;uPoxkkZi`Wk6lu7vxrGIeh5BoyHR4uS*T&xFSfdtw+S45ri>B z5b>mT$VbL7RIPFFBJ1S4hRn1c5r0EyI6M)iTHKA2+BZcW4q04zq}tm^O!HfkCJty^ zp?pY8+1tX2!<`@}mVj+NB3`4*;D3lGWgt(I3hP5-VkEyML;fzZbKK;{t--V&5x?$p zBwE!tU_K!eD2wo*^@#WlD-o*rr+EmHV?DycTW`eQmn3jF<|e^rgQC6WWyZx}n#;y+ zu#iHr9udD*#_$}(r9=|z5%CWsQ5@pA5$OzNUeS6)e7tTnIR4WgFCerF!Sa}`VH^~? znc~nBiv==AT5`oPV~SXS)z*#!TJd=rjbqBxXxLFP;xjcChnyNqntdjN8Dv?Hh_921 z!U3ts#dg~MHa7~0gH#u!tw+RPk!%2h&sVFE z3K?a(_`0%KShOAyU#Nx>?cYAO8qFHSYU>e+i5!ZLNK9(rC72k-KX*_c5o(_}Rmxh@~ixpmD5 z8ubR&EpjLGQK?Zpt(7~)dbyJ?Gg>u-`!u;THKd{yFZ>*x2P4AQ2q*d@Pu9#IbeZ$f zVQ#(j&YW$aFqd^bTsz_S>{yS89mUubr-t^p#IYU`J6d3zFM43wdPMAJ0^=mo1Jl+c zV#f-MGfOW_?oQ@CEHF+t1y;nFsuoCFkBA*72%Ly|h_&^I*zp28-4D~&BVyYG#wn|Z zPFs(NoggsIW4$o39uYfHV4U1~VA^^_>?DD4#_NG;GY+wn1;%Nx2WDB1h;0`jC&xC> zwH^_>REBe$G7GSXb9Q8bV?848MM2OoGBQ$}b?aKo&Qvlz zb~$rdJ?210-j$E{+`9hdPOasM7^h-cFTGbRem+vM_+X5DQL}7wS@O|gX}$E$atXu~ zmV7WG{LkZNN=E*O)(Y7x5$h58^DhQic1W~Ie6czN*@QU0#mj}T_H!wh2@oRdpD zp_Ct3$+mK-#x_Q=sC|pxy>SnO3$x?F=oBwA9%#z{0rn$=+jHRbl9wCLL*)k+vF!q^ zOJzUeb7oi3a4hD*IW?%YOG1R6+1E0B@IyST%W(ylwD)+Dr;-V4@+W{jm2!iuu!4B<59Zy{#9xss{(T9lSQ-4I(;gl5iBk}`h20Rh%kjRc`#Yh~2 zg7ajYpT;Ylksml1V8?_4-!YXP6)h1w>WvCoEIJ#z+dHRCgHbEr7MjJ^F(V;m{5@#1 zM?q8`vr|QfSD@|>aPAol29w^cNeGjrXmwTS(KF~+ebkq>JU-vXKmCOYx`q{%hL zY7Vd_F#w?94p`?+rIRU9nai5BBj{8phjByzNhR%$R%M(9;+#vCbj9Tunt1)MQ&$V!$g4e|W zQN4VflV~uUDDsV=d^jj?OyUN*s=EOscfjGC^mCy2XAde#N*$9TRNNF-GfDA)rb-`! zbw@Z~T$8yc2s|cQ$hZNn7Lw#?O*S1Qt9C%P&>`bSx7zbrki4qNHi6{$Aem7sW>5Ad z&ZlsLuzYnHkZ5(lC)UJhHu&w!a7rZwQOm;;>cG!ImyOfYt3mq;;9sVuJ&@rpEw{~8 za};#T;jRO1;aFq`oW#oqIV2w8Htr;D=&B7XfO!BMa(oWpd-gz{#NAqTC~=xK-g@9{ z?TN=-Sak$(HfX%FfpcX~ym^RRZ6nSR8t*>fycEQ<%50mH`P{!(rydECV>Q{QATcTf zd1_4J#=JUX8*sL3y#Bx$VdFV@Fo_%P>fE0K=NyeU8#v2sJoz^H-A&>~y1IxsJkE}6 zIs`a}*?11WDYoAJysqs4D$G8)Cp6X8+h4F*FGHzm#h9(JHFdV#`mVQs`lwx|we|MT zxN;Xr{d)Unyn$&+UnTbF?VmObEni>he0uw5j@xBQOK<<-{||d_0$*2E?T?>x&rN!o zd(-45X*xnvS~}6D^GN4;NSo58rKLbflQa!YlaisOsDLeqyyws|DN{i~lxIOi5M)ph zP{0B8sW?ASP@hkqI6w9I{C~e|?R|!OZ#v=o=<()`9(9-OaoII)@(6A?5E-60cpbL-&tc2mWzQf9DQve>6D<<^Fut7&l=BY{RdT zaHApOLkP<|{tw(bkaJD{?HD%*G}T}(?|+=3h0Vnn%-+jaVNBs}j#cegK{U5@?AeWr z>m_7qYiDy~_eQBU7ZhvPE^`uqi#D#Rbv!r(wYH(Xp{2PK*TUf9mX6NmMLkWe9Zms! zwHr4&eMn!kaS^VHr^D$Bu(-K)qf-ROxl7ls+32L;TD@q=8Yj)5MH?5bU*#0TDU3Gq zQxuKOD-qVu>BAg*uYVOPv41X{1HIq=7Mbt+ZA|Une@RL4K>*qJ@&3qSVOyj~Ha5Kf zCLzBAaDcR)tHkNl&GhzldGIwcqBQk2CQxF$75FRwkM$Ni1qgKu#c-h?6ZSIhyMe%E zCxOXAN%*aUi6jqBP5}`+*nn>xtS3RflT)RCKz0^-Pq{^fSanFTo;F!^GFp&lTrEj5 z^-E^`S@#Lax}W+L^Yyu)V10>w&Ui~xPZIliw+boa2vTZ1v0pIWs?^(v{gUyHNll~o zMdO{6T2JDyxGYuz=cW2FlipX|X86m(gGk9VPf(WYV%Bjh9tIP);s}5H^Co7uGIp!~ zfUH~nd34itFUIe{sgvOx$QFV&>I@o9OmfsVXg7mf+i^Jo`U6f6UBCd>a}n0sy#$@K z8-W)}EClY<84v!ZiW_q^7Je&P0d)1zLP1e>x1ti;Tbr9stnG#4<#E~ zubu##J>6i_LN+&o1;wOuGW3_v?%}^WIbOo#|m-s=TV{kDUdJr5w8E~-~8o~_4 zPxJJ~N;d!|*E?6Ru3a>LF&|{r13b@;itiDFO&OvkIzLqnT&=% zf&;G{2)M9&6y|S@tgJ{MTgt>2fWdMySsm$Pa@lWg#pN<-bvXFktH9t;z{IvFyI5iV z7R}-$JxsFmC2;wAz{&Km!u;)+%fw`-94#e>2c=9lJM3VE+1Ip)3OqTBQ@npQfZJ|7 zT0_IQP%`~1>!M)Wzp}W+yVr-aIN?6iz2>r?WZtHmY0wyhB0B^6y5>u}oh0}5b6aY% zi9{Mi<4-fY^DA|>0}GjD9zu-A zLl!|+7Vodyzo&T>vXiy(Y3D7I9ZR=t^7KG+G%=JX+LY)U?9#F5a(7rW|d` zljR@{AzRj}S#ANlu8<{_nvkW;?s}3bm*Et{P>4_i(u+S1R!;`(FwRG_5?ADH&M8gu zMb9p2b1)E*^Ls@8pD2Sjk-^Hm3?>-{XlNUKMhRa3;4z)ybeDQ&&XqJO9cGzEl(#1; z(!oXq`CGu^swf-kr^z*Wb1~bniEf=+KF@;37eYQG%sI)Rsj}~8(v0D?)ZW&{WHd)!k+GjHu@a%)BpW$))#K^RbvbB}#+?L(;j* zF~Eo6F*Puo?9OzJ;+s6rbEg4$c0k~a!sTO9qfT<0=dKM9R>e&xsX3>URPEBqN#f=V zoKEucuF-gWas7pH&lo*Gd(%H0M;+Zje3p>Lx7ES=baW9xWKt{F+C@cJ|*VjQ#jwLOA4K9C-a-wSEXT2b{oPxJyGJ{rEIL;hA znu%f6=nbe6D$9P>$!{B9)t5;|*=|SN%d+B+b-9%E7vPQ6JAt~HXsPUBGE1E4UT*rN z<1h5w2Y`MuATdL1oU&N#xi13sodB&FJj?wF6NtZwv-t~d44aLzcy0>6CUq$s2I=Vt zD)u1+(#f%OK7wvBIyo(LGN6nnk@`B%!yt5g(c%x|4Z`@McY1h-Grs8EPm1r*O9Br6 zUchz3VVOF<==y~MZn#*$jn@je>B9ov^92F#eNDj4zZY=J8L;LYUv%qQ0k?Gvxc!3y zjyx;i{jUhPD{&er?>d>GE#RRcuo4_!^za-3A3smP zBl`tBdb5B}yeQzYe;4q0KNyRRFM49CfG4*I_~bte_|(4$cJ%Een~?J~Z2>wW>-ZWM6AM+IE?MFI8yDd3`_QKW1b zC1Cqv0gV?5Xu3>5^GyPFJSd>$MFBg1DWJ7@G^1ZUR=}>M0Oipu+scC1BTm2NH{t-m z_@X~*HF%~Pl2Q%hOUC^c_JHF{&KihLJMlQDl6uGI90kImqu!~x$97DD;M5SPFdqHj z9&Xv4^AdfOAPDAhBCpp|N!Znko=Wnfr;@zvQ%NP}R8pS?_a4;Sq&%mRxKo%=(=&94 z()n}H1(2tG%p3xU>TGE5BJKv_hT2m}nWaC6w@6MUWtP*83A#v5C1qByTX8E>PbFnm zD%vm7Q%RXsiciDOQ%RZC>Muofb1EsbMuRHALQf@SYBXeEQFtmTvsM`og(Q=L$f=~v zy3NdJH%=vG&ZXcg!a*ROO3G|e781ZyNtvx0kR*kiO3Iw4AwEOoR8nS}hNL9~r;;+~ zYd|Rj@R8nS+5t)Y9SMObk8J^S0d`kxb=5=jX)(lESG){zMz9VT+GYE5P z&^(ot`L5upGUVWKR3!5~;Z9W|hdU49WWFywkUBUbgdTv zivNPrNuH%&zbtX6st8lX$f=~vE0Q0oFJXS9+gl=*`3F)aDmP)KVni(24}}v|pKMNS z0edPbGez6rYSKv?h)YUg1{w<^d6x?Lk>s5^RG7A+c`7M$4`121&j)C_PzlV7@ZhPW z%!mFHp)P-vhY)$mB;Kx~#SPsqC-W020#&oH2o{Z|y_>6QcMj1~;gTw=#?thF=mUK7 z<<|E`B&U)x|1O2PibPCO*)a?f2Jcf zqQV-Y^i)#j+fwaRVzo46tyLdw}S)@gyf*8h+bZq? ztK26@_O2LPB{NE_o=Pg4MYVi-+3cS}Uv-9>Q%U6$sHNkB@r|v!8}JFM4r+qs6II25 z&JsJ7R6a?=lSRRipz_HYAEvV(Q~%(ETw0G zbEaT^LeE0Q%<7N501n5%;S|$b%>9iS!`LA!W^O?|!<^25x$zvEIc18YgBcM0yJah#q?Dt)WqxXO&g(*rX7pAj6Fo`M_3 zRjX!5PbHNe5CSerBa!t~Qt3g#aito;>8YgBs|3g8YXqmKl1i@@9M`l_oSaH3eVgF8 z(2d~qR8r|Rg5&Bpg45$crEeD;m&6gAIh9m;tsuEjw#e{QQt9oY&T;uH$YET+qY8pk zN&R0E0xqm0u{GKL-xM5I+ZJaQc~0pOGIF^B*IvuXl>4Sm=^d?ARTP!$&$69nOq)Wtkiku%t8StHyI|mal<_u%yE&=I#ep)R% z%5o~Ha)lbuIG)*Ku3SkpPbKMAaOH8%B+13|yO6z3JOh7=xO{^q7B7|DOO5P>8Y}(S zM(_s^>u4DBbeG03l_zl~NqUDp{$SF_M+hJnDo^H2lCYUF7RIf30yeb0sm$8ZwPzTx zr@W(~r>z@Df8_m7c=D$Tjp$wuK37M1(Nd=}Yg5)GJ>y^r5pF)ykKaZF%<;12LVP*W?hZ$&*Q%LgKKLxQ|hDTFJ!V>kkr;t*}rMm+0 z)-kTi_OUJv11ot7sR5z81Fpm5eKOwZn3utoIE8c&*h2wD@JdHG;1tsPfx16HYo+Kb zoyx2?$;vZ$qmx0#JokH9QL#XN9YMu3!G!%MoqaL2j7}DU+&KB3XLORm>Evk)rUl68 zB!AQLi}yb^IGtQTC*P`$PVzS`zoV0zZSd^5h?jILo`B|BJ_#?-=)m@`d$ucvlkQcZ zJcMT;vF@-z_;oDqPK19jz&UfHABAxTxZ8kopTW;p0vc0+^G@_ry7HKl zhz0?PV%J?vQw3bE|0WO}#v?4~aF_74a^iQ=M~bh(7+5+CKZ((<`$3=|jL|wv`3gQU z-gTcw_%~y?NLH4O1BQP{)4?H>*WvvGp5-h6&#A1i1S3E&1sf7&ld;K)$5N~$fe$2C zpY8w~%<7;~>*DqJ!MAuz^Yt=^!5=vj>$KjfxVVdIF3p&#G(s5dyyoM!(Hww*Ft>x%RcW< zK)k6N8;gk}6eoX@e5|D{Q{wYv_HjIDiuZum2eSD&n`xMn@Z3j1R5re@X$*EXp90lu z*_7-53t2r^id!Jo?uS5$s;9|Q;$JHebrPQY88{6~2|Tg#)(rr5JRZ&X*KY^iw?V8K ze~D7==fZHsF7zO>dIHa2>@n7Y)%AFmb8w@tEWcV{awQ4h3!=O6Bz{+Com+_CLgF>s z@pHSS_#ft$rcsIiAnR}9xfty42kWor4LSjz< z=WLrlp|0uOc-{o$Iola|7HjWMK-M)F+@@A1F_)o(urG(RbCbaAoIi6!FJ|a0gsxwS zFw!k&NQ2NhTkFWLmKhm0sjlftgifCU+KWgl899d;aj;FY?cYE&ZDL)M2Lk1NJ~?dx zuI@j9I~_Rkmsf9l5sL8n2)hA~=38EI+(`Ph2)o?Sw~@=ogdPW9*=FOBl__}8YtO%- z6d9~=r@^~OgDyh)m*a``uM2kb1tSQ#smu|zndV#gisMp5`T(A&rPYcbMe;(hqT2{5d07m}NI1Yaarudo>?F0jFQ$S`Tcd-G**TNUsgNXz7>Q1!Va5V;Uq72K}9P7o|)?l@MnN#3R zy0WgR;bsz-=Odmh=CgX#f#l;!&QDJzD)N!fHinU1!g~yfKSSdF`G{dyL|Z?l9ZA|R zleRJ+Ex%T zcLdx}(1*AiM+&%Uxq$by2zc+60&c!ez%9=Sxb@cpZW|1B%S+t8R=|;60^Wa(fV(~< z;O^%H-17?oNBd(&@)Gx-E#QIk1w8m>0Uvw6fQOzE@bJq5KJFAT!Xv{3JjzoHUg8ry z0v>y}fX5#a@Wi(SJo!HYJ~lGyC*aE$2>8ld1bp>2fMlY`{fxkr`%8go zcL1jK0&CWko(!88F&RzX+Luk`&1?YP^Ja}KC78V&pfEIRO5WB)s)D+g1#Eg&z-DRX zf-U_s#BH4{U>l8Fy@Cr`1zdQwfckp`T=W?M4c`~A{f`0~E6W(6X^Md6bpm!=ETH8Y z0Xy#%(E6-^i(e72>%Re5fIi1DW$GQD z&y=ZmYGcaOJ3-8p$zM?hEb^%?3mbOPOD*5YNew6v>HaGRw+IWKMkW&tJPnM=*BQA zwMK&~z(Nh9QZ*VfFdZ63rPeCrp-yp<1u7K7sMNY&GNUw%N}Ws5mxe78^_J8YWg!7H zj7n|QfFvozFe-JPhWHE-!>H6Y4M|G~45L!#Yd|Rj#4sv#freBtL=2-+7i!2rp`l?^ zs$N5eGDHodQWt4ZmD4vgj7p7m8QRYnMy1BO9MAH_=Mo~EQfnBM+9Q~EpnxU(K^?pc ztzht7PU=k`N2tr668T|tOUb4Lr5Z-1E)hQo{xQPKNaT zjA2x2j^y3tv>`l#9NTy8sTN_y2}KFWuZ%e{Z`@P6g@98{oInkuQg4x*athK+mWPH> zsmle|&l*Oh#<_22z8@j6{LowS8F<-U05a?|#YuJ%i6u5tsqq?(Gn&?0R$P**jZ|u$ zmbV0RSqXo@tUo};a>niIf@}sniux5>B5bbZJoymNrtUD}{g) zs}LZjHA+f#NCk4T)yA?lY;B}cof4PRaICRI8>!UA!h$n&*ajsZKt_;GU?Y{fMW{G| z=b;L+BXg9?`8~`|z$@q$yMz~~f2FdC*U+YSdPxp< zngpf3FP)JJOLla%kxIQN1Q!Pkq<6@8V;H*D9)JwLwJdq2ja2Goi9@|dm?}nWq*AX) zey9tD`6(f}CBjxl>IYILYD!_IVni(24}}x;sBBJb0c#_bnxbuR7PKgB19h?gnlZ=1 zNZzGFek6IPLKdd2Xxd1n?&$!=<&UEG!-WYn+0@aq9dkx;lDQIYw3bSJ=m^RA6D7CS zQig7qllqAih8ka37|RBYeD^No*QF*HvawPOWtBUeQy&;aBI=2GiNsne_3u(VYLQ_= zTD7b-T1%y-sraCZslyl;V=a|htT^hOnuzoSX+J~7rdcB5-KAQZClzZgm0GT3R9o|t z(ON3CP^qZZDwWIyw#yi6snkyCGSqn`UliVUl(q|sdT@wRYpK+?rP`?*YiY<@t6i+6 zQm+c0N^@9R#f!C6>NUYro6e3;YpK+a1x+nG65Z4jtff+mv}n{p!}yVoF4j`1dw&4D z%O54uE*H0!V&kD3P#~;u_pu*PhY#tsvluE`OQlx1)g+srhs@~7YAuzXwG|{4>Df!5 z3qvNBRn2qz9J@& zQ(zxeyg);%NgjqS)X<6O#-Y_z@d}p7M0yq~X22|XI4lE)lc6`mzSh4@nU`Y8XPDC& zFgKoKGp8&R=5#n2{+5PTQ$?4HOyDZiYAS)%RM8cJ&?>cL|Q`)d)_lri$Jw zI4)wNIC<+(@{HiPvK3qj7sZ+(wVEnAAOu|VMk1@#RMA1faUmSRsnt}`Rf6N{ID%8F zsiLa|$0c(VCstEMZxbBX(Gi?lO%+`uI4-UuI5l4?db{Ab;*Q{q)l|{7g5)~fB15aG zqT5Bmadzs+Oy_ab)b6ooR&rnf)vu-;o z{iw^Knh5U+%B24j1sh^cM7w?L;Z3)XRuJAS5B&-#+{~!&o+c({_R62k1q^UK7e;2m zl|LC-0y8k!?shU4{lE~~U2P}R@Si3qvUlxd8h@jqHWzlM)Nxbsty3picE;}qz}iAC z0xFwLZahLgEV%A;oKtoZ_q3$(dd&TPf4rK*Q&mQv6Y`oc-znogJW;bZt+4F7MC7^_ zDa2Wocs-5BFdOc!L8Fw#4vb-0RWal!tyhWJ)G>aijAnoNXnY;}dxx7Ur zbwDqdw`B6STh`?*+!1g`MlWy4@KiZwBwAs@%Ud!uU&~2; za@OT7!sh|-cqGclm?~N!m!a8MF00&oTnd>Feu%07C+o6vW$$NkGFdibMn+6GxwmwA z?r{IH_$-_w+_(bk?5iWq}tt{eT%Qy@MCZPA1D}&-AzW^)Heoe7r`kf5{x@`j=%8CgYw^)A1Bq!S7u{Tq) z^>LRXpcc=#ahSO1aGeYljySrRqj=AzdMc}%lXuu=-z?g zhhwO-I(K#f!$2qV7=Fh{KF3dklP``xp~e*7g!dIZ6PP&~Q&dwAa??)${j8Gw37Fxq zv6&cT8+4P%heV&jVyNCB2E-N2Cht9W4U@=0+2 z95cZxluS^WaYqsTx{}a}=&UMJ%A8F61Vq2UV=^%&k_jgDQ-gNL1}PvDY%6ki@Qxmt zSOV^KcqXv1kckQR3QRiFsK2aLD z_}EPi7me@MVHpA+!xe+c+&DR#wS6*67GbF~7V?-B6AtpYy(83AA5p+zt8#U!?o zVHGk=z>D(*eEC8FU%6bsS8oTPRmjrF(2f$3Mpb!c{8^I537(fF_>T# zvH~Dx71B(qg1T1(Z2GK#&C<#RTPm@C1*?!L0=BIaaKXg_E_|DSdfuqx6DU08V6wW2Ua0d1vJ+R*s)7M%i9I){1*YOpA~TN4+QM`GeF!bufDn!wtRfyt4s}S|atU@#>Y89d(ajOtzOsfzU$XbQ` ziWya_5Q;t;VyIP!vWQrPXh75|L_?xhAsP~~3ekY5RfvW}twJ;;Y89d(F{=;_%C-t& zXv8Xnn{S(phV^VV=^E0H6ScM2Brw!o|@ENq_L<@&yGYC`M51v<(|XH_8?y?L z+?HZ4E8!2ARRUxz$B0#k@LWkkX$x^h^TR|!s}Ly(r_U0)w5Tzw5Fy~iDg=lbm|=8C zmN?mJW7!%GtwJO&r{P#*N3BAH1!w564NC4oMvzX#DnzI_f#;zLvU8hIaefc86YvVU z#V(=Z^siJlG5fyxX2DZ0h~W9^HLwbi`lZHDLXn^)lcpAf0JRE{@j!J#+kiEL5(QQv zk_Od`FsBBsRw06?{*i+hs}SK%y(EXbT7^gssjy^6w^kuSuq$97y+g(u!_c)Z0m$4c zLmYWls}PApy+@d8c8yhtdEfGPq(^A&0WNY0-q zc^<0}DGW8furQVltwP$6UzeI-$i_;s&?;mwiKr*$B{EhaQaoyrVM1E9tTkg5BGpe7 zQ-?7yp;d_BsCQ~2(i5cp43%1iNO7o^=1C=D6(VF*Tl13{s}P~0R;yGp7f6z*=fEmN z@yEh~n~Fg(xn^Dnx^G ztwJD05Dm{`6{3h-s}K#(V-=!^Y^x9r&9(~B&}^#^mdWKx zPI`(cCfQaY+knM}4i|s@*Ft}XRmejKs@#Eqesk!=%kVaO&NiOw=vnXy#T=k#p<)L7 zGdvuYfy2qrn_*w;zgwASu;eq$=?s_~&#{?P77BAZoD6?sRv{u2xC*tJO2jHea9o;3 zaMmhBa9poOaMmhBa9qSjamFe{a9r66u7rzX&5*SU5dyAxBay9Dh~T&oj^M0Sh~T(7 zj^M0Sh~T(nj^d0}h~T)6j^M0Sh~T)mj^M0Sh~T*5j^F~T5J7SsZjn)|5K-{BIu~RK zw+B!K5vvd(;JQ5$+ggPPj*EGV3#~%P$mIfGdo3qlV-><$b_ZY=O)>p%)sA&BLQKb^ zz8!Qd<2iQ6;_#7qQ}LT)6~da$wF+VAz|9yl zunM^Y?*U+hk@dAMg#7(kg)qmZum2nsmB%WCLp5d<@(UE~*j6E51qG}^Ucc|3XwjVWfk%thA?6k@_Q2$zJRKSRmh(;G?lee3L}tVzrd!sF#?%RemO=US(n~h zlZ)wDyyR;=CD@90U&Nb*Z{Vro#oF0cAX(So_r^`kKz_+wpN7{QBk>q+!`&lj1+h%Y zedU^+C$R$=#auLk!^Pw>Uh-tPoW!T08c-njUT~l>h;mWoWVqYO48^b5@2yz!;U3A* zZ@}hH0V{mfM!4iPipcr=mY9M_hUTF0mg9+LC~gXp-#{h1qJl5@a3XULf#Yo<*D0v7 zEL)KL1{K*{17i^3`hzTvjlL`FkEe-hRq+!^@0vkvjtDNoosL~1iI zYtYZUI45cyBHiW^*kN2stB$@h8bV$tZXF_gJ^>z|j`BHG>kyF}ePCwMkERw`xw2Lt zZ@@Z4__u&}SHQo76HjQ$5!eu%sC9_2d<^V98?t-}Q$xt|q^v`vL-&QvL@DhiYz>@> zbqEEzyAfQ@%fsn}twV&%&ERlnoXZ=t4(ZSS_6u&syDEtUsuTjHMC*>yX`W zUuyAm08gc0EGKri%6%u??~UWfa*Bm@$cGU8$r#F5hcM8|JdNKmlF#w;;N%PBL28IV z%aEVqnZV46-30X`H$4>SGnFI>yNPjlCI;CC-6ZlM(HVGP9b%(9<1YYW3<4)8IvRhd%eWMUkVqz&)M|rPBbD zob%VVwy!w%{M}vqyUw3nJ?Z?e&c^eFsI%Mo$&|XLyQbDP{c;Q#nzQ`g(sOG!EXCbP z7dr^dcL{-a?y7Z*Yinzq#1GyjGzGkX+tZ*QUBRI2D-RNRuRKWDee^`+W&$J``@~Bk zdXqsixe4sOO*OUaRyf{9X3<-}xpvi3$J;#E#YjzhHBrB}a?!e_HOn0D24WN0mmVZ~ zy-oqO!IN0cO;vKifu>l$zHWn)+{si6*RNW;XvMPnx|)skOBU7CEaqpfz5CL4Aem(H z1NiOZK8|0%m_HXFhPa%*^(nVY8ghU7T5Ql3ZR~F7>|WH=)Y;tC zRl8$D!@i{r-3^ZSku-T=Nm>NOB^UjGK_`m>oZ9$$A2k?1#4KrOYg^pVxU0+Y9yHi= z(MI`Z-g(WP9m`vB=+OI^!ITy)!GZCHMtrUlpWAG0M5Kods=_JEUl{Mv*4$ zawdXvxT(#HHY{7WX)V5#+qDXtnCZ=R^~=_-uiL85b(?BxFhL-2)f6WoaGK*0ZkXmI z1 zx;iIK&!%;2*43W7&MBs6>9Qp#XN}X39^BYhDim;J)G@^=Q^z!?Tu5eNyPZr{&2sun z0N7S)2wVf`l0G|-u9X{>ZQN8-=M18U9c(Zi8y78KwrInKMO&RA^e$2NP`Wp5T()89 zvgNDREnDiG#(g z)Gl_O5+^t3Y8hzM#CZGw!o|Q22vs9mzkR{8V wk zBL?nsN`}PhCGEdR<~Pg8aIUOk7PatKnhb8;uxz<=<(1MWPRr2N;~LN?=en)ymoWfdr`X;_Qt;N7(e?VtT_gnu|ENQ)pWH=KaFqzT z5y^gf7fHd@iuU{IT_go>Q+yhJ-bGSyjrvOw-P}b|@OBNV01Lf~q~KZ&8Q3qpi=^P6 zl<`oW4}C9pf+-p#WsQEB#(|EvNmXjxOBjKQ-2k>&DG&plL2O2+;1-g(?%;=5ve8gy>3M;k zahx^a`93{osb^4q{iSX74URkEpimBhzlr`8OrgLleYvhl!!C2&l^Rxout8SYackct zT;r(^jig@SO~d_ZLnB!z@CLq)kVrlXyrBp=EuNVI&p}EWh}5ekhXr0*0@><4UCm97 zJEH=MfD2-9IQ@f|J#z8}7Ph0XRqGoe`nN;$JMOOa8n@~k#3g@C4Xx8=QcDI|KvMUc zK%ar4KGc(8TX$DeXGeEE?&fQ3cHECjjTCsZuFRoAcQr&08lt&5L|r`{mgr-KXd#H4 z$Jj}ox|zM}J56nEyBk{DkE`(9jSlqyo~OrxW46Mx3(a{vh3DNu6H|DuWYGVf!V@Yq ze?mf`LPOuFD>UK!Ti`BjYg>!TbKDZ+lCgdh_}l8*jxkuc6Ijrs*cdREZ`!w^xuFZ! z8M!4FGAoCZg>8E_Gh9QA)D{R zGwPaVjRRY;K5wkw+fd&I?Ziopxdf?td2Xw^7U4joinuHj-tho9(@-#bTu3O(J8pI zXdYX7gwuCX8``CzyS1a81Wv*G3?>=DtcMh6ZtQjn-d}VlvpvG^y8&NX?`Wr9=@i^$ zLeqVr-{PC_1$Uc((!N2=5162ezIFVPM!`MCKhP=aHR}Z*EP5MqS&(c+{!`M(fnu4q zlY8M?ORz{SmdU;F;7l!5u}toTSA9lun=IDJz3^&9`^7rB7rssLY4|z07ha?OQbaeC zd*RzPr~)i>axc7ALk1RylY8MmDdV9|LMHdZf4($7BZfI$3M2a<*y##=4tz~at zX1ME)oxom1s(lH(OPV@j#lok~T=yj;R*H9nShu>*b2yYr4XJZGd!`0wPro$i%cW~$CthbM_Hx=M>T&)S9yE$zP$~Q zf1b-S;IAOb(ibFIzXeG)T17n?fyd$NaVIUcvJEbU)qmr;Wh6GWsOjwPYC(7-+y1aq zIRK4{Y#xR>1FeV*_I08K>tSKl(YfDokGhQf^0d09&w>GM^aiqUK(PFC&V(P!d3X!p zy^3C%@3}{*Z#@m~fbE#MIkXdp7Y^!ZYubZR>bM`d6Un^-v@_VP-_zVWb=Hh}+nJ;n zHHc2R!*!W5W$kZ4d_KhZkbMnZGVkMDt;_ye;4Q@jJ#;E+qHua$)1!cHn|s=q0Z-q9 zpL0gRaU~p=(eZ9LZlU8YIDSFLN8w0}#~JiqM+Fv)m+OwVNXoKhs`NmOsb zxnwpZw%*yAv;Wf4*my$v$Wc`8s+p+dv%oQXZpb^kV5@|?EwLSw>`B3+sx0Ep%{+h_ zNG3my-vYOgN`6OuOIycwd^e5CHU@pA%S?O=&ra0pFF@D_U2-5D&@2~1{Yob9geM*u zmWGTx%Ka`PjzS6UMC7wkFMc~@8Zcw%c@=?vtK*K{3Jus*176ezII-YLU)qWK`lac+oK4{BOou%*8 z)!BmjnpGRIv9qpj!&b-lK3?=5uq#~N*4nJHh0uv`V|Q0g2R7+y zsMsd2_ijgKpjH(wYwzyduWwY7H+Z6Yk0>o%($U`C41J)Z-JotXsEWdkdm1{Mo#aj4 z1Hxfop0YRtlkb(p3zs%;?`c`pzN5oQ-t5hi>^OzYhhck*C+iO6aYHk1`|1i4ywza* z!rFc9*o3azi3))5xXl|N>86Fv#^&7(dv%)Pl?tm^ znFojen^Z#*my2DB_-DK(Uf(HpiRj0Ui)=7@pX7hww`3oFutOuOWG0NcRT4J>ce_iG z@d-TFL&mMbbe2J~khx9d{6mD5y&1^&;mJVd=z(6-))$GCjzvPS>8yvAFk@QC9vVTw z9-`KLH>jRK>u3f!gdmEtK?_*28%2LEJMT>NLHDCcO+#T5Af6rS`CN%b@pY&=LkML69V;dkffB z$H*{S75m*QfqWF~DfbS8@*PZq>(P}B?M+b2-Tl(XP%`v6S(9F-Nhc9tSEO%ZB_TU_aX(bRjZO;MGA~$5BSr8T>gUSKzG&zJ!(A-#JPlp_m#u&c@w$ah(_yCAIr*DUmz z!!S;HK}d?MRfME*y8f=as+@^b4o52u2oqS4o{RhpL_k00XH~fd3>qcH7#tENz#hhy zky_p0ZYq>|jn;=3R&p|42L-kc3T=J&(L`*0N@Mgz)%TOCFJN|yOw|L&s!zeod>U_B zE58^SFrld7QOIY>Y*W8dujQyCmNJt79UWzGNJx1CbPO>SSCcxed>U$`2}$>7OY$1k z%2Lxm1|-}S}vVmuYw30`~z^X-w zwE|hv(OHBwskKGQ8^#yasLZOAmToM%aX+o?anTdkB4_!NCYzmcx-lddDMZ0<0cIsjqiop;zfOf|c?R%x! zJt4!S*%#R1BLXMd7a(i2i6T-)+CSQ?{rg)%!|%glHJyUMWnKqH+j|geQkh|Zm_|aC zVrWEbc&L?#r$t%?WN|r^Th+D#*awq#&==VHDapKuuX+J)II!v5aZ7Q85|PF74v zfqT}oM!t5qv{rX<7TOM&ezs9dv^`6$q{&1pt1PUn@?Z#EP%eV9emCj1P_h zVKr;k23oHF&j@zgvuq#cK*&U!y37jta@*z=w$1xnL0_p&5f$_UZ1WDZ)jufgA?!kf zvjzQ-Jc3@uc}&o&EEkCpk?<=$)nle|ki;K;X{^b=12cUC_)DV3mMMJ8B?VB3(fH;XpeQ2icK0 z*p9>@A-PUTL+#Ks!zDU2Pq!m-SlF?d*Q)FhIXusZJR?jgGW=B0k)p(gUu1a1!Xx7& z2)C+EI6$=6qr+V4@Ea2jKa2)jP0`^8b;s6hIQ%d|EUly&VxR*>Fm_mC+>l8)ETIZT z$X1m{houZ6t0Dy&5%eLBrRdv>rh@0Nex4JVGzfpwkVoNuOm1*qhPJb8bNn< zlHx3&vtYI!V`-ZEbB10*woK&b6rQ>VO;%Lu?0vBiqL5qTc4%kvv zE}$#ewziluRVT`+f>negL&sbHIYF5!%hBZFf@VNC=*_ZlqEs?gHu0t0V7rtXf^c1} z$wGOk(O6|=j1dsUogOl$M8UCq=`lvvt%~Zp!-JTz20X)5i6I?fMaoFjvMlG$v=YQj zTTuxz+KQ<$;n-yV8f%!!nw|?F9f69R>|c>V?l_aI%$po$aY35Qut+hrChK$!ni{sRkxv+%u`ouQ9(EE?Ss9KMjO{v_ zSxk9h3c4_y71o=|wArCd!>DBuLb-Ex$S^A8FnVn|lEmTdBDz#K^m(gN-Z>YDcARH9yWtJ+k0b|C84!?*V5(|&$@j*DO+`@_A z^l%~=7ET0Jp+u+nitHX*@ge#AEzBO} zi?T=gVmpE{%9mI-M)^|f#wcHA-8rNDueO?qj@Z7Ts$UciRcs>J`7|94OO^D+p``DZ zJw{8iMZahx+&#+ZXw*%l*nq|EMK99;n@o3=BtkIo7_Ms%KRT&LcVtxs!0r-fBDR~V z;wlVGfbH}u3v%c9h%AUsTPg}d^60dM#Y#ABogVgltm5O-R#mk3W7TdqyHpCDVPmqd zVQCWY@FQ)9$0EdFOoty8?eMbE8sF(06Q)YevcIaGI(tS5`dX-}+P5PZ0?>QoDqgsY zAJz53xkdCq&Mi{)x@*t5B@pnsr%$YzYGig{N@<<6;=B1~dXssmBtC1qKf_zQ~5YglK}1sV0>0y2Ov^tH` z>h!R#bXuJePOCHH)9S3S^s2qhw$tjIu!E?uJUbMYs_sVAV(7RwCsFw_KMa=YiOLt5 zcdS}W)z`cpf#MsNFHn4KU&B-r$O)s^F0~Q_YHwVEERRc&6->fPh?Oaqy?#|V%|X$n zA@Uk7w26XRt5fmXC>vtd*_pRi7v<1pRZ~8P4#(MG3lN=9H`)SHSk)m}lND;%n_>}6 zxi(v!;oLBfjJqYQ4SKhRSZ%-aOaMBG25hsFFed8rtsALcVBHwK7h3oK-b5W0bN_e+ z8qDP~EaJj4oQ`ccrd&Js2lRilSD=*q_C4A$Uw_)I*|8K!<3hP+^!0E}4NX1NG$z=A zBCk8++QLLT*0C!dAM2B&gA@w69Z^=kgqwRty^z+Bv^QWb!L=wJ|If#WV-jV>Zu0^W`G`erm+X!i?+wkovJyP`5WRBMkRtjnUU zF0VIfn>N1Y4>UAWFQS&cVLJ2AYc(Te)mF)<_*%8hNJHiO7V~q@Zp{imL)gk*$Tv!}v z{Z`3^P8N!Y6Sbv?FBk&B!fCTwr|ya2?8%9EQe2AQeL-Bx1|37xRLW?llTmMQsU0HID+;QR})YylG8hcf!u@4XX^%-%^d<4g^YL?vi4_6=% z9=iV(S%27|Q5-9yvlaC*p`t!EuBeYQ0tvEF^}4gHqW)Lfr_UYN^6r`Cva&pQ_bfAP zd~D#|v+B#e859|FBJztW#(V=Eyyl9`u^gqCWpybK9aGr&vb9Z`v%?{*^)feG0iI`- zTPVQutsA2qFVl3N8nXoUyVU*HQ-I^U3f9y~rZzvAdSo-f?o0#6{$niyjW?e~AU6|= zRhQ@&otaAPWJiM1AQgGZBnD8ZeKVt#MR(3fM|q*g1%HUrrpDk>aE1wCE_}mO^9>ip@>K{@Pmy9FjSk2T0O}Mn-Qq8AhfpI}eVw z)7_Y`u)3v?wRd2*6e7C^A>+t)wiMfP*L?(eQ#&CPP}QM;!e^Yc5u|y46%0FTYT*)@Cv)=&;WaO2*n4g;~U`S1ktn z4z@(pVm8DDr0hIsc_}aJ?7qULP;fHVW?K`I1(N{x9#~iCJfzt|8IL`OcwShz^X#_C zHf7JS^J5%MbzWdHM~=u1HXcNnn*{2^JZZ8QMeI42>yWN6VE3|VUr7!2lM z#cE_haX?(jsc}7mm89A-C!FSbV4y!Mny>l?MA7;YiP3gs@)N(_y~Np&2*e zMz}0G=EPq2Wt(wlk1D&ffevQmw;drEMYF9NqiBwGqv4=v^`avEV-%Tc{KqJ=qxt{6 zQ4|he4z|dPdL1D%vL_;pp}@*zmUUyu1j7eICKx`wO+4YG5q~3T=Yj${LK{4cS8Np8 zgIK=m!Q4z&V~o7#iNY7*lx}G&&x?atvIMcaUl8wHf|TeXuF~)jSM*30-hT)B28heT zX$itLeku!Fg#jrlry`U@)F;e~Z%Wj7G??DnS%a-Hz@F_I$g^F8LMGZaJi}!)It>^S zQZV|^D4qe4!xjs~j{0<+M&rAZx!bsAVwX8k_Ge%z*-PQkXz?=S=)7l z*zAR)Q1m|9AGm&uG`W5IjP4=p$Eg01(vls=2D97^Z6vWxtaU#+T_t@38^pFTVkh^| z@_b`fZYoH3EZSo@V!RtChINOp0xZhb2Jx*ww87WIM zQy({0Z5VK;sd9X}eLII`Bb8T_NvF}_wo{$KPBkLTkM^OF(N04hB5wnR4b{s4)`3(Q z_L67;`h^OV|@Wg_nyYUn`; z8u#pBnY%iAa1CEhFfjNJDqz{Ajm>*_Q$+R7OD|<5EXMU-yKrN}#%`RR-z^`FMtua# zwY(b}cJFCx=4G_YJ34naphh&Zew+r}HtuO|Y~9h?s9e_L&e+|}xHJ~krG&kOXQdva zwsGff?`du8p3vGpU;AJ|qI(XizS3QtNTG(%6zkQ0ATdP!Y5J$TUV~EL@L)lrt_)%Q z-2)e2?iHc_S6}E|_Nsr#D>~rq_PY;!)2rNZzyIOKZ$Fq=RI%<#CxHvp{6qesLT`s( zb>N%7-sBDU4{Y^+v#u#b{XWb8hpm3a`t2b;%bzGk=Ke)G^bNgq=o4zfur=dt?JO~O zCal6`Ae}pK>4-EYnmF2+Xxm&mRy#U7d-aF%<%x;DAX=74M0l=P`^^BU@fvT~ zV|m2X1%7u$&FU8xtZC_4h%qpD$B+HbK5^SsiG^`_)#aCYO{;sn)4IL39S6KU-|))5 z@oQ}ik%O_W5Dn4J5Qc=^p&a+ZB%Eq=?Ke8i8s|*h-r8>FYr4>$n0F`Q#xxC_I%VRt z>KWBj?1VM3@vO6)Q;HSv+uqvUb&4$BKvdoqbkg}gi5S6fh>VDnPLH)av5A*HQGw9^ z-Zh1#&3jwTq=N3-xQ};Wa@uHw2GP*gEY7peYV2s=(b@vF0i6ak@-06Q#d|wcmU?39 zyE_}uJfOy6h8GcG3gc~~9i0fm@&Ia?s$zYhiU}`-%pQ&E0>rM5yO!gML-lrWpp1i{Ko^g(L z-q|vFo>%#tx9jM(d0yr@Z_iP$WJ~#LBg$Vpv*siIyiKaMJm+^8`Zr|-cn$ucgMaWY z>-P3px6jyMdVjp2xcFH44~0D|B^?gFX9VQ%CRF-8E< znIm!_Q?f;lh!zSa6)0BBK(<&h17Q(}83gBu8E~*-252KPO=+@31hSe}?ikVWg`p4w z8Mmfo?~;mIub+R!KXd>5gI>v@UEbF2kIwUl?<>D=#KHMJ@4x!~p7#&;hi@yt@60ta zjJpfB)=ZqRF7EMLww3pcfokt{b?<9}40(KQ`F&%&eXdv3-LrGzocA^-*i;M=Nmsm~2EJwrcj*byDzUm|qfr?SC zJ{3~-`=eH$u}n=G@c|Z5{vxuMQr5^`q-vM*cqLL3KE#v7Nz;Fezwqu5z; z7}-8mRqegQFjUj-(M!FvU-ee=VrIASsQk_^JWx2vKciMW{#*gxzPVmSx7T?<0}mDY zmwA^R^>5lH{_eu7lb%=pSTf=5-r-%wy{YH=3+8Cym&y+>sN40zx<(Td8&m!$gq0s& z)W2th*R|R!slM*aHU8mNJiENrt~c|s!wbA+t~d9w!y=}1A-({m&nMJRMpWbs`rHl~ z>_Gi(_e*-qhUwBaa{-y{`jP!7)K|RcpK4F}*>Lofic{T9>CpxJd(cr6j@%cYXJc3! z#!j{HG-15h3xB6GrG)p|$ z9{w-v`9}S}I|2XNHIZRV2C)FI->=rZRTG37m@%U_yWadpMj;erjObr`O-y$sj9 zg9`nk7n%GDH?m=yt92RO-mC(mqZU0QpW<&+{A|ttSgV3y@MG+r z$O?f=g2)Qt?{;?v+Dq1|09id%UGKKB7}$f|^0$?HuQ>;ndi%GmUEx1ie#g{GFMVL^ z-n9oK^S?i8>o;QonC+{#?u}~tUbkO$5b8jpa5a9Dg}*kcL7{)$!A;nlJu>gf>%6m8 z5Addfc`Ra$Up4Q^>tS!8(YF--ej8m$Z~u;f#|>U)i?<7_62E)i)?Z^O!QW3`zPjap z@65U0g$G{pEBC(rNB;aCjd4i8TQ5XNODyC9!%+ZiDqxd$VYmN~p(*q#u%K!4tGt2! z+~2p}=8dmjv-2viPM1PQ3V(Oqk6sc|>_4BY>!HVmfC1JqNW(5IxC%ydp9Y)JBTN+Ss>>dW45!r>lVz)GaiLVNCH#i_4vo|;( z3j^^9=@Pupl6PBpmxdi0IPFF=wm^c7W(4PKG*hygoob+?(jNQH5oyo2Te6FuXPXDO zEUAY=QtCZ037v1 zhK-P4*02#yemxOi@`d<;2NFtbA~BrzQM~ZoJc20{_x^-&4oeTZ(7cJ(A)c*SMDG62Fy()G1Ky!tO!k$D9luuVmALa0eUVUy>_&a&n2BP-I?g(IdT57otSkn(4=O$Sl^?BoR4cyr zsC5|>0(Bfr2&iM*BpNKOfLTPuG0XN)+bz=1oq{n*?8>K$Hsi?{eW zZwM5vO5pnL@IGOdwxV`_bK<}5KfkA)*~df!ke~{g z=v?}^1hwy|AuOye^eX+Tmb+HG?{u-0od6~YXY{cXwO{jNl0TFPJC7M5XwN*oG5k(n z@4XT2$RQOD9Dec3`+?(L?Uf$#I=f%E|M~0IT;*Ll*GsK_-h1eZBl`|x``+u^;cs2L zb>|BQcRug+toBN~-|r1RY^)f@NfVXy45^S#a^ zTd%sq+vk^E=Vgv~?S~R2=>PaQCF*7BF^lO$d#U{vl{5>Y{hj=kA|HRjA5``?`{`uz z?Z{u1%^U2m&xLzYKmY2);^Km(2ff6)rx!g7GH0cs*#esHM`)HGEO=Y;8ZWW9=;=kD zUGzC2UY<(qFkVD`c1db^%;yX>yk=$Un#M$UHAzZ1d1LSw0Y4&wuQ&L7Wni3V@KB^q zj>Oqz;*2-=p&0mAM(`+O3vx%QWvMo}G{=$$^1BW6&<2A#S#q2_tVDfMaPsJft;glZ ziSuT};g@Y|B5{@-Ouh|bOX{6?ez^A42;S6tjj36tur!r8607Ky5#J)5o|uLB zejYH$pSI!J2(KlnL`Nj{;#6;SJ_}-&B8PIr@@qBa9f>gF`AyT+5j^UA7nqZZ*>Wr$ zzg0RsLbtAnBui3j48MGNm<0MKkOn2f?nqpzKP{1_li0ux%GPzNOdNjIZ%-r+@>MHI zKD{`_{zAU|65q9Pnhi>WDnh3x!^J2B`!VVHS-pJ``U`{@O|z(oq)S-dzvUmkSi8Ty z9zs_>##i5HzY16nKfb};*<#-Igd59FM^E>jp6*BhR!+-#9HA@gsDslbcD{O`!CBvs zz5VU%$qnl2X=!PO5nxjdzGL3rxF0n3q{H#|!kyOkc+R?awswWvc+Sp_uI@#9TH{A4 zYkdv*ST$^L1JIazhd+Iut*eZ}Bo>(R2SfS_ZO{ZFI$9=_e>fLI0!=<${T9)i=Xm4+B>vB5w zGkLvBJ?`myn$7h!fjoPwpz`$T!e9t*}d+bO@ zZxUNt{gSLrXZSoDdlkoqZc!MkIlCo?0cQ#Gxw#mz0qhsoc{)|Q>|LacAF>o`UYe05m%tYWcf?%cJkJt!eLNIq>XnEV>b z`pEb*jBVPezgR?*CC!fEV(Vm{gNhgGpjk|*@(83L2hvPA8O-5iE3LP7&FvC-BtRHB zU!ijA+0aKih;#_;B@oX+IdjD84moXh0&+r|fDI6I%T^wPYHM!Ti!Pcqj5%ob|~6h;1q_b zG*l`jL?9|AOgO0l8dl3m4OJe=yfhr5W>z$#?C)^Eo`imq)i-r2x3kc(CgMEeAZGxb zlztKzLfO=fTG5(MZ)t7s>TYOnY>tR|BV6(hA*-)hablAQ3aHf}(}yisgmpA0R{Dw% z>5vJ`23hWAXxl{djIL}g@%Yp1u_wex_M<{5SMyXLw3a5-7wQAa_*avewmx-zVB5-8z=Zi$RXL8jV_NkZ;1!R-@n7I%+N$bCT40Lb59{7%H@5uwL9fKH)_g9+Z>*1`|fusBWL|fVg{n!h;$f#N&kt z=ip%t5Z0;NC)};>D#CUXPS~aHfCn#B*o22X2)C%)C%i=6lL$LaIN?5ZCkch=l0r|wCF-#6ie|EBIF;qTQQ zw8wwJ{|AF7{G+;k!au7!O?YO7q*+BcO5JI~(dzaI$EZ6=*rx6(lWpg8_^0AQsR+&& zXHY6q@5aMY5w@$_C%i=6X~LV;T}60{2`9W&-9F)M>P`~AtnMHe*TMfmJjeyXm&F<6 zg4D0zVJ--Npl+Y=HFc*6|E%sR!v8Vhgnv=DPw3z-3-Tdcs_q~czXLvnFyw+@nmB`8 zka`&&#wJ{@Zl7?Ky3>RW>aHT(Zo&y0)$J2DsXIx?J3qiP$i;c^ci=%T2;M8sAQz=* zxC|cVg0Mo}KB2yk>#icKHsOSP6D4H^t2$!ncC%i!2lL#*~;e?IqP7?l;x`Q$E z4*1_`@Pvod?GxUm?kd8&O*rAb>JG|v6#n~67~uoz_6eU=cNO7hO*rA_)E)464*us& z7~u=*_6a|)?lj>S)LlyWqPi;xHw`eNhmOs7P(c-h=c>DuaErRrgj?0^6P~B;B;gS} zF&VZF0nK>Ow+P-P&Y*AI3Ge&xussOxR<}?1Ep<;K{I&@v{EoVlguhmI&>m>+H{3^( z1M8X!@Gwt=zPf$F;p(15c!mil9Ifso;W~8(d0G$uIR;Nyr*5CHMcq||J54y@ZgmIk zdmH?>n=ryV)$J2LtnMnpkDGA9N7NngcohCmm@vY})a?^KuI@D96Y4G{{FJ&Y2v-l1 zrhVO^V+|hm5yBdEmlCd3cbagWx_!c0bteh0$AdodIvY#=mLT9fJm@0?2gMomk)!b5 zhllM!_<*{7!XK)865*>RobWYuCkg+o?w~!GnK@ID*K*5;?-{~VqO+kwCh!b37bu36yr35TdVO-Ne- zgqIQyQ+Ea79y}N}pB9H&-*i_Hb}CURVVAnogx%`)347EX#8$lqLyxOsR;OSn+oKH+)lt|HuK z!U@k;caS$SLXhwvBm(?SN&)x~ZUXo)9_EAaNp)8de$s>!e#wL*3Hjq0{eQ>9c!WPw zw@+9wN5ZQJ3)Srtrqmtep9lI+Ghu{P>h=j|tGkMDjtM7RpzeT28V8U|@Gu{QgVkM1 zIK+h8JP(C`m_M=2f@vVt(9?oz@sb*Bl-)$J2js5?pcC>|Lpwsikrd*2@)S5>AzAp;B$B(7Bp zR2fRaN=unZlQeZv`XenZ6bMbIwTn!q$)pKPk})&Wrdp+b?P_c4prbf_5(k@+^v4oUkUk~xI?_K#JW2Y3#!3Gu@dWArNIXtD z4{seK&!my_EUUp^68Gx09OrM)80l>iPmsPz;z`mWjguB7?&VR!d0Atm zt0kTwT_f>2(qW0$lfFgb6G&_L_A_@U7&(InoQkwo;`O9)iPw>yDe(m9SrU(vUXMd% zWNhns z`YDMgNIxU-I?}@uPm(^Nanc`2JVAOy;&IYTrl>L|O|8BS?B8&pR=oU-L`g0HXTYnO z;LkPM4rF<&6d+EzOyUXBH%PpWbgjgbq;J+Z>02b8AYCW%IO$%AdzBi(`MYqSQY1T7 z)N3koe-#Itiu7v|Pmq2?;&r4?N<2yWV~vykMB)k35sAl1r(ABU_6NXzi36n|nSjdy zeUkffNf9T#LgES1S4+H(bgsmcr1LaRI$z=m(pHJbNjFN|)5U9Welre~f@D}lJzbFd z?{H8Tq<=5*1nGMuUPpSr#FM0-(m3g-C7vMNF7Y_&35k29_&Cl#hXbV`IjW*wDaidR z94rOte@Hw*`fG{Tk)D5rXqfax60ak@K;j9~dWpwLJ0$Lv;tZUhjRU3N<%=as(yF3f zftc`C94rv&GKnWh-yrci(z3*pq^mVfx<=v&(qW0mN%u(HE6^P{AH;zI@$x$)O0q*m zy#g`emvFE^qCl}hV%FOLCx1_uPkbeIm7tAs61nv!^e zbg{%!q}OYl^ahE?Nk1ZSPsFu2Uxp(f;vSrSOxWV2+a#VKeOTft(nmB-`l!U?r03v` za%jL4@er_Y;s}UfWh!-3dIgdUtUwZ6fh2KIu^=iW@p~x_Vx*HLo*>mbY;~l!3tmr} zk$4?xr^FMaS&4gjcjG*#F;Xr-ASXe(R^uQl?8NUs;b1vP|5@S*(tQ%IBmIWPNxvy^ zPu_l>7PavIK zqw0_%y-ea0NT*1=p7e5w*O6W!@dW9sB<__3*;Q=cYIj9<;Qi%?a8R$Lk4ijAx<})r zhc!-_3@32@D}9~xKO~+Y{k6pFNYB1f<((u=NW6~p9Em4L&y{$b^d^ZXl@R1J}hys zY+X3d<3QO+$d0m+bG@J>pApoQZ4XYrgo9-x{j$Upq+gYI9qD5dPm&(gIO*dOPmq33 z;&IZKO%pvEIs1VTliQ);KKM}a5b`++#wn7lUD=5JH%2JkQr zYLN61i6=31a_C;hAGwg&eBdjbc_Npc1*2Q)YVr!SWj zanh?Lo+M3a+|>9ooKMFQsIh@`ji;Czla0x(8WTw4V2w!|C7vLCqr_9Bw`-iVQ{r*b zJreg?i|1d_*GYdU@g(VCjhnLX!}$RmC_Bmb)#bap&1JG5QCKNX`ri^yl0K_(!#jfW z<2aBN$$zTLepY1vjlxQC(%(uvLHaw1*O5Li@p{tpu97w*t&?~?>G=|`BYly?6QuPL zkCUD?L(-C-E%AENgv9Ge&yje7^jwL@NjFP8X{y$Y{N~|6)ks#U=&~!omBs;-WVwni zy8|RIQIq^e@OMaZl8>t>pL0+li&N%!Ml5yjyFuTlE_*u^;L@i^&~c<&stlBRgf?-S~J>RwW&g~L4xa{tv*YSIUAK%pcrsOU11i7K74;IEt4#c6TzZ?j>?LkR zK^CZj;T|sNLWw6x7fZa3^md6SNi!NJ?UZHQM-H1~R(=W#$SBp*~!Z@NtV zV&b4?PNcOGSI^bRecN(^G_83+RA|I+6Asz~=`4vSNV`re0IYIiU;9jmc zt*D7}6mK~}TBqXv92ln+(=AcF;x%$O+Hsd8r0f3YF-D~pmi!< zij%%vSp~od^gg%C(Ppafze{lk*FH>CY zt3a3@ZSzTx&q?N5Mk2tHKC=pTa@8 zq)$scLHc_YFDFP}5Ij!$x*Aax=^Pa=#Yy`#4i**I|6Q!G)V-uraMZ+>l1!86wIB;6 z`bH4RAa$?F-~wPwsP*gT(Z)Qud`5O8l3g3KyHTNSCOa^g-14~6kAqbu9h7*2bd!pg z6Qr93kCQ&GaneH?Cw*GuChz~Fjs|{)=P7&ejG=iP(lGG3!^wD7&OA?Oa6Sq6Asprj zKZEnxyytP4XYLHnr{!+PVV;6BIG=6hQ*r!9WADJh=Vkf)EB~?B#W)P#_wlUMQap2H z9(6J}pDW|DNIbtlgO_P)&UyMTc#h_}3*~VbJ_e&%V*F1)d`^YuhCeEOJ)Ww#7{_!R zDI6v}pGV;%2xFz^hwwjyTbPyj=Af=Ow)1OW@&MA#=ybr030<)i}&e7lZS5$&YZD+aLz#4UE^}FgGR)&RYtfz+r9` z7@V8@=VDvhY~CB3d+kFw%pSVIx&8eE9A=B#;M}ubh{Nnl8=M=>U%+8DlMT*qCi8Qp zJReQ3+}(>~Ysu`|ne^OQ8^mFD%nZ(5tphmBZk55gSF<07*@H3o)mWas4hL7!D{yel zydHm0GKUZLXgTt(>49+h*{v&XnkEVxZ=-R)CV=oR) zK~1QHo9q8aZ4J!m>BB#klDiH6^Cx_*uEzfdoA}@J!HJ75^-L z<2ZbTt3ytTna>%q4BllQ?klOcGc=MJ93a#Q8G_6JO9?3$D7dzUv+tLwbMrH!o1aUN zKx2q1;f$3^lHsp#)YfqFZ3kmfjey0Xy4J4ZcfhW~XQEc}dja@h7XlZU4788hSY~h~XX2{< zzO`_E$m^<2c{z3`kPSGLZRi}-g}~3-f!8C6Z9kHVWqu6269W8rl71Za!xj?zaqG@Z zxmaaT^{VMa%+}$IAzv81q_#$>12I3$xT9-`XMWv{R{tMR(Q4=)yYj<;2%m)X(v{Nw zwKcS1U%H8X!{bTD&d{Z0+Fi_%iv(f}ab(!$$+`@Px#CN`!sCG~m1;gn zotG-QguNb*NwpD(Y%3V#K_I&!kTPp)qD%OF@NB~&mO$~p#o1m9xeyr~wUA{%xO|YD zl~1XX^M@G2TM*fW!_WEiID5`Qp2S%mYV&!{#@UF4T!XV#n3K;l1f&z?L1NVF6-t`< zA;$1g<}*eKzXqPEUOu#1oakWkd5Y3Ko{P+7MGX)B1AQ#z@kDE5DtJ1PfN7*H{H#nq z*MX$^Bv8`nf5WC%f0>p1YGs;LR)}jNM zaW}vZbw0eb6 zfW^cSgyV*cAhftoSl4;No<9jHJ%0;gEWI73p)3sawc46(_??8q4jlD3*2$=M1i3<7 zC~yQ}-zg)=e}=Bg>1@dAM3kv(Yo_BDiFAO0sE`5ACd7n?Wp;V~7Cierp6GnoW1sYR z4&aRAu^&v|yA_c1y}WivYs2UJERgL`oAPD9C?12cG2vmoW_j041+^pE{XXB7|zt9x~gl)m^rbV?o z6PUK(JW|@ieCI;G&!GMzH?I8xZ80)RTQINl&yK|oLMwEdN+U=Ucutypm?*X!$OPo> z_t!{cyT1 z>cr*7qAo_!*{9fn7WHFjOf2da)X)v=k+y(GdZdjm0}xu>Fz8rTcNa<_R<{k@t~gp< z5{FnFOXUVy9q$x~)vX5uV}3AJ_cK6#5AaRLoj9|SfLV$cH<(b)h$NVq3}-tw^4Dm> zIhIAO1TqnY5^IT`?YtK}o2*oy2eQLLjsn?lA=l&P+cwylZ_l3xGJ-ORtV>Pd6eY&+ z6nHqt7M^J9JsX(UslyqXJrImv@M8uF*WM9#chI z8_huMdKG}!_4)x2yB041@k?mDQd*Ffa1P4xN~@Ho+bc`c#`eku^Nm+dLt*Z)%EJ?S zImPn{WbFld zC7+WJuPopU%@qj7E0^Mmc%^)R$;^!mtL1T#lJF!f$|Avdr8`>PMFm68zJ-4TNXkM! z2ZX)9&+|PX*I39&ARQKRF;vxSA=d#}XCcEtHe1L8K<=}Uqd<0B$OSKs#SU1=UjrGj zkX1mQvyd%7>R|?cExrn*(L#O;q{Bj{qcPdP_^GZ3vcW=nfo!pmjX>(qclfD3!&DYB z0%W^|yo`oyl{p2(Zwuqg!b5vLfEKn_{-?{A%}!T0iX^>Ow2`T`@HEzxx{?ZNL*24%6d6roa_oM#$$=u5$tBDBKPGh`$e`?kk*pI4UM^pgBhM_au16^7nUQ z)PwOMpOGJrEYFzJ!zVmGuq4>@- z701e>umtg)xset`-MZY*3HZOj$!DT=m^ovG} z-QpMAv1Q5pVElsPocM+JIaq5b+=$lMWLhv%(y7i)A41M^t~5Oxb?a-x#D3`Um=X4Q zAlprORCQj74*K}3O+J?a^Bc(IGvDJe`E&u9E~0@((Elv!z? z7j4*UQ(_F$0rQzg;epGjn7ZV}I>2M=xdgCq;8xsM>{-(R?efq!h_Bj)^CDQ#1Zgp z^?11AaCzcb^Bf$7$Mk-`MpEhh^k+k@zAx0X`C?3w6s=|zkV1L3TAp$Pklj##@7+Bt zvxU412xsR$&!>U3!nAzkn?TwuIASjZQE9I}uf zFqKuWUjy;$W&BilXk$y!jFT<>oi0CRru4z%)5Idgp4~?K3Hbi)G2?^(mX5_9w#vhk z$8k);NQ0`O%&)~*>jwJZS}=$Yz7_Sl-C?2+?!*C8L_V}tH!HPg1s?Ii-QcnH!%TmP z;}U3|9#dO04emh?u>*bZ=bjHfhBG%PA4Hcc{>4W{SwlYOZTeu%|MsrYRCK2h0$JAvE9%AR z7Z23b=sT*jF|)YAyJjNvi#MQu5x;0ON-~!frC)HJFMd&ZFZVe3#`25h(7Zo3QTukF zUtEt>koZOAT_f%~%Ggv-6*z%@u?6cU@r%lPxmTe)zF$0uHuZyP)2+(JsAvD0iJi{A zj_vjIB-38!py=-S&h~oGrKY{o5haqo}T)y2caJZ*+S4 zvF|vV1pA;d(GOu{d;`c7Sf*c|p90xvA^c}vCoJSLARU^36x#;ph>nM#i0`axsTKJZ@d6lMj2X2Rx7a4tSu(P@u>C((}06LRaOKz1B8N*qOSvW)q}hK^6$c zE8mSPey{Zq*2;Fc39UTcSOW5l3PtcNF+7Uln?N>O$a6rpTgcTIRCig(3Ltwe_z6$rqETjyi4l^|0!aoJ1!$N)l+7hfo!ml z13)%eNDZ{Q#X@EQ@wBQmb{i01hsK+Phb_pv6=zz?Ki%U+SIT(GpJ0}oELl9Er|d#2 zil=<4)?olZPg#nZil>Z3+6z5}W4Cxp5~*+!3iN_C$VbMVhak(%ie7LX){HXle8FWv z9`u6gm~V&|Jc~1O`@wj@KFOE?DPJ_|YLO6=}h z$dy12TgYM{$1J1(`Fn&It1Cp|k9|758A!noiK5QW~fjny=uLrUjvr0ebwLqpPgUH8#G+M}`Kw2&2 zDInV|{|`%{GHR z<2aZ=DQT2=>LZeeuJrB(w7Yos6$!MklMlU{ zcZbeHn$cFZJ5eba2YJV6hL+%yUm|)1c5|c$n2GX4kAr`NAn5_la=Q`a!5$!q0!t5& zg49cWA&8kC;3=;M;N?#`1zHRp?@Vf|L!MEg1fD&HM={(BWWR-c3&=qW`EMXcE#wuD ze#}Cy19H+r$}AzOB7R{kQ%Xq9cjB@g#$%a{NJSV+rk{9ORUi(1zKvSi)|A zFyKHimhdVt_%otcrUEP~x(AxCzjSm9~r?#Yx{)6bC|ZVzdih%#dk@Oc`K;><#t=fIT&nbVWYmS&_> zxA~_(sZ?6lup=ww$l_j;m2=~WBde_nS?e8HiyT>vj;!Y#S$nIHwb7BqN8zkmG@Ymk zA&om7S<}&2W9e|SBa0tMv1M@%Xd#X42`r@fwkl+8b!0t6jyHyCaK6D5)yj z#x_URh$HKOBWtrGYaKjhELqzfS;rh%2OU{k99f&IkhRm1b<&Y_)RDE#k;P}x$Ew$E zN7e~P)?r81J&vqBRmj@w$eQ5TAqP6kS{nB`vbI%W8~Ytu6CGK6`q7rP-;uSy3Rwpo zSqB^)?sjyz&XEzE^}vx+`y#F2H4Ba3f@*|JVJvNlyA>$oFp zjw5TjBkQCiYg-kvPCByoJMFcrCS0#!N7hNNJeB*X=Nws6oqA31^3mgI<6%b@--r`E zSC++_U?{df=FXbp$f|c_9dcw%s6rO+*4VOMaCFGK6}D~caAffvgt6*X@5qWf^*ZUu z+U3aN4*6KJCOWc`j;uOIhX);5FH|8b>By>cWbvsFTZelbS?j8hHPw+-@5p+=k+s*6 zwW$hODM!{p$2KrE4%KVDBWq$6{b7qE>$oF}PjcBh-0H}>uL@an99ew(#mc#9zawjt zBa3f9jAe(599f4QS^FGW8y#6os*u&@$U5Z6+Uv;L;K<@G!dUfM?#P+<;RAGmmj;vNkR?3m}tRrh@75!naBdf)c#rwLJwKN`gWUa44R>6_=tkYg2PJ7+w z$U0F)dks6X`0Te;i>BjFy>^79H}CXHSb6+f@5p+=(cvyf*03XM2a2als8^A0&d)hH z?{so5IXQ2rBIjqFoOd`m7o42)Ue1;Ev(eEHpAFMBm6dqYUPsmjN7i#y$lC14n&`+n z=*ZgQ$Vyew?pqyMNk`UUN7g-#tlO%Pwat+=!I5>qk+s>8HK7Vw+Z|cc9a+a5S=$|1 z+p3VY(~&jBk#*FOwat-Ls6y6mN7htF)`%nPK1UYceG}`gZ1Z~^S(6-Dha6d39a*ha z$lCA7;^VQlf9-Z;t#f1@_WaB2I=VTZbb5*HPR@BJ=MFFD${IW9)S}L*1@G%w^=jPc z$l`l{!c$q+VMkWnk+sK>wce3+qKbNrII`*;S^FJXn;cnnqt#1)z3Oi;yO6!^QodL$ z7vu{=7(jJsN#U7ERi0$UdjU6qXVPVQKOFzmJu}>$$*SFY6aZ^9LEfzH_6WkvZ%3+o zyi~@1L>5xjJPIDRiXTqx{zbi?K_JF^6FZyCjx;^i4ZO!O;U{Ki`y`6~YKMvUCVoBD z>_~qPXLeRIpw=mK=gysoD{utV%f1dMrg&DYSTSidp7~2VrYv7Fm;6eHHE6g{m?1nX z>PF*1c}y}X4@+j}W$rvCQB+KvP-b4Y%dKV2=M}#^kv!~>#^7n4%U1I9!AT&9tkxA1 zfK}ol`|oh8$GS{Cqp91rk?}RtqXS~TR z3T#Zi1t&bL7i(vS@%UFOl41gneC#~T8U3qcmhrFK3~W*QSNyo~uP-Af+uzAd|N1)I zj`mSo!>6}gfo%6hfTi6VaR#M_0^5D%6w~f4p{sJrcE1jXv^yR-h>*p0zZZwJ`*o2# zZ1;LNPNjA?ZMxNK(?T&4X@_XPlXw#j#O)Kg7UEq1a@Th8h7{~}}S`))l zlnVK0AYOZUmLNG(tNaS&C5qGhoSG$|IuSwjw4Nn=6}oW)E#YfFH^dXk$Q24i*1M|zS~ zU0o49$=8tm4ya$*k6YYWrZ6MjoW{_JK*dnkcxM8sj+#^)@tJdM>Z^1?HM{0p`#KtxtMYN6eLO)_-)9gxVskE^V;t(5K4Af<#jr|(3#K!2y zE)Q+2Z44Wu{WL*2@+=MKB6cwL!}~;HKlmzTvE+AGU^k=864mb1p`8cMOq;SLmHkBV z=r4{g0gsF_dn}L30GU2D z=!5Hl?Di11y`Dx5CsUvo#?xM2nQM|>`e5X7oGkR(%Y6ooylk(3@!IP+RDcH-LxJse zi`QO1!N%O zd_IzgK3EvV2aWx_5j7V7VmUsD_VR;iuM}oPEXAMeUj^i$Y^=tuH>I+@mjCtG{`C-U z-bkPM4Ww}UI<{BKRmQ(wi!(P+&v&92qURN0U=97?)ZKd~=MWY$XM@pyCg&dHVZ*{n$jGu)tdu<- ze=i}0*pJ^%CT7~pljZjOF*A01`FMKX<r{)GwF&^N#eCBc0#nv#oCUl%(J1NH00kTO8?oPm}cBj`WR=^d*k;6OQ!t zr&Ra7j`Yor^bSWl)oyEk<0(ns?nobYq+jDm=hq-@>6=eUI=>gE^U?RV);ZGWIMNUL z()C{Mo!-o$Cwphk^ut1X2eSQyeBbFQ^_BDy09`UXdO zrz4&FNS0SNzt@qz^OU5occkCuNKZP_*E!O6pOW-$NBSH`I@d*Z-A};3>~`OKO48Rk z(w95ZCpppwoVxEnCF#A6^j1eY_xtU-#~tYhPf7Z)BfZ0sKGBiB%#nWhl%#h$(ywu( zbIE1b{gBh{Bc~+2tdsQC4nz~IZSLT_u(bz@}_fPC#c16yI zCFos8|9|4-2E5I+&tRWq@5}WYJt~XcK)gLhg?t0Z2HZj5&UWO^$zRYmSfGzUe_oku zt^r~jqw~LPB6P@ne&p4QJ3@E`SntX6nhD3jbDyd^d8n6=Ed9TA4g%&ZOlD%gL8@Ue z+sI}IxyM1aJIF2v+3O$&9OSTr9CMJ94#H=WrOeu!@a|ctXKUJpnp%Bb<8YX#fo)>( zG*z(!)4*L&JJojM1!f-An0KVV;G}8^r<%3Ic#4-#Z#bW(`j=8Hi1xO01sAmv_4j}t=K7wR{40m*N^G!EF)&t=Nv%0&aQhfl(F)P)l zfYbx=b3VXS2+V^=EOrFQ4sFl)Z)iP3xg5|%s#i~Ho?n2+%&a6;?aY|>-z5aO9LR1& zSyMq?3&gatAniaH{90@Pa!l(`czgjWFBh9rKf1wc+%`ILb)TKT*i$Z`wW2E?o{Z~!6P#eqvw-AOfMn%@E@=rw)FD~Am(XR;aLKN!Pn|tK!&XnZUQn1h}4wN5#=*o zs#oV;zT~sV$>$J}`e4q#0B8l|UGz?xKx1tNn6c8#BQ3oMj2O1CiOX zX|Dkw6Rn�NGu1=3<<)w~q>qi~^cEqD@EKDPk52SR+!7l6=yeB^I|m}i@D0pXv3F!;6j z3XsIDrq{}3R&?jvR>wA4vVO``mW@3RWG}Mxz48*=ZJusPZv2q=m3IIEHGMi5wTi%Ef9H% z+i3OgffTGV-veZ`C2KbjGv5$d-vx3U`teKn3=o#t*VxN2{WuB4=a~$IGc+HW4P?Yh zl>yQ@+31-y>IY(v4(|hEkIVlEWFmOa!GY`$9tM&E^=;!vK;&&xQ}^eA%&}yhkDGg@ zHh$}GKBR!IoI zAo8Z9(P2VA^yio7A|Mkzjj^m(1379THvriN#J84yAn$>#LY!{FcLLdN)%^oN+Hl>k z#RJU8;@Jm8-cdCr{62ZSwqSXF3gm!Qujhg6yfmn>mt2e954^tpGy~b~rOI?=^LX4X zw%KaICE(eNRKCAwfOMjoeocpg?Dg_tdA0)Swe;i8>mCHpa;v6~0HLaUS>IvKRz637 zTm!_Ho|uDLST(&Eh}p>jE5d9bo2-1U0|I9WXg&*Mg2nR|AolEHGY~?)^v?sady=mM zp?CXjdJIUXRpzti%3RdX=W-y6CI{PV4vegoaQe+H;6>U!|(u*#eRlDCi& zbGDH80!diNCxJ|~>h&!ky_O~X0LWCN^2=O150;5jLGXl`vY+Kvs>{K1*phV(5Pzk> zx?B%rx0Pxo5C-28J`BX3M?K6`lY<)j4v@Mtf|~z15DplAK4;8_r&v6bfxt}z{ZAth zyXSuckd2oHWtD)~W7FG#9JF}u22u+yU;4d3_F2+*09kJ#j{r#`8UA!D|2q)#W(Qai zUI4PrN_B3l^y-o-lU+GDR6?08tzH41-Cz(N=CcS$r`7J=KqMq%eQJNK#sD5&3!WDM z`xgFwAhvBh2xP0JjlDqXtuj9WO*_?6gw7 z9>^S|IMYit2*muaRmtb=KxFSUsdN4~kPTKo4**FS9oD=AxuM#j@OAJI^;`J}5C$JP z0c3b`u*_#H#AtPHP=^-+nSfNjR%ZZ7oEdDdIY34%jkN(`@MYy4WCM`Rmh=x3v1S>VBuCiKL^htq>?g|XAO8pES}oyq^Fg9Sk{Yyj9Ai} zfXp#^CQEDqkSP|=tw8o#Jl#Mz7Mu-!5Cqo}-T|In7SHE^9JKQJS0Fnl2V3VUAk6Y? zA^1IS5$w=H?3^>1Y_XUdDiy4puSTl9R(rJrX|ZIj0x}6X`nWK~3S}f+-Twvvf`%2gy>l-STGFfbI^K1Zbf_Nvb`TUJ|QXA9j_EL7JR4&X( zH<+yKas*3Qu}qxM>4J<^oHMKUdrC!Z?{NR1|d7uD?>J9#?YmL08#V_t8x|IdAE1Lde~{%}RoA=U*UZG^A4 zy7dXO%%?P4r(u@H!9s3e!O|OUYR{yb<%{5LFpB;h8ny_HdPQ9v(79FXvC4@;RLp*i zvJK_3-3!`Q_m5GBCbj#ppzQ|Dd4q3X;p(PmWmjkW^4XR7+)`xT-uLF5R?%pQTD(NB z=RSu{$(>I#2!3-L<=lfMwMf7^Hid?D( ziO$RN7pXDV-7B+QcP<`)qxEFFavg(h+4&lr+np@{j+t|hN&WAeutE)&c<-n^jLqtL zu6;eShp}0_9>#JXn`c&6p}b@eo*eA?g2tC_v=ao4G-$>y-x#WhG8|h}lx?-lUsxWn z*+x@p1iPcy2%aeAMzDDb4)kdeY@>JMZ43L*Iie$NyP=`6Eh~m%YS_`&pIeNHPk(!J zW&w_HXB#1HlrDXIqWrGWvWEzf6>TDtH)IzPJW>5l1Y4E%0k%s0&-fJLnx43PSE1mw zS42k;s}$$99Q`(ZS6q(t`h-lvTDu zwH6%JLAkX!ef?9R;PfpKlaglnkKHhlzL3@GD{4Sx69wiC`chNhKzDA~ot5j$janyO|TrO3^+tARR>&ce$r6q%FRPIZ#jvwe+TQT#7 znL5FuzE!>BO92s5sxf6W24qy1Bt1(fY0vf-umnOaDpnyqtFNd^e)B+nt+Z!l-j=?i zl%PuHh2?y{wTvPRkCAgjb6-*Z8Az+NfGW~hiBNPak(A9!<`r#P0%=i*3?z^g;kL-VpOaym6~knmRZmzdl$;0Il)*sIMkmlEm+x& zeMWl}s3P;(#av0-i6vzGIi(ws)lKDouOkZUyo%DKn~;b2s;*Uqx{kkiGgHV~n~vA8 zN{3of|H|=anx^{N%d4>GTFP~s4y=3p88x&-l&*yRpnfh@uqQPBEYmFoz48fca7jJ^ zzpAEDPFF_F-MQ6$T{*YYsHPC?2HEM}oi6tm8xFb56xFBEDa@M&Xb$=jSpr}oG zTLWW>Qj=zXV9kB0<`0$!jGOwS zgU5wcDkl`hdAKt>pzSMyEv4BO42)9Zbc!6Cfqwn!8<@#Wjsis%&s!NTM zRNBa{n00ioQ?UY-x}Q-J*j7-HaXf7g6ADkVD&`B`aVy1By=efN=q6{O*&uKZxptSM^Ri`gEsO74f#xBGzda*ax zZK{tAb=-go+o4X^RODkXm2zz?mIe!zE;gx$9`#kXz+6xubs=g&qJ?AQWiGZiRvS&Z znWP)~xT9#6v(C7!GfK}KRJ(~|@}*?qRblr{TD`G^+j14F<*h&~sbx%t2w&0Fi0};e z$q>&*e7lNdQhrm#%5OpY%uIK`^Pq<=lD}Y2&-6A#l={M33vMCO+(}r-Ct8YaxuJRG zo*qoS#uhY-sq)!XRj|%34^+>bPIX~{JjATc*7Rs9RHauVu&)E@jH*#;XpE-+iczXwaVVY$Nf7WU| ziH6+m!c^SNAMLB3K;7g2(ndt`sr>3y`?BgSEU#apgAawA;Yq%o7? zK%Z#Zw^1sy7*)@f28X(HQIivK$PjBGYGxPB88ywT#97IvL(=>ED|Q?%XO(%%@-^+; zDwGZ@9m-c0v*0$jBx&A8k6ynQq4Fl(AsF7#bROm%Md=P}WvxfBR#H)zbriivuvVMv z7@7~~98!HqpIY~s?zICMd?q^64ZG%(_k3($L+lGQRLbMQcCy4W`gwhQVJOGx4L{Ss zYnhCC8adOS>mM9ii}OORZ)Qt#W(Yesn9}2^c|4v^4~k{hWQ!RJm@zXoJ0)TVGpq80 zE3UPG4gW3f}2|XR}1us{q8`9 zRhGx{XQ(A&xe%M7)G(vDscB}De&*QIt%ws9$1=1K%TDK|hq z-yle*GMTR7;q1!3)#(Pri+!0c>^~PX+{%NJahDPNef}nM2Cu|2fa7DvOF* zJ8llVexXVgRlOA*_QtPSeM_+d73x?PdPZ9+dh5U%JbjZ{nk$z163v=i_Rbl2^h8dtltFdPZYk%6 z){2jXlos5G_A3>7Aw{(zvQ)0^tI~dZ#M(n*@h(Qhg$yz(6jgUp z6jL$ziT+Jz$9I}CnSuUsRLeAcltxEydU*wvYMeC=dGeZ6S1(>X>B9U1{X`%7EDo=n z17!w#Sii12GhKuI{e2~MVZ}{B1J;urn|mmSck|FisbSiBXQ<*%A1%Q4A^$Z1UhB`^ zF*uYdmRA~8VZv7T-ge3~@O?1k(^GC7mwGZP@4i6Z%3r0S z=|OC4$n6w$HGGQ4l z{vX~Q^g3(b=VdVAV3#iyyU~YddIpEOP!p-Nsw`K0MrfvQ5D%=##bvT$jIkTKaj?6b z&n?Ohbmwz(l^;e~wMi+(6D8`--+80WbS$Q>>O~^s`H_B>hBHJAF8Kc%ffgNQbTK2P zv2Pew!Ey$>+jpP;r0tsGazE7c!me@JJrjq>Y-S~IC*eyGrLNu>?U^ssV79cTA(K|` zH=53@r<@*-NooCJ-h|Iv>GGk$FkW}f?e2#67U#66CJZ6klnVjf1>YJp3l=;eV^&}p z)L$$^FJh!m3=IX&TPWV}Z}&B_ND_Z4=r1(TpD>B2e&8_0QBRcyZm~fTp{`BD!5z@^ z3>K{}$F6|hEDg4`c0Sor=os{d1ndbYjo71>>95Qvr5Yu>DwkQAElM|9lyND8)$yu< z{@j4{#mY$7uV@pIy2-+|9UZt)zF3`-IoG^}XBr-9=JN9e#xgx~_4+aGls)C9@#s0T zcy5Q+M#cq@XR!aUdwe|c?cALa`{^*Nem0Uljf=3IQ74Q3q(n8ivqqn7_N%n%j@6K; zk(jpHe?gvV0%`hsQ}18}=36sLc14=ovP`e{)=q~v>C_iV{O-hSpK!Y(AFF3HgB&y>mKxRA%?&8medi^q^p08S1K>cZeO+4K0;KIT25oqk7x|fp?pVhQ}d! zmt5LKK6_y}QCfGFr5M8vZ4X!#dRog=(DW@;H@zBu{k}BHZ>9X<5i4V@uNUy0T-Q*% zRZ;j82WmoCaa|N1DcpywRA~z3pHrb8aq!^4`9^Dk7O^)d9)LMrX%Y$Ya#__;z1iwpneaEyozYvTv zMtTq~NI%*~o3bXldAvT(4~_7)tyFB)@Gy2(hl+y(n2VOyW>#bO2uqXTK?R!!EjJ@OMPY2q0Zz`4v?_nv+sk|i> zZCDkzS1XRls-(`WOy!Pd(qp?>%V9CoF&H?31`X#Z8xGav10F}mq4pcKv#PuVFe`eP ztZ$W`!CJQ;l>hQm5y5&{hH7eyvU)oosv9U5rAtt|N4P`bPTeDC0`vrF{(#vkAr(f( pNRyr2i#;j46Ib+C-*)e)a@600GX29E^&MH|BzEUwOgO^t|3CO!!I%I5 literal 0 HcmV?d00001 diff --git a/fdmdv2/pa_enum/Release/pa_enum.exe b/fdmdv2/pa_enum/Release/pa_enum.exe new file mode 100644 index 0000000000000000000000000000000000000000..358271d6cf8bdfc884b947b13fc5954691a7c2b9 GIT binary patch literal 104960 zcmeFae|*(*|3CiRc3yR=(Xd!qERGDzRhMD0IBC@|msN`{4M}wsLvmtVU99V@bi5kF z5JDxFE`_eE5W?8(6d?(rm<+Gu45K89eeU;3)jdv3Sw@q9j>k6+Kn zJ!n$LzOB@ z@~V&5Mb34#HLC6@-I9_IRt>=1oupKKg|$sJOvFc_{+!Nx&$H={c4>5_=%G*o`mS+ z9|uH#crFLfOAp3Bkmo;s{597QGlT!ewQVPgp9<=oV)SYs!k2118gkpxYx{tMLF#Nzj88eRjSyz@;0TMGpj)|l=-HrhPH$Xd}#|kjednfYSqx~ z0A336j2o;40XWy)t<=IilxEdVKg+oqHM@tGENM>e?MelkTAaY0kbE&)D9F2z>?n8^ zDi%CG=QYM_Qgfe|jb(K;NYZ)N2dpDI2R`!rI5+3U+BfCAMKXC`Q#T65m3|!=@ z*4EZ)E;1LG84n=b47=54#Iz&{57?cfshLN!=^IMr~GY_gVJ= z4yX;*r;lCxiboBq0c+r#K~PW|$QT5}Yo~u2eX4qpMZ};%y@^<#Ae6$L8;sDv1cYP- zG!faleiaOCjXqL6;G@X3v^9aN8@URE>nxa2c|9n=$p+z!Og1Q*?V?5#g&ygi89mM~ zFgduUH8QYmn^y6uwDoS=rakd0+Gc$;y{k4evg_B@R_9n`3PpW`$|U+W*qi~QsI9ff zX2i*v@k?uK49|oU`~(;8UAP@|Yg-$I-@DK;_3F4h)#6vq9kx;<;jfA8&q2U2u(N;+ zHhY0SfM_og2>Mn3!SH~+UmEgm*4n@TppK!4b4A3lq&-R(3*A@ygHmt3yx>l{)NLgQ22b(j|kOdo^Eu^i_ ztgAk6)Xe5exW%6D!%$P4a4QU;j{mW3obz zpj0$?5jh=lLXMtWZqf`|U?|t;oMq~MQBWWP3->b$ilpu;@M{HW9VocL|q(R3lt;1_{j1wIb zKry+8(NWe;$GHEj;}WC8NP~_(T1TqUF;#Q~prgE}(V^E))LmCiH9EZe=*KUA)BP~g zpyLJ98KkcPXVzE3=&={oL8Kck%xgK$JT%%Ugc?Zt3R%F~33C@HT~V6y${1yIBih`q z=(dsZwpC~=)*Z_A>9&*NZS&Ela|1ebTd#OqMW`Xdd8c|(H5Qc&yVRFOi}Em*5#p?1 zea6^Gw>e6oef0Uv?A34{GJ8G1!j_x3CUmbnuOAY3wXYgD$WL9kafZ%ay^v(lb@@K$ zTIf8_UTKI{`sRVwxd6C<4S{ZUrLIqE^?QZFIo&k*q;3!M7ETHc^G>3=laB-MVIT-q zYH?MSzK4uzuSn9XkOyOF9Nl{7%`kbjQTZhzJTM&aN!=b!xx@?0R)gs`{1?- z%sD#bEuh0G_2@8bYe^LFWkQ`}G-sgLWQyr1Hkx7@iknR_6~#@aNGms*qKDFgkcMQK zz}asImT0@gH?C^h_L;xtUq4uNpVb-rJu`{eJ$A!@g*$0Qi7x}bP6te*&jG!FTHc|w z)#EF}ob8-H8-bi$(TF_U$$n+=a8sONb;fl>rzAzJs!Dz09*Q;uL z3+WK)L{+6Wj268=3&JXW3m}IdS}+NdGZ;9xhSGh`+Yh4)<&aNdO~*jkT*F=D^SWdu za14Z9Kr0{t^0i?=oo?hK!}X`C%vTN_-Y%$tSyPcY$LAac<`Gphd}VAe;{s8J2J|f* z4I^XS`GywM_!cF0XQgimP1Jr@h*qbeXZ}3`w*B*J>9k0LZ~zUUa-QaW1z;lFsKFi z!)h~A*YBY@p@v|Cmol!S5=@I>wNAHs{Yb~KUwb|5H$m`ck|pfVGQej5tR*rhaX0Z&QgusHN|F=}?dbb0{W zB?xn}pewAno`~bZe($H))G&-ESZj-oUAWh4lFedeA*8-JhB_2`4$ zPbCPwwgaIHexK04YR9aBJCTMNX6$)6)ueHz1x(#;px%gKS@h7I=YT7;g4e|iRB!?M zCYkqH1#ktvLP`*Cxn9$k?ZA65nsflVQ@8LfHGKIUXY8xj>f}P}|6#^vxkCTDjE&8D z%@`b*ZWbq_SMDTU<7;9k(|yi?=olsh2UUL9{~I|WEc0zbmD5$~gGjm+)6Im?dL)F+ zHQboQ^*oDQosVX?wSeq7W|*{)9o~QA0qw;J2!=Bed)H8d9n_J*riih+H-PrvJzy>; zmmVs5DV)t=!cVwU6Y`;I_87(g_<#>js!WfbKNn`%lFdgQ{#)@46+qkyC zz5~!TWI-wToJX)n1zj=ZcsV(^)8Y@%!oK!|tLU-=09`L(N>4`BO{DP@5r%+0(hu>` z?)OkIOs|H}SP&1T-)nr2BnGk4$CM@MIS`5O1vIa=wuON*`Kjo=1Zp@^eYKc&=DQWd%4Mr$FhLFdSe>ee|Ib&BG+$- zvJ+h@=ZpiD=N9BH^0L73WtU;#2w%!UN~pP>(SXXK67KEJ;R40*dR*`Fw5aky&T5!^13XYm;Xr2%Nk!6J&de-3fnK_r4Lmt z1MbXaM$Pr*kOEGB@?KDQd^O;AhI0!T&!|#n)L*Bf{dZ^7Ipq2c8P!kIO@J*kxS^gs z*)?=FH|W~r*}MyDN`Y9ZJXV?^rK;H#C~++Q6T80f>`K%YBU8h(=b&WfsJSlf-SbFW z;wzz#{&f}UH^!*AXEORYOBzn*o53~5aJ-vqa64nT-GZySmDlRnDi(xi^NQNg5x0q> z2Yw#{tyOntlBxtleRfuiia1RL+j?u;3V<*H7%iK-I zy-e}QIh~b73A22GuN0c~EI$g;LMt3-N=VML=Al|?_+Z_ssM>du20kl@jsJ4g+l+rs z;4mY#B}+p+{%yoUw(ia+&}9$h;^DPNn@J!?CILO8oW6%dcScbn5hlcD6#Aiq86`GC z*J56PpB|-~-Q~a1SHNDNPX|F4Mra`=;sdiii(33-$Rh=EV86RDG*@0>R*p@FY6od< zvvO!MSvl?oRlAjg>oQl4@LdotE62s$oyEtwUODb8L;LR@=M%~G8^-xiE&YF4ISysl zR&eyOHv`}k}iDBEFpbF3a|7s>4L>q3^Gco5Wr1S~Y_Zw&8?x4kvEDM>u?i@6cmP=P&yI5~}u6HoBvyC--t~Mo(eP5=UL8@bA8+s&|hCxW0t%XJ>Z?L z2M^Z5G&iPPm(1L`ai+4LZTHu6DFvNg2r2F?IS@KA2Jh5k5bF&y^oqYQD!=#U!s+Dt z4P)?dE&YF)C4Yc~(2DKSn{K#e?)Dun+KtX(megKBU%qBvW3!~@0rq)Fu$Qvt#(4m? zscqxzu7)jLwS+ct4w8Q#v!vZahvu=wI4|?*SpfdGxFyEDH>lTfuJla>A?~0P%i+N( zokr`qbER)Fdzy=9Ft=)Z_1rmgDsbG-1lo>>G)O`BDG<%+kfJX=k)ZL>;9eN>7NQRL zWNapN8P7zGs;6%QgsD#e+8T@df_E%1CYHyoogvmD0K~et4L8_JDam#2Mr`o*;#|0u zqZEQ;TaCVx_U+tLu#jO1T1tKHR-?wZnwDb_E#YRe(pQTrKXhZ*45Hf(@R_vDEG@v(#q3fb&SgkZZ#c)}|zQzWT<^lFvNU)c(=Ek}C3i<(*du}y4 z7(}O$e;<7PUh7_=xuwXxt>T( zyq@^>^7i(1BoZDfhEMg?&`={bf1hIK?IG5km|aU@C>@{giv8viZEazPVq+WGGR%~i zMIMKdv00?jr{_QPcs#TskC@#2F!?ud7OC;+jW*_)(hz2m6sY1-9Nizn<{EBu!J^6J zg5~pZ&;bJ}Mc=kurjO|`H4cUp#DqIi=Lu{KF^fE}GZi*`aWUF{_YAU%T)$xknWv@e zTbBF2%|*Y))()K!NbT|H66`L8Z=4<6nDJg?o12w|>@<2i}ZPwYS3 z;xl&u(-;jfwcf17SJN%`P<;G4E}tXo^^4H{yIu1DxqgFd{-&i@==%c|Fo7Sl!#AZl zf}hReChEcw|6&+396&~sHkU2=(rL4Jw^nuCjIV8oVQ#9&9 z>R$8H5O?qSEv)WfI(3B=?^T$}(n8ye_{S)$$X945>H7yG62^OM1Rb`Yj_eb(-(80* zec7xcd$XVqBPfR^7n<9QAWbGC=wVQ`8$r3$ zgH+i#3&Ldtm2qR3FoG5%KYxFkTuZLskS6D8>HqBr%0idi5wsFJo;^oUCw3Y=JQjY! z2y)$aD64agpD}_`QB4>@esRkSm0G+WL8B?Y-3SVeMf>j_L1W4F8(ed$mj2sD&~Ui1 z{Rql38S@`U&_U!~;gvooAru3Z`rm&7j5bV)| z4U1MG7cF^Gg+X&Rne_JD=26JjJ2)Z69%OO9UdXYPLo&TixE-Cw-CUcFa%zC0*zG|* zRmgf?e*ySQeEtqsNQfK*UgvNlGV$4YRk@eXp9Xkr#yUn=7Sds#cTQ}xiHa}w ze9jF+UWNIg=ToJN_s`UhPV^h5Bkd|oM}I@By_B?WYQBF7-H-(G@_cv(O%4w?c|IJPSu=S)+~oQ2ws}5W=lRO>NN4hVxXJU*I?sojJRffI ze7MQ;;U>?A7isY_DnsMot5@MYUg&Et3e+NtJHNO?aT%Q6}Ao9^qjMl8L*wjvuIegFRE*IoM5fS7H(kEHk-TNQrx1{l@zLABE}O z%7nfP8MF5ZJ-*!dx!mx;;Z`sZ{!GRcrVYIbOl$RWB#?a~4w`_eK0=ch@qFf#_wx5@+ zvo?8rOl)Y32Pc>GA?1CGjG3G7b1qL1EBgs8wp%-~`dspF7n`od@+h&br&HGnE3u6+ zPB7N$qsYHq>6FRYtXZFjD?sVmNnE=R&~0xyF6i0@t8VYn6pqvA%k zRk95iF#XxKe4}o|Jg%p8%;OQrj2!ZlzA2%1p1{3J-1O}lr>}2;dzrYyzXPt)+&#kh zdz`pen>8*#HNf3VTv37P$C+aJF0C^nJX1%eV3JpMGSS&MC(3ByttLZoz({?q_|7sXJU0 z9_Bgg$o(5yTZ4mCqhG1%$Jl4=t}1K|owXbLmD@gf2fR2Vixki!9C0njCM^;M-p%Xa zS03(jCIcURJ=6gIRf_-CUXK+W2dP#6S7&IYwqbIM|z?fnZlVRO!m9~1l2E@^3N=<2!*3hVv+2`laA(cWwoff z;~b;v6sr1$9avWmVVMw?K=>-f{spAbcS5g5zYpp9Llj+y`b+5jt47`H^vSR2mEWwb zbl>5iBZlR7u)~PFgg}Dj16+b}LDL%zHtv7|Vpx8Rgm-H~p!6pH9-@Lo>0XZ0s&F=E zGrIyGJ;Sa5UPZdGMn|Ket(0Dtpegh#JeMOQ?vv|uq_bpD@+@T}E2iQF_BagZ)xq4g z{91sP8krfRNYMhR^)4ex4_nwjo7Ea~QVapRj)KGd20=sgZDZ4#^Jz=u-$W+FetJ1{ zE;b}@V5bwEXUYR;%Un~Q2#zRd_$za z0R!|1sG2>QqaHCxro`F2#9?jwbk>Tc_Jyt$NNp2pc9E|r1jUsB-5LEHh+l54n(f{L z{kP`P6FuZD);0Iu3D5In|6-|gi|W$48WDF>j6KE zbhthf!jn;r+`LPtihgL#q=$wg1loD=EHs{}J0G6S)mb;n6Xk_$%+rmL=>U-%5#Y%6 z{1@QAtMCslyhi5|!l9Q)2z`}ZpKG3Yz$;Iq8tJ4BprPGjhCYA>jFE=u_YogOC8Y9W zFxt)bWS9|sHIihsr89UxFt`oqq+U+pjgeGYV{YWYf+19S=g%aHI>>P(O@C6v*W^B| zR+>vK@E=Hq@ni^-I0eY~k<_RaTEIJegf2lCshVR}C0nMiUR%?!Crhc?<2xfq4W1+3}i>^WFL&R$S0(_i%4 z(5poKNRNI?2|u6|$u<($2-Iu}|0c4OPHBidxl@BC16~4%e9aX2p24a^26%r9KN52SJXY2(73=P@3jxQW`eGquI@&__KQA`_`xo0_Xl4R_K@1+1G( zKL8eBqlAg{cw^Thlbny~G>*Os7b9Fw&W<=**bS!d$HX-tg?i~`cfWs7{q#6&h zBaPU`pwC0KP~@}T-KG1SYtR_oVA5jSFk?X72pYg_9nDr*8iv9=ouiwPG+QIRQL=f00lIfVUzN`45C+P3<5_bjq3b9V&e#PD zBJa`aaGbQE4QY{(t|#eIkjAA9kfx{5i#y6Mbg{dyG zOAnCW6g8Qb}&PHl=hQvLd2GYn~K*s5B7y2HccjlrJ zr`|e_@rg`CRz+^(2pg+2*p{sr_qma7Kj2H-QB0Y<;73Ajg=z8el#hEiG-kWmn0$;& zBFs&nm`VE+AS166rDujE;0%2e?yScmhOZ(o;3|?WCRs!D)5xYB+J!&Sd+S(ESXV|~ z12jQCL z?N>nMe(yA#LL4W==YWoV`?Lytq{-%`jQY;6Z%hCq1DJi-=8G zb08**A^vp~xG7klJ+=;Vu_?@SP6H(@$RzFESlB03<7=(KX1`tPE3SAx9aFOk9PF(3 zLtc>g-|^0agByYxZ>K;=YjH`i-j7S|x~c--X%MNu<$4he!gmAv(-A&sfUUWZq(F1J zOH)pofX_##Dnn!L7UJd>h7>Q=(gdcG7j>@((0%G!!(|V=8D)UblLpw%B)9+#KVA+3 zxbYdT*>z<39GGYmzQfy5Uz<(`*?-yByrTcy*K|YkyZX9>YZwgAVOQu=zz+M`P5S!! zD7UXaU6#<-`U>}3_}H!}PLgNP}OP|oV|Mir6|nUL$e4cSN!KP!0Dif2L6>b!&&B+pH5@;uGt zDfLZdTADd;dBh#_Z!2I({n!{ICJKVbrizaW`G-QisOBRSe_~wJPTR#1yamxog>W5B0dkddB@qTQM zZ%e5!&AA8JG^=(ChV=B;f$+@QG5tx%T18phgG_oc3AZY$fqIFk2`*~Er0Ol3X6*1&^mKrubd+&r8}UBoTr3UI&xAq~bY3`b z5JJqST-zI>&GJ41K2ft*`icSYh;Jo4_U9B`T!ms4##-zdA_T*<}O{Jw-fgO4YT!n>%4}&MpdORQ``WfJlo-T%xC$We4gjF3+cM^3$w(?hdf)OE4=RR%4i^LW0wU+qpXTE77XjEF@{L zj|MPmgx)LzJ%p5c1T{UFfn;{)0iyAfei2+>^9P-sfbOvIr1U6y?#TFV95PywoGXtgXq~L&qkV)!&#^O+J{# zJb+m>sPgk4%-RkTn$=?uvyK$Ax*4-5k7gAcv#2e>tWRK1Ri(LiFC(kem^Gh#Fl)76 zo3#K{e*S}5yFo&;Zsc~Wjko5?1}p#wZ!I7p%zCy^dyCo<%$jSwb$o)is*PDo$Op5I z@@un}p~}yHFv~+hFzZWhCEA$v12JgX0tmAxk7gZV%%ZlKS<`QtS#C-o%LV#e_&V|nu8gr4NvZfN&^Z*ENA#^gb1#DW&J$7Z%zFrUw-@)?kqx+xHT#j} z*c?P(Gv&oBKRT(}c{101F82Wl<+)tU^%Pp$c;gpX0X*PZo?NFT`fzM}uA!!1M<#IPkgH!}zkWdSwXu+QZeZcz30yn! z!SyNdF>kQ74c9+Rzj`Nd)spMhefH}E+}eSzLld}eA=k^mW3cI~OnKUS1M%0QzwPkj ziLcoce-`nz$h~&-eW7P(~kqVDGy<0YsRBS zPM~=-k8{!)fOVY2HlQWiWJJ7)+Zdr}B$p!kQA9X?b-w5ihVz0`0Cz<)+BFBI`Bc;V z6CI+veS?wN7(+}kh_`@-fyke#Wa$@0VHX?VJz#7v%n0_gU8+ffons`-w>%5nn~( z240rkbY#M{=-ECjcdLE8ENXKt%9}>WnJOITg|0k2ouM~y@Gal5j>jusab>J|R_f=D zPNbi{_U8-tco88l+#^LtwmfgBPZl8w_X4_C-qQy>MbKIVttJLAEZ?~qJh*_RNKs<< zXZ&oxwp_pDD}kPQ??JLbg}$nhemH)kI!EwrRHr1Ub`CN6$ZQ84^j*Kfj7R@?^T6#@ z>m75r`4oGd1CN6-VYktFhd#%?)p?DDN?!#c$~@lgSI#@!vo$!&O-rR|Nd<6zISEvX zM4_pkNjPPeq#AI?2K<^TVH;cNn+pbhssh*@!yA3D-O!iZNPb>!hSITL1kN^lVP`PZ z$y*93tj${rqlZIzR@@%&RDj0WFV4ey8=eQr)68C*-r2At*8PpZLr+j#sA@W$ejZ)9j9_xpq9RBH#R z?K+(YkkQlJn7SQ|LZxppyZV(WbMNfBeH)f)xP858S!P}(IAI~B4`5f|i;#ceKymJAxN}5w<8#i8=34;g5%>obYcKXJ7 zSZ~AAhdh?y(T&EOSPp-c9dkX+Y~%WMhr;#l1o1Km#c8qcKkv=;=AOO&#)iw^dPfDO zn_0Ct9vr)&AB*Zq1E5&n=C`@_Vb(z1=KST(Sz<)%9aAABh%b@&L8q4;Oq?f@P_762 zV(ww0Lj*h?)36vG=H)6S<3kDj=B5X3DCI;`f_6q|{fKyvp<6%4B16n(=Z^kv&De_3 zz@^VaD$K5}cT{&^;YkS=9&aq1Z!C1W8p{^!VOfEB{l|0g4J|tfmLYfYm^;)*`nXlQ zv5iUeplcPW_G;D130CD9tComW0ceH4mhE8`UrK_E^@&vrilLXs%f$VVm|)eUc2=!H zD{Uv$UaiVYuxgmGYNc59F?Lg92L=d;>60BN`twf@)T&qa6SF27rysvkKwXc}1$dT9uz*RgJN#NvxU;t?(7MO8mrU2Z`WG zV%5LTffWf6#M6lctIBiSnwc3~t4Ot1s|F=lwbEF{y##&Gf?mR^?R!`y5nOEqGH$QRvl)q|%wAakZ?DRpXjTKCGp0XhS)L}9i2 z3$O#j-7D_|QV5GQVLGlLh{NL;9AW5-sVI%k#X_LJ;ibznup^2+aef@z4N&O?iH`^3 zE%&3vKF5O@roZ!OAIPZG@27R{H|6yZi|xdA_|933O#|k~Z09D^w$Zeu0yyj7hp-|U z@+v!2+;-EfDe*=JQ1XLh;PoLtu?KQ@9t1l|aL7Wo6jgZL8iSYWt1=d8%|BrMFShZ1 zKsHfPjn9Xo^K}+H1=I9{Ib!tHkBC6m5@oz>X!{3FxAAZTw05!gtVy9_JW<;)J>5>L zDCd_b{*VR@h)fjzN#qWK8$Qx}m0G!ea+j!qY?&}Lu{+G~G~(uJ9_{Wt*N|KoLZdr0 zv?t;N|nqH`vUh`~3ryL~MtWJR&KBlAZ?(`k{$yd<--E+22{5 zD2dn(C2c_JvX^h7N6ytok|v&OUT$23B)Pyy%GNfm+nb~XBB_#+jy94=%#`NExtr4P zj()BcPuvfWhH+-}oQ$2dt-tiv-}wwX2K1{D^v?UH+(dbj!;M_;nZwVUHpjH-9QMM7 zz2~r|tkfrxz{4DFA;c$^!zh}ig96mNt5}n;)msW|S5=#0J zmjvjCCYBcxGlv_2j*SKeze7p0MN(HvdfZ6T#B+_Kn8SA)NhXKsz78doh@@Kh#<|o; zB60g1*88$HlkdStLF8z@-#^^|tiJ99%`|1ZAu# zwL@=Q1d}N7-{_k&8Z3yACdMmRsEmW}v_wh7b|@)FBu%EIZbp(Ot}$lQYukGz*u>6s zDCy^J#-_t4>1D9MCK9)gkcS4giBRB3h#bmaVL<;4BNtI;hAF3_>_%u-Ki#|j&N$P? zB^hlxLXX3h5+TkH?UqMPX^w%w07i)CSopyzNOhP&oY#(kCG8^gB5`x|;*Zgo?R?QQ z!5fs?p*K9@jWihLtN;tVp@}Qq^Dx=Y{6tCYWrvbBbOkeF-2g8+Q;Z}{Tw}~;ghnPx zVrM#(v_K@yprpf%BoeoekOil-jnIZZN^KdMfpb{se}?W%CK#O$O_`?`5Cw%(UCw9N zr06m9qG|J)HXWgEP#jhy(=&Lx2x&^pm|)-s5z3JwB1CnJP<9_!f<%ES=I1)Nrv(n9 zh5R~)3ZOYQhKTLZ8;^AXIsAGh;_Dm`H z>;*X@v@Q!Bxe6@st0u1Tu|GOOV-h71+o7bxMAF|W=_n&f6N}l5(Ef>%*qII`HFq{P zG-70uB)(zmAZC=GYh_wnK01Kq4|i$HFM* zjdWCu2#g{2M@Q&spktDV?NCy^NNR+aoS>1UiN$P2s4P(uJJX@0?^BFTcT>_pBZ|c9iOA2*2E_?x$Pc7 zQ&sAhl`$ZQ&1CTLQ-yD(p-4{_(uQ{PTRw0&m`HwSGBo0#;)zmEIH)+ihn(BM4<6A4 zId~r}g6fbfP&WR9>I38$PYE6rdSx9``ZuS}mHKCAg&^USqvuuU!WZF@EpB2hO$Nfa^8&GJOuyxil_> zo#|M}86xCX3K?L8XzFF!NawJ)5E|XFko`o+nH18DOD|YLYQ&FT(yvEvyAZv^eFYxE zAHs{ySDg$1++LYg{*8V}oTlf+z1~8(49pOn4XrA230QbuJB=eXQi&SR8tIelcz+#00wmxIT>MWwDP!IT&>y%+Gtc&zdKT+_aLPgIX{_thE~Z_vJ_C>IrM=|{ z)c+N)H?sbP)Wx24z-fD)YHQC*7yo5j{CpSRv&HBUIh8K{?6&wa7hlbnMa2D3;^LEV zIv@d`@8VBri{~Ci+c~ivuoBm@=9LsD==WKmZ5>ivueB0medP&XGkF`!bGXgdOuoc;j2~qA05u+gxgA@)D!JL(=VU#&{QRnk&6};*3j7On zDC-A{Nob#0Uz9Az0L`}%y3bk<1ZS~f5EVHTuN!e+kD_1CjFH}t4GnnPhucs|4m6~* z;d(a6OH(cqQM<6!ij3YG=g^OFz_FDLDxu*(5w(^LD?W{jYECj%EoZ~L#<(c`JOQHa zVZ)gT4LwBEjcnNcNnF&CV%0=8G<_Uz_(gOSv7s0Z2-FEAmgiUX+x)6(rGDW!98@Kb z9YIt^Mjqz40GwEu=Ah0GnsO8P8_7T4S5E3o_|Xv05Ms=H`~G4QPp*LNMXv9FXPgL# zIGq9rUVUuGZksjtgS2hd{0omndz279 z8JmbmXUw~-jAi9hOyaC?j}m!aD!lg=V|1RQw*lI@p2t52`4j(#t+w%(p`wHG9QioG7u~>{fAt4qB_qSLbtKz4YaABa&ByW(oE)JT?@)By|2lz3(vDN#zr&E=G~d^aPj^Bu7z=JfwMIdgC{@-e9YK z84V?-ip0y~dcD;9?HcIS@53Qgno!+t6uC@%#)(!kgy6GlDEa92dbcwfu*QsLYZtdC zJ0(T7^^5hFgwA!;`x(a>_3jp`o<@<&^dgwhswG43E>t5=Y96=0Hg=CRsgX@Qp{vC; ziMSi7)T9${jg#;hpvdIx2*ZH!hNH$jI7s(7^Uz!sU%PRtwJy3|%XCEMwT8k~acBYq z#E(HWlG>q(gV^*PwxwF!dJ*?gPRv9;fuf1&Ak-#~qKPjV4tYKY6Mp~)Ok76+=tzQz z8$~A1=Yhgi!Dr7d1H?atYGjI*>Ut3~Ja_rnY&-X`^=#M5)gtjkU?p2g=sep1%Z_rX zYK3a7QRFfyQ`M58cMv6ihiy7sDx)N_71ijE(I2AQqTfZojeZ@CMkD5Xu$MB6uGQ4A zotLd4$aoxg8zT>DY^cRK0am!vPUI|?cAi-C257-rBh_i9S}oNlS(RlcvcKlV3pVt; z0j3I}8U!lNUNcE83x1>#N84d!i?ia@_{tD@O=H1ZDZJ+xg|4XYaT^ZC>M#5JF;U#@ znvr3orbF1|+I6if)9nPGIY&3?1ahb1`+y?j$40&%Igu9`Q?bmJ5yJCw|4!VuSiF1J)>z9uKYPCjDiZiqxIrlGDFz=3pkP%;kD)ON_@b$4U zVBZGTwJXwJ^F(s>loUC_)atN*haWD}Nc!*l#F+34Fx9O`%`G3SssZN+tQ1%waE8Eu zz%qg50w)WcDsY0pCVZJ%%e}$C)i)YgjNKFQMFLm-&D0m;hwzBsEci8o-z0K23v3j) zMCh`mo-gw21;0+@r3v06aHq(fEO43Fw_9Mjz&xQZmHIf*vqs=Lfg7cLwa7~s{5Zj{ z6nn}AUn0;iut4A%p(~Yop1`>xHz4&f0&9hSz4S9*^lg;-CKoUCerZpYeytP!8lhVt z?P&s+N&9kvUg0Z~_65RMD{#H&nIZI3rCup~d_ytg>j+#Ud`$uigwGMaF`~yOFhgLT zz*?aTNWEU_{iU8GaJk4|DKJy$R*AmV0&|7lEA3?h3#C0>+NTQKDDCwkceB7vLcdP> z-7L@%xLo)f1r`b{5LhfQSLA02Trd2a1(pb1w$zKH?vr|+*ij_@&KI~-M#0o1vW{$BXE8a_R+o zMb36VAPr=$fRyUhK?}daA%8p(_+PPxQ-s}{ZD(#ih?v=VD?b`)53TzQrA@mCb&J(y;;6~BE zQ(&t2DNkUk*j*-moF{Oc&{v8b6|VgPtA#E{=vE58USN*2uaSDT=*to45m+kx#Zu1{ zm?!)hVqZY$mJ5Eqz!t$P;mZ(wxxfO!mxwXTi00_z38M&KBc-zfcW5;#Hdi$&ii!EY8gNAPn6&T#7@caFgMf*&XH7D#=G zz-0oL3#<@WD)j3_Uya~b3S2LEM_{wSjRH#~j$;It3T%<~Myaosef)fZO9UNJ(`aIa2VGm6W?o0`I_&zp! zbeekz4bS~}*;IfBbE|L(rPjWZ%J(~gM}H#~O!}4C$$_q6i+40}y{CdZlLH4922R%u z{IV&TCRIP5-Z)sz+{OQaCdjZ;X4M9gTh|BcJO(@c>oy$b%vbyiD#nuMa1tQ;2=ouA zU0YX8JLbuwJi_~3f+4}RrT^1MUZEWytsv{s)nu+zR)@K&UxSpHmA<2wa=;VUO5Nt_R!72o&G=| zxYHB3s%mr-(nZ_i*KHfgYeR&`7aCnl37J|#8rUEr^vSlx;I>EC1}Y(-d*xqwFBB5& zp-td~(Fp>aPjTLiJr^VKo(QnJHTXa$2yI5+SF`WyagFZwF=wG4aYmkX4eIxNt;Kt^ z!WMD#)~b!i4p#lLGT_dgop0RoyeW0N@e+Fwi?dp%ce79Qv9u#FsCwoul3(-mi%R)b z)!!QJA)cfbJ1ym)(CEfzJrEcA*;xSH!8)%YowmhQT5a!_O)+byAM|_<3f1;Oxb^=8 zBw)Bbiq}-(M?kyJN`_6rx>Up5J;>MfB9HtkWr)Y_X8(&tdz5$P)>h~W{+t#V7W_FY zaDDJ+Zy+N)vBl`wpCQ=Ja}XWPw9}4xZRS^+ z`1{c4ChY>Y&POY{p`AN$Q}>(mpf>V1I0m|41gvOl#IwmF_EL&{mAQjHv@nzw`+!&m zo4pHD8_|7+vKQpEuGjUdVo#`tZt&BS5uL-J*Kld4PNZSPmWELt1znEYXlzE~T* z6Yt=}jXqDXsipPm-Jm{i)QDhnnS*;zt5!1Uzdrhhyq8p~7?exx76L*5N4x)>Iamo>&5q9qhZ2c&3EZ*}%xt9Su65nhk1XC)8h!^>c zTVt*3?XlijA5DMX6>u&{ibW{;B=K?8c?Zs_Y^vF&Buq8t{y; zd+0uH!2A7@2X30)EqX?^?H%|*;IPmu_8DGu@;jIOZy+D(+HDtlLuct#@(W|VHt+(H zL_Y%K!`jOA(JiL$?pm7^IBi-wmfY!=6}VX7DuFcuS8B*bGS?2^7b7}VV)JER zl$UTS;(44cY5RQpZTwJ4{P}hrYFsJ*inAd0uq!L`LhG;mk>SeaxvuzKa=Rn0{OC0zAx)OFv1B8Hr?o zQ4o~roRqGg^km|>!|Sovc4j(<3p)C~yl=C}F7=ILPm*;1xD5>l^O+#{goFNAiH|`I zu24$kdIvw{5)SeKDmRMZV6jV;(gaCW!7-@QIV&{X1k|`u4F@N?biuM%X=_|zaA%))=;JJvM?9t%7Pig2(1 z7^A;1MpYccmBetRG2FNqZgLD)Cb+5~rzd*n&su)~cs_y{MO`U#wVxP;d3;;D_T463 zB3*tZEJsZL09w1!R{@KWN?A6aEFq-;%KSUpwO=?I!4AfP3(vf%u1+(*=0-WHix|8naQ>l}5 zjR9dTTh|ye)_S2v8Ly@3v6hb>LjP*is`M|l)4Cl8u*QU9&CxZkI;?%DYfMVk)}h8! zo%$vF%48>TP!$GDQpRK_<-KTWOwE4n~ z2J=G?4JKDL2~7Us3v9azp#(2;tu%3Z4Jh{QS8NultLw0L8BmM&h2#51kmCszr`DPG z43+8x@!1F2)3VG@WsXdTN+0$XS)ms0m@aBg~wHI{jWFpIz$fZ>QMH7XSrg_(}^VT5EL$5YU?eL?&j_43u@ld%=1ird{Zw zy)pjzzn`D|j|{<2RMBqngH;PT178Vrqq@kBCZ4mSu9&}o*8Ozp`KUiee;y8I#_2U3 z#!rLam-OG1^@UoN9e{&6+2vz!>WV`%R~+GVf#t=Bir#j;j~0D$=VCmKL}$|Ct5)Y= zeHO-R#|zbGaP8r)#D{R?F#2}8h3XC>-!(b(^Ztkla_BjnNHYFUNBn4_uS%~Oc=t*w zHlq5B(wSgnR`-Dufzt`3($Ko_FJ8fn z*GR!o&Qe8ybVVT`XQ=`}X0acTIpPe%!NPFLN{$!2pgzxiL4A(%07QXM|77G3Sb-DY zj}JS@`y7rKGS`Fk8Dk??fL`o!Xy*fHjOSfAh3gbvjRY%07}}q4?{Fu#T~*Vz&-^tX z3uE_LCu7{(!OcQat4TJsC3ysc#16VgFdon(>)Mh$t){95K5F_tUZvve^m zy(BME4oriSPf zv2}-Q2mdf9W@EbTA8xl5cRmIaW-blU9>h;_L{?zW2P8t6>AVF38wKib#dieuA!|Nm zxiaoCg0&1gLB{hj8L>IKi0XdV9KC1@f|Rmq&pG-hYz<+po}))l6Z)NjerI8CnPN7y z2ZJ{@N3R9FyLO;G^3!J2mt)1!dHN*O}iGNy7r0WzWD8itk2O zJy?VxPWu}_g2})zaW=CI9AU5}sr%ElutJvHG_3xS*U@15p?wKkm>W9zs^PGib*|L= zI*@>s&~D3bmirJA>IO96PE{wamvz;#s9bL7^&Vy~_Gf{_wLg<(*iYD@{oRZ_$ps&7 zo6>xABg@j3!;%b;Gz{@28B5Gw)HH%J!rv2ZkNf6B;@td7^vMiR$9*yzbnUHzPp;GK zG5)wuCZVANpPb*8KAfU|MN@B_7;W~y2qZBdek*%Zm>*V}wt{`tnR29&#r%oy+p)_s zKWRU(C2$~ao_S>h?+vZsC5qmCnQ?)=RS7Uo4OHM!0KB&W0>df4_jbWz&9xlXC)_H~ zJ;L1B{^x-XhcY->&qLSY=VQ@fJysrq5aOfn#rEN$>di2(E;df$V|h(iZn@Z_sqA&XX{9>fn(xnl}BE;^gW;I z!S={#>WJs@3C?_2tlyj2;jg6hU~jp9bpq^Jib-thABX)y^wY#Kae`C)pJmOWtn7BO zxc6u;%k!UQ4Wz8i>}`TwYp`#iEcZGyRLzTq#8@Q$suq&@Gi(lG6Tuhwc9WUA!b;Qm z^{;P5UIdZ;9WZ257DW4Sv8?Asx}wi}CKM9O&0Jr$0B6<|t|$FtZ_bIp7<`i?4WW$x z77K)$i%mE?9sMb0uEM4a=U0fqudwi%D?`5}hrh}bG00vzqvJom5}4q;g%(IolP$Pr zGrzaO+bbjZ)h$Y0Vg&SMCE?dw@Y^`%?&)pmF{)GAMrEJCp&gOKfA9-?M zqn<@OuHPte-UmI#Y>fWQM0zt1?YAAtVfWt2MQBbwh(q)I_;2q=jNN;;#usROA^u~X z=l+U+)T!^IMBia5>ay#n_WM*n6eE4i{Cp}aGOzvtW%Nd`3m0<-WOCiESPW(6H|^|- zH3{WfT{gbKO)7j#(T91GQE9znG47aQt>z@;$|)|h{gXvb@dVpHj($yb#=zuLk(S+S zYJ>HurXTDROu;>@a(xf$mWmGURp~2e-lJL$w<09J^&VA>PamY;Cm(%ETjU-P#;V;J zhtxAUzyYa|@1}08p2K?wrMQ_J01~6g-T=S89BLW(=HHS-YX-i9|L=r8lu_dPwGnn3 z`3rS~doaRvt1uW^;WT&dh97)(MLK+qKI+sx48lk7mP*FhS3EdhQCIEqxOqUR{fB#h z)V{MaKk8sF>)q%9&PT5b>+QG+(<+}+lgFfmw_eZDv2xb9ph>r50Pgw}FFJ)9}ir($= z zZvCHqayb_M|0|!Q#`~Cz$v)jj*8z?k#}t17(KQyhx~#i8s`A^ z$1xnd+#2`%4}p&kAAq%kKhcN&`lB1G&xm6F8$KM{PQ_aj-Sx&(=dL}sRG2-srolWqL!P0q-KD{z_xC2aH#WaknEhnDpX+qL zwTy~2po9f?)z-P~WETay)M2 zVn3?Oe=_CfOk8Sa;TVH+HMWo_&ppVGcaEGbdlszW!0F$x9bwv+6{GzCw1=%q9L3y@ zs;uQW%Yi#VP7k(ue1(+5U&z4e5u9hlrx<54Jm^`b}ps>`!I5cw?s zQSKplL%I*;{8)W}IvnMKSbd-E`yY(m%dwr7B&ny849EVxfH}&lN>cZ^n8VO=T=Lu` zb+2IBYdz$NBx{yeH6&S|dDW}Q)+b)|q1T8Txj$fm)#6cQ);zCjPO@se>f>ZRHQrva@>M0%BGt{Ch;C9&rLHgRR?= z)p>Z^)2&I?!en(%(v?chPe#MP`47&S)ol<8Bdjf#sj|L2uVU3-rs|VW+?`}SG)3K# zJSsRvElD|G#bv4?#lkPCZc4FG-kM@Yh&4GSGCgs!G*|ovgK`s;0BGuvC55IUn?|d94R7S8sVw zs<})p>Ozg{x>(O$q!xCy?2FX4u9Vr@)w=m273yXMFH$dcvwpl#z0=LwcA<)P`#x#Q zh3eM*tz{RgCHs?fF{&j!r#f#KC-L3D&sb|yJ`cax?U#c3@POrRF{o??u zb-a4+0PErLs^tLd_VH@ofmZ7!>ca!A_b*XjpgdmvdZ2aJCF-9Ck@ua0tl5{SUv+sw zy7l)<)FbJ`c8*uyr(6FXr)KxCc3rGi_pm-6rG{{JKXxJOuceAwfuaz z^?8}PE$eucKg_a%W$KfxVGGLCvOd5M+14GGs`c5{+^f~@?4o~7Ro@>&+ZG&aeK1Wubu4989A|C6Sj{?a*w+`U z4aZscmZ>j}vzA<_=I2=RFI4q8R`rEyc0cR&QnjWZ?U{4DwP>n(;CS->dc3u4s=DP5 z)}vF^`+uO+h{iC&QqWbiY z*4(Kobb_^bl6w6F>yAmPDwp!#$hE2_sja!>tvk_r?lSe(iB{`mHUA{*^U3P#lPLeO zldV@Ks+UftZ+|@5dSRlvBah`b^Q=`9RYaE`8ECDTsA>mNj(v*t)Ff4X%CPW6wdE9R z`*`*BDOSsP_3)`y(|Gmzsn#Roaiqff@^W=oK3kXOTlWT(lTTl5&$ns-UktLoyGGq{+Ti8asBcaq&HU4?rPru`olYAfr(3HhslWTF_YJ@G#U!=CKkVg6 z>Y>5bJ%3S84z`~Ci~4-9^~hgT)fv`%lhx~IST9dj@1Mceug|cSPgai&q0Fa;Sj#4> z*M?Y2Caa19YyM=lq`<14tTq-5e&H`_`B2h)Jk(k~No^ZSy-SB#TamZJEusxrox9JjePL)5JL}e{+uY?lkq%pR6aQslT5~%4O$T z4^306bosq=t^22`M~khNtJPPzk|9OC!kp(+KOMtJR$&S$=(__1@L0QJ3e9 zvR=AOtr+E`py8|YEtvT0`4-%F#~2I2`^OjyY4qF}3(5NC7z;ya>lh2e{@XDYCXv=L z7Ur$H|7>Bl+kOEi)yh)*yKf5qZMqEqzT&?nS6K6}R8L=F&Ad{5Jk?r#wQ8PfExg*S zQwJTO)G^i>YBUHjH6O_Jv4?fFI@<~*sY-WU>7CL~WuwJ!s8V~UoT!dOxzNtT>{{9)UY)Cjx;;Tw_DkbD-}gGtu+ zy|4sXO+D4Wllr3kYm!yh6H5%sFD0M}(Z?XKnWzB}PPAtFH$(q?qZSG{X^i)l{yr?tr?{>Cc?5V!&Y(3sneXGlldx>xK zTKD%<-+Qg9p6X{^Ue$&8XcucmhWe$8^=*clh2}r_p0;GT^*VdKwR>rU4#djZ%Na8(`q^MV(e8 z&A9CX^@Izmp08f% z5&-23U9G#usQ0^CuNA4Ux?1zcsDF0rz3Y7SMz_B2p08%6_Pu?KdLcEM1k$?wt;H9p zulFBKQ@UF#Myp^N%iGede~ni69>8+wKd6CHe&`_U@zLtlgIInl-C8piT0j#l6GVENO7tw%?zn-5|6$3v_~Mym%hSgz`6Jv>^i=-C(LpL^O#^GB<>hgu6t z)RIH3`6cT4LoH{NT9j!ujZ)8LS`DMr7nws)e!X|kM@Ok|d*`A2>|uE|qg3$lyqTla z8;8$;g!Nh0FC$fRmi5y})tY6!I#PYn$9j3B`n8Ys@JRL85kpYE?Z}>$Bh`u{^HBcf z$h_}HsIV{Z;}PoiqppU8P+#lA5$ch?)_Wt=s=n5u5o%Z8p0|xq4;`JiyBM!w$@`*M zt;iMNK@EGf{V)ekWJ?|-2FCUv%S*+~i^1eA&y?Y!f-^j7PI#)$< ztS`=0yK}66pQ~Q#*Ylxs)o1FeiR-Q~7F?sK2>oaa2}-*f)m$=%tezPPyN*RAR| zi$(GmH_rZ5t9p0k?Eh$0_g2n+q*eWM{7KX&-_ury+)GYt~M)mEQFGvOae#PwF>(vKun!Rhi+IQ3J6CLVbZ@S_; z9cuEX<&SizBd=P%w}Y|oBO-cdy_D;H^-@1i)X%=}7Bx{nn{V#@tbX&9Ak8=vH#i`W0C5{N~&ba!@!(xI+_svG=^yJ9gF3Z)|5(R3z~3T;h= zdn2J}d}~5!!CPUy;i&dXLu zV-Xchq%w7VUD1R?`EHJ+($PfR*Pcm5i5b2M0Mtt>&zIf&)RTpfs zNh=_&>iWacSa?e;;%g80Cb_N4VC1^U)>I_jlda=ziN2ICnM!n%Q(;yuUa`2^w{W1d zC!7jp7Ih|ilNg%v4Ma0NzWVF0_tmXx^7$((s}>Cm`Z^QwOgI|HU@aKVL?t;bTD7?5 z75sEXg;hv(sEA6bUKLewo-;g2NCI9)`6!h>)kRnWdMn{kN+|-zN2u_;Vk`BW>uMHP zZB>gdu?e5WXidaj#p*Mq6o~irMpD?9V2?swqZaeujcN;NWw0x(k}Az}8mbps(qBwi zC+S~C6MS~!`2g%N?ETSH=DYVAXo#dWEK2Dmpb}xBHx5zt^g=M?YoB_qib|cs8 z%AdE*mJM-oDYaFM-VEh6onEQ`I`Wi4?||~FWol6Wdm;S^T8H$U3&pZpSrgi&KBMF? z#=o~?`ApiGST{BPb+kl&VTP_4_Xb+1^kJbQsvr4Iok|_;xe4w|X|G~OQd^xioHqUB z@LGr$Hq9U3o!;S7~cxF+@D>i zpVgUfD)qa7MO*(~dta?;!4D4jQaHM3Z^XA1x7L@6+;oZZ`EHJ;GJWCL>-r+8Z8{** z<=dJ_`L65oZP|vq^My0Ma90-|FYUW7DH?sg_3_){iGjE;nU3^zC48w!EYYbwgSf#= zqB9Zm^&1y_UDsmy`Q(M-Y>8%k>FDhdlGL(uK1sHC4}9ghT9x76%)Oax3U8C)#kl)S z*5%j#+j*9YZGUwhzTA6$&AptT@)Ew2@FAXs-UEFG8KL(>&jF(Qu(=;I_oujv%o*+- zCT`hpYgMJ;S3ukRMTRdnW6E#Y#BU1EpakI22&vaf^iUB;#!=5yG+~zMqbL|D75H4$^BX*dm4Je z$ex4Vgg%ii`@MCa$6ewq;67^7S_r-0(A6Sm=vwGQ(EiGS!Dc23tHNn9#DJy1zodoH)zRo* zGB8NwOezu60`eT_kHj;~C77)!c_zJhnl8{=)u8;SBE4d} z<}Jmp__kGjnM@*X+YYatcAatw`h}OFuXL_T4BB$b+<7u~!($w78;D1`to)EJypBY& zInp1AWqCE({7AO+OhW8&U;Y*73in65br%i9r6{|aL|bkOIZnf+z&kz(TSgR$menBf zbM0Gs^6bz@N{GCb{ey(L!nFzma%dL^lj8$WHjR?P^Clv{IHAZ5|NXnuu z{kiEHJ+vv(t&c@X*VS06XiKJTWXsZ2k&^07;{BFObJ7&FX{wS@O2abEqHPm|Z&#IC zk!_0n{7LJxv_qR@MU(d>eQ4x^^eayAHol-%?G_sB0|&q%Fb2-=uT@96kAn$tn&;{- z)~XZGr@$F-4yX^+s&X(741J(hRd8Q;Kfd5w_*J1nC8zL|a7`nj-VY|Ty^eFdz-~c!T#=Zd`j6v@r{iEE+!3ku~qhms7unE0$ z;Q78vUU)tO_A-nf00+TJ!nZ#`{zU#O=mh(TuRcs32rK744^#jjI0tVb_exL$P9a;% zy%7XKGv%?7`)1Gs%CIfTeGm+R1%wZC9|60;DA)%MfJ0yyTgSK`1>;}>oB*f58E_6% zpraPOYB%LbwDT8ZxS+{<~M z2Wod=J9i&g2r5AhI7Rxk+#5j6zl^Bz#%XOj)HM8 z0ZxE}he?C`Y1+{l?mM|Jq&`og`!Mu5cu%2P%LMECiLH2GoK^5Cj{+G3?&V zy$2-0AXxM@&dbre7Yy-S!SfLGLhi#nAEFF~NqYo(Hy9Q0ypQ_8@kHrf+EvxFjN0@=>3!fX^VeS^o<7k zNQd-8`d1Qt;-7}`xx10uNw~rf{4xB~yeH9*u0b#aZ2z>7dfCnMC=mZNhz*tS{(tzV zjpX?>7$(g@{D#{TT1BanUxO-h^e@)pu zge+JDjw73M{l@CQ6<@*U5q`1%TK)Ga%IUwV{~o0thUu?=%Kf+D(dql|3Ci$d|IO{c zr}FyWM*80l`d$W9(7*F9+2*Oh|Li)%7zqBD{nKmyUqf1ds^e=l`M1Z{L(I>PfTO@Y zzMlOmz7za0$Ja7^ve)=J^5LoD>k#Glr!cQVrGaeaMpeZ84EW)Db${Q2v}_4R+x_4QMXB}4dt zgN!q~xQ~DX(6UYzoP^H5M)4mTA7P#jdcbLPWLP)!0J-)PK8#N({~GZL-vfr3>+b+V zV7luF@n!sv5x)`4)Ia%%yAXJ-um9Y}|NpA>^~LeuSzrG-kN?t#^RJWiuZ16{UmnKS z1G|5nVLxu6?2FQO-TUj6$kqURf4vsE5#;x;AiPb?>34PEAE0B0p5#6V zhQKfw0lUE{*ar@PLtqRX1>;}>oB*f58KBtvIVX4WRu1NY3g81}ge~M=2^O)Bu$lbT z$TM^;_k;MTG2Y*jGH5`y1~kHVUlr%9`O#RA^nqPI`zV(KAisRZ;Y|R$d@i0pP%j^* zUO)x#fs5x4v@`a)^4A|1&mUO3eiVNJ{uIw2R<6Uo*Wpvzxl@1V@omLiB?z6nqePv* zQYoLIODY`twih|{7hdep121vtd#-cnFTdQOui)!)w$2|ea_9w>4*jNThyLtRhaO$- z&_Azn=(Q^y`j=x4{Tmm3`8OT@^9_BLJf=-vgnrQEMd&+BUWERT$&1hro4g2pmC1|H z|77wa^y0-QTY+g@VD75Wn| zb?A4Q`V#*2yrn5N2>m5fUqYX~(cv#M^&R?H zMZbKL!+*dMZ;?T=o^w`@R{!=cxVxPr7PQUYC?a)6pV}bA+uW|UjH#zkBS3C5I zzjWvi1|0euF8U8H`d5vP%;ilEy|CG#*S9)!!bK0ZIsAhz`X3HE{Krij6Z?PSqWA1~ zWWMR5J3AbH#zpUP(NDVQ=XN>rei!}M?{N4(cF~hATD{AWxxz)SaM6$7?a0)=&!JCz z)}eptqJ6GL5V}+jm5{JLR z_*mh;#`ry<|9PP!6EVI>_@6hvNa)i`9GPbuUnKm+#uo|QWPFj(UopN&=4Iv4#s)8B=^)X+Pi1J_ydLbti- zTU>Ofi~g?BDe^yZ(LZ(3|L&r{^m#}B!!G(U7yTVWkD~K8roRYX(qhFG`cfDDd>8#i z(~m^vt1kLmF8Xg=^r|m7I-6be%`SSgq0gZ6?iX0{LjS7Xp?_ierttsm>kfbO28X`e z_%V?=9dY=-=C-mq$~8GNmui?I`Xl1 zS+sAcMBQ)V9!CFLuC(|=(BTS;&OrCO=yw|bDf|_G;mCZ%_)p<~-S|(TKW+S{(C;z+ z^APlBjLrqv@T80W&{dXB;lJgD4!zT)CH&V>P*PSx|JCh`p|CP9JUFPuTn0_k!N>jE+$V;0k6QOT*(Iv)a;g`GUu(4VAJudn# zQ*OfF>7sj0845q+qSu@96#gbdC!rrOHVFN&i|#Tu2>&)0{VijI@Q=Falg0+&|8Ez4 z%-A6Ozjx6GT{e8p&n&>LOyA2#EN z@PAa}=y}yji@p~9e`oS8^n4>Th7pxKHcYtqM_lx^ zE}5jUr-$+^Gkl?syZ9Y0dfey{ne#5X$0c*jMISMA68Rw)?K5%rQK!qyoMbz6omnFY z-DKvCLf>e{HK7lfF-z#54O`_e^pj>@H}V$d8|y9pF#NmCnnLKmH}no<-t(j-gWJD& z6r~11@{+6Pl$8yaSmlW8ReS#B>B)DCOx@h_yGmDGHoJ82lE;y$yVR1Y zC8+GDPfs$%ab)_mNCP6Okp6juiT?6?_(L1q<(f`*;yuO7?wf&Z@`IC;<(3K4+E9b6 zCI>UX+&uw_s0oL<(ptrooug?ahwol*Xdklr)ZVcUEjJA{_2P@UMa3uaIjbFgi? z_GIg=-8MOSxn;t%HXM2P^kr=8-HB|mHtfaT>Ds2PcX$S}4THt|lAamZduE_`Uot)e z8#2fiTV@CEE?%}`1~$x}K{@PySMlX=yuWyRS5m&kmP2p`p7R!H4X#ij*B=yeU?8C*ypv{<<02V#{G3veN(Mn$AzEcNDKT zb64@QCubm=!B-dS&wNGbtsvhr_Af+sxfFsP`}-LBr!S92>aW;xt|-D5+Yg`EQM}$w zGw62Y~`TK4%7H z)_~q(?cMm!;%%rwwpbr^c&K>2`(_}UEJ9ZLtoY$g$i77UFe|+`&MljEOzP<^zFk(# zpxjQ)V65wkPfk8ZZ04HI_bi%$Y{d*@k5UfB+HhL zf!;Yq$lC34@Asabe4bP=?Xrz_DQ#7*lG}sLdgtfaDtT|MQuh8&C1D&7sv+V%RLUOD z*(YmV`xvX|j+D%LPU$7ix|j_i(K!stjz2xg_Pm*kkYM}Va<)4jW6PMo+hm3NIV&i; z<=a~4U9vaw&RKPH=e?t>Ztna$XRn?+K6}=OufJsOe0c1X%z1)Y&6B8jyk6>3@^l95 zBL8gb>pZ>T0)0*LBDU>KPfl_KsO@^Er1=g>^Ug9XykqV>o=K{lr1)@I!G2)x6P(XX zPO@$9;pr@!JYJ9Wb`qrM8|*-m2mNuDAQ+H{xdbTsBXgw#r^%SZr+xC;pX^Nc>?fV6DF>$j!yI zfkW(POlOZ>PbaC@>m?1;cVD2MW-6a8o5=LG*!exiJbfnlwQU+Czh)eoC*x3|`l=+G zl9ueJwMw3G&AC3iva})3X&2IE=dZDA`uy3lwKMRQXOc7XF?Qa3q_M^`Z@$0E%UZ#5 zvg>0H{UT>9nZ^g%@fxYeoOqE7w_7{jBI;!(@$7N)Fm*8H{J{5SXC6U$OjnPYPRIWg zlC43H*gA)`{dQBv&KXQ;z%_GexU@^29wXyV%q`=@q>xTK@B10I>^z7c^QA9bW_Ep_ zBwmZLCATdXKmV|8SQ+!SLBi#l&L5xYnVhUcu59JeTH9w;hKxH7SaZ2z^lU;8NB*j; z*`=p@=6XgLAGAH2TzZ~?J?CwEVlF){dkWR9k32}(&7n_j;Xb8I%=}h@3YD$Yvy64S z=o^o4FWYX}kZpIBrOXnur+@l zMdzrcGq+7vN+-#g70a1#q4JxD9!Ylxe#oAiO=pKaHyFcz>bifxRcAA(d%KJ_hM60b zk)KEN%V<`(#8pU=kLhfyB`;#%o?9j-Unx3&V(FZ+R;i!6c~%z-p(#t1x=Ra{mF)|6 z(}!P68q(IXZNxX2W9f8u+I6{rHSzKi>|F9~-JkR8@~@vkT^`?5{JFu28OWYuow+l&9@l`|*aG-InBZx=q~nWX0<-b~W7+vQ&J zS8Ta0*gAcE*goM}+NGTj$0u|oE%d30m)yb9w7YbnO-$e=xdMJ#$^+yHA3>=;Aw3s;u8j7aMJTj9z&o zv6S-;;&e`}`{i?*P2b@Qy%BpY#~VYD@3+7{=CIx_0W=rc@}WuLWdciL>}TU`U0o|iNrcbqu8)a2ydml5Y1R-7reu>V&&tGwL98vQ<# zq`iPW$%)G*CnJ*fbH1nR)0OsGSK2b%t4$zCoH=vp?>7+VDl3jh+9%3pU44y5+I5%C zdW|0FAEPp!piw+Yqj+2z1-hj^=Htx8pXj|H>LYT2^{v#CwC~d!ruPlD?C!+m7(o`sxcTk!j~Tc0Zb6KKL=>l$~|;qte^59cj8WeXPsuzFkOG@+=tN;=N{; zFuA7f^UU=E$dz4UwISW-JDqh$q4cYXBWVn=-uMi6=?l4YrP|Varu4SLR7fDA^((5~5CC zZ|TYQ$J$bSYj)j}?Jr_-e*3k@!IQMb>E_0T>e$wCx(FR&Lm@qO`3^Eq*L%U*Z}JZ8 zdU*p@V*dGGJCitMb6tD1M0E|8sKYK^65cL&=UlvTc;oPz-|WOa1@Btk3E$-61;1UQ zs^J}U@#c*4n+tee*UBM&&j2!D80-ND!BH>)PJ{Dc{@bkh*K%J8I=~i?0Xx8M@Of|; zOn@_B?%PY$0n|QMF(b7z8`PL2v@hc?WSoBM5>XAlDH0 zo!~H-0O!DhccKSu1UtZfa1<;UCO+r^JHQ?=0p{`9%SE6OjDkbpB$#(MX@VFS0f)h9 zF#p}~K@#i*;YY1Hy8t_!Tk4=CKv?!!EtaN)P8_GfqmdOP`ii^ zdca;V4$41>92f-qzyv7!5N#L?f?ePsI1bK&`5!LfZ_iWaUBGGr@@?k=mUdb1ndLH!8tJRbM#rz0J^|VZ~#nzbD-k$ z)G^ouhQUEF0nUR3U%+0_1$KdhU;>;6zWwL~Nw5nX0#5<;MbZNs!4TLBj)AkF;(p?Q zL2v*}0QDv0K^NE!j(~Gu-T}%B)Pjv535LObFb+z+rF- zRD2b?z;191oCgaJ(J#OdI0#OGYagavf?=>9JOvg$f(+OXCP4YuXj7mEjDb^N{$cck z9pDf+3+8_vIj|87g5BUSI0?$XL0N%jupNwoBj6+``zCgP1`q=y;2@X)=YemGasfNR zA#ehee~U5!K`;nL!8lm(C~1HmFbwvCac~YSc#JX!U0^2|1qZ=V@Dw-$%8pQWU=e5l zn?MHa1be|4cnX{c3m(VEfo8A?#K3m26YK%|!C`O=oB$P1P(Gj;Yz9fN1MC9(!5EkT z=fHv|(G5C44;TbH!6-Ngj)9Xvu8ZS3Wpfwh0xpj0w9og_hev2<;NrMWS$yo`xPEb5 zzc{X69M}K6#`Re$7!Iw8WEvt{!+o(#Q#{!REuZCO4=6XRtuNyQ&d!F3tp0+pdR7jv zNxnF&$_=kEk_Hn984uT`R*pW^b}EjqPLgN95(Z@;5zlRaGJyEOdyq#uM%ES%5zJit1lL@ zzJ*xFwxsYxMVt*KqqS%ok&q{sM=IAeu@)bQk`rIAK^oA*^ zRCk&$w}wK=P$&}bkEY~P!)?~{*4{)H<=DwnI+6*6lgUtKTN2I(RH!o|pN3YKl!T%Q zUc9)YB*Yhth4uLo5w>EkDGhI-a#U+66{6+aOQ{IHT&mQYOZlQRy0(>W9iW1szFeB* z)6to&NXV@mx^X*!eY>`k%lfzEU+g6&Gp zRiX9q0X|5{r>@g{Kv{U#kcUE_x$Y*+>jT)URp|AtD=4XWU#N3%&|gCd4PsTiI})NE zLVTe$5WG1W75%?2MYerQ(?EO1@3%feoeD=Y>861|dzgO$)fkiXSB6?JgA63L1=g)? z_lG2I*{ovXR#k@DLy_KOW*f#PLuo#Y8Sah*h=28T@t5(TZ%Qu^Tsv?p@(YTU$HFQs ze9d(7E67!EA79vv1a7_cR<&w6`Fg*YlFfgh2zg_Q`ipWYtBil?mT)@C_s=tYg0go@ z-`1wi{DSWc1RAOW{p5G>IXccV`Cx7=LgFyLZ}DoPiF626k!tCZR*?FkS6G9Nrp{gx zdVPCl1@6Q0GNS)`b3(80xVe?em1Yv&67BcZ$^%ms2VnH!o-2ok^RlNT)F+Lnh@`>UQUO+xiEa9W@y9WnD zeBv{mp#Mc_e*GLA+*%r{U)K?8=|HB0`jc*brEQXO@%SW_gLyHQZavJ zQ#>6>W#oIZftV{}QT50a*=n4$2$GkEa3&mx^-39jH($=ON0e zb<~Z|Hf1Rv-<40NTDI%95y%fSW!UECOL0hl$8b^MHlV#aB()?GYw_0F8@|zw+se=* z>D5;Sg6_Huwuf*^!Txn?sZaS5Fm*~9uM@u@ax!D6%VbinGJcmkOzPAX_MZGO&+^Z< z<|3+sTw%|3_=#4jqU;BXt-|?Ro1gvmuD`M&u_oFdk?-g-CS;S^qQ*UBsY$CQQh^`^ zU}$`hw`@m3zPW748d|BppDJ60Uin0FUs_9x;n_zuF;#v^LnIUK?6FPhS3mNSNl5tm zBrPq2Zxgpw>=}8Bo!L_;{{>Kzq8a^K4 zms9vwJG$ah)4tZEqFwrf*L1Qd7j3WJ4%6OK`p6PyqZBHAu&Gn#cS^09B4aoFXmkro zN*I51`wfQU5t>jsVuw%bn6fQc(s_lgXB`vKss<`8+%7X8=_4Pp!?GXj7Ds`v)6e8Z zk}_X-{-~!c;a1h=3ZwgDUh+~tZl%aQn3c0X5bl}JY@WOvfb{rZ*?M&QmC?znzyF-V zx9ab<%cXpCKBVriWOm+1TjmSdx)?NtjlCYSRc4uDNw1S3QR1n7580&+37Vbt>1{nM zG4v05$SrHf^LO@WMIG|N^1wRFLKN#~CmFTPBmQz%I_8_ka0A`rXw6lzQjXxHS!lj?^~34IXgWHtZ?4KEB1I!q}Pjh54Lh)Vn<5ODbD&IPP@b zJymW6a;qYI$DXpw*2z6n<#gkC-&DSC9P6KJ+J?BJXs5KOXVxfbJMXb|S^Y#hvcHmv zSA95{VXCJc=RICBG68E~!JX*VGVk@0p;fhnb#Jwti}YL494RCljf+H%><2w${p%vV z3D&?p(HILX?JCsAJmjhx60PC>Xg9-4Q#=q=_j<`RhqopAGQl3ncp#{K?S0e8G2_s3 zpYoC;&)HcQImwxYk@wGd#97iFVRj=q*Iird{+x$gweCM6*vMRuu|Z!PJ$lKuNC_q4 zEPzB)XdYFujTkP^;NGp z9s~2k9&$_dbaYj?^S16(q7N^@m^kJkhZB(!X^P{h+ET3aT@&cm_K@FiwSOy!dis{P z4yj#h@uPEYt?eK6md(q-I{(svL5s&kLpx*A`L0LY@&dB^)%fq1S~<8)rqIW<+jiWMazMDOZoDvgUxS-X1xu30weNu}kUt@b}_YWVU7vsor>vCJzxphX;XhA6qrBiwXBT#7w6-J<4t$a&2XF87c#Y?v6^|x>O^Os zxP>b`!*S2)XqPB>u19!F__he!(%~3A>q?JsuQ|$9Q|0s=Wx-Uwo};{QzSQLsy?w)O zrd}cVt7uHk;VqF^{vuAP7kkMs4Wv86$w*6_@jf2yWOQKsSlk>OE`5~ zU(TADecl3bigDI2_lPT7C#w@UQoVW-N~uL2a@MS#z11tFT$o-;>#j?s!rNH#=#o_G zFTBD`#HZ~rJ#wt>i+8fCW5QZ1j81dn!Ke}MUS5~pP|prIZJ^{T6D~_V+27E!yeezo z3OCl*8<(9cdlyCaThxDCZQ^Ku5o4aoT%yWP1+J$n;BH_=x~wg{ylPQryy7k)Dz=E{zU<2aXP&)*-KUYFGZ?1_qA{5TUFvF9s=bWp2O3C~$7 z<;!kvM5s&$=q>FV{Boe6J#;dhB6oov(Kn~C{POy6JTFSWy6gh-*8HqKmPkid z^<^@NICF+83d`5%3X>JJGjWv`W=-R=Jf)snP)Akey2zXRnAWYwWij0(TU3Lz*ZGCx z_}hCD0~?~59#XF36u+MVOT3ACLBTlH5=E~qt}H0CbX_=_*7LBd3d+!9J9@$cr1ipr zGRtLKm42#|Q&$(3<3O5mU8l%gp`aWqxz!ww%Z>r{{i4EhY`;W%?S%iLupHGUCdv#; z7Ux2*Vl^GpXX7uvV7!iKMs@;SGqVR)&|am>!>6puRWm$r;FpUH+1L%aj2DI zrgUnou#T!_Oj%@GnrW4t6TMcTr?CUXd7|~ddbF^Pob}0L7mza*U`->+NDQr_&_I2);17iwIv`tk*2j2l+}@M_T`8?t$R*s3`_v9{M8Kfa)>pX}giEMdL2 zd+LJnOOe;Y9SLhSrpKNmYp{nY5mP=nWwqb$39O3-g9Eht$KBx@Sk$afW)FM*&IzmX zx5cwB#5Bd-BRk`%Bez@z==ge>74jQYhp&t9$Ii1ZuF)oJV>&7`eslD%cD&Z&N%i5n zU0p)@)T$`&(?59KWBJyDrO%+zH}`*aiID*(7yzK=sH%*IpO2CdbzR} zJbZdtt}J7THK@pRjF&X@IoQi{Wv1*>z9J`F`_t8Zu~>U2u8DC%>$pBg#(mPDw~+Ln z#tpeL!9<#>PQ>kFGu;+$%#m4cAFUNUkgLpBDT90_G>YWy0Mp?fTC?y~-WOu1MO{N;m%*?%2P^t;2NOPZ^$`3F_Sz z_e_bOay8S2WO}9t@R=u^w~MV0un!xZ)-ITn$v}&hmUX_fWL;z{{eUg{)j9m7>*Eqa z9empGt(By|64%quN`*&RYuu{)P|GUeD)qTs*(D8$pne~0gS<~AlknS>x<5yzI-X3V zSCz0$+ zO5@3%X6pvtYL$u6BaNsn-kQinw{GJcIjH+diI)sLDZz^t(M%?|tZ_qYLnx$5^W-cM zdH;~}0BoA&86M;<87q8tUigM^Dyd%`VZtxAJ}WnzWs7W^Tb9cfq@?leDKc~!>8%~n zq<-tb(1@zb@?-i5d^PevA)Y5ZSf zLSC4WlEgpe$}D9QA<-FS-^W@d(Z)@qByUUeWc6ghnvY~1{jz)+UQn#-ls8q`#4-E) zEAwRRiGgfYuic`LchswMWtOp?&ozwttIv~ZPK3K!Srqg~%%LSd+ciL|hGOI?lR%!F z3-e5;snjYm2u~>FnjWnygxzC$~P%Sw&8* zD%F}RvmE=)4oo)D>_`@zDr+yZ0$aDzX#-niVx!kl`k9wUZ_bTV^m=f^)Oc1w>CUk_ z7Vfs*&DoSIx56qgT8XUp*ze@s+knhoLV0rJbLz02%_)CFB}cxh(waP3CP>?pmTryn zPMeSB#u2~HieXjvs#IUPhed-qSXkQFP#+4JbLv}jp%SpnfR~n*U@gX$4b(4tL5mDev|WRu1e&{>6fbIph;dC(ZgGe$;kVs$Sg-h z2d~RHxFX%JuG8tVA>zD5>u*Z8#UpK7d3{w*F(@d@wMU0q=bK(~ zOPkU%7{%M-%=Km8pkKY%OOBxieXiFiq*Ro8nU}0I4ROA7ET&xg{U^O&hx{wNV)>&*;nb6y52JmX~>bEoT}7i^b(4O%}$Lb^{cV^L{R$uvbI#TJ1WPBYO$v- ztKQlC(styh@Arzs5F@$NWoGYgSi6#HiKjf$mijtYz{a3{Rb5Edn&B~DZZSKr{c3q3 zS<`G2Dc-Bn#`mkg^pMrZ$F{8=byM#ZN6w9%VwnE@OVNX%n{YtNp>eWa2?umx{;OfR(w7kb*xLKGxLHmI^>1tTTtL3clDFCj?&RYakhR>I-FQt$BcGov9H*+<((hhM z)F`;mimaQVSuP7%|4aFOs<2)eZmmNXJmB8Ka>hf*>5t|8p0io%q`<)p{?+Ox z9SP%zZI`JonI@hkZ+kDNY%aS{yyZ>ly4XN?TW_pnt5^RthN9Z+6)x{8nko-_gws~pQl%fWTe>AbJkK9==7ksX z0bTiWQpniZ7>RcGSg$^+h=+`BUQW|eJ$W+HI4pbD>3t0uw4w!NI(qtgx9C0KSe}g4 zfL6JOXVvQ|;|#QRFOGZ4>G$^r#&D8ehMm6h5*gL zqUghUvW47Ida9hK8_ML%QKqKDnZ)~5U%m`e+(aMVWmO;BS}8qB_2%5mAbSJ+(SFWl$J7vk2*4qc_E&1~Q4`a*rJY90mol_>VcV(@6wXJvL%3E3DElvp> z$_<+`=6p`gp#K_KLiu6$@|UJypH)eQ&-@fr9;%EoU;|Ge}G8t3&T?W|?fAuYeZmQ||#x|ULxXL<5crmXllx5(ki zI+(v9k;9V(sZv*FdDhZY;=UrwlfG%i-C*(L2OaV=X-PQ@PtK_I387^T zzk$#4Em_e_ZqyqxIzP-;-7l0X_4X`ZFN#=ZSeow4;oC2uDi!I|dYH#L`t7v$Sz!#c zEe%bUTe6xA>2Dd3cruT%e&8ylB9_Qcg2ldlRyg@KKNZXq_>L7`V2+{G9|lD~W=Zj) zZ}v8Mw#R(_eu@38fBVS$S@yG3IOE^t`dOTsKJr)dvd_AB)${CU>r6_$$bPoQS9Oj3 zY;t_Pex?tZHZ6Hvx*eN(;>)T-GkGhPci)JYbpK2pwd>VUGRFd{xJ@@gepkS*>z-QZg#wJZFC*CHilJAGoVo z_1e7Vw)Q}1LsM%*+Xj(+yUlNFX{qBxbk+Kfj<(iNeREU&+CT%pUCs+{ZffrcHFa<- z-5zKT)OU!?_w!_00<7YkVD+vc%bwP{o150ubu^)WZQvHA9ya{;K!>rCcPND?e{{pF z2xq;`>^eC`rCtEf?kP5V89d#5YzBFIExc^6bn%5sPk- zKaCUa>xw3Bs9M|=!{5v&?lZyKz`E8z^Ae+l?^dJdkBQ!Ne`hLV*|-wjHpoMFCx7Q7 z)HP6bgWn1d68^^q>7+AVo!4J~!!n&n7inC?#WJ|q1}?UNi*4Xy8~9Uh0|SG->4B;h z)qNouD%qFLBzmopQf5>aum7$kaP57y>dd{h|6Q|pF<%!bfve8csRdx+<~mh&f;S|dd0gQC|Npq8MwB=JVmbsB literal 0 HcmV?d00001 diff --git a/fdmdv2/pa_enum/bitmaps/inArrow.ICO b/fdmdv2/pa_enum/bitmaps/inArrow.ICO new file mode 100644 index 0000000000000000000000000000000000000000..cc7c9176b5bcd885734c2ec6a10a60dcc6be9248 GIT binary patch literal 1078 zcmdUuF;2rk5Ji6i(z-%Ef-96`a3s1-xm|p$?Q)G!g%T=20?hkfEWE2!NECeM?acSg zt}S^YZM4-e^s*ke(k-#?i{EXen=5I@y#elEqia?v(R*2mB9)X%U?qj5oHK=K{%M+= z0he!i`QHl7>&M!M#>d)+7{|3@jNRHF=wJI0-!!Oc(A<#LufYkG=Y-00LhZZ8tMy&o z&zIJ3#SHxDh4c(ZI6#=DPk4t{c;X=^$dF)BBFS(9_qf-4yw_*UXB~-W@H1BOoO|*I zSmr#FUsfs)X0FMAi^MbTS^tAM?>N-r%Uk=Ak+DXVxL1hxrDciX+iQt)*?+YUc!Otn UfJ`OL)v`Fx;F^2f>pkB41u~l0jQ{`u literal 0 HcmV?d00001 diff --git a/fdmdv2/pa_enum/bitmaps/inArrow16x16.ico b/fdmdv2/pa_enum/bitmaps/inArrow16x16.ico new file mode 100644 index 0000000000000000000000000000000000000000..6ed297b437feac42f571c9b12d9fd08abfbad65b GIT binary patch literal 318 zcmb`CyA8k~3`7r<0ZfycP%)-Q^C}r3Wr~QL`LwBW=-I|TO8^8O5nsktxwRh5hB{^zYd_GheZaedx`N(?RKErj z8fQY|OlUoJuHIwY|NJTXDNCIjGQ$XG*e=Tx9&m>%Pne)UhHo+_1t##0cYTeo^%?J3 KBgYy18C71#2WCA0 literal 0 HcmV?d00001 diff --git a/fdmdv2/pa_enum/bitmaps/outArrow.ICO b/fdmdv2/pa_enum/bitmaps/outArrow.ICO new file mode 100644 index 0000000000000000000000000000000000000000..b8b46ea57642901affe0478d8736d0c65f75fab0 GIT binary patch literal 1078 zcmdUuF;c@Y6hzm78K)w?0j`+b0*;hUbf}9vj=)jyQD7)Y#}Uk1*`7$6FhfDZ#w-1= z{rc9(8>!Joec#DAZKONquAB3HBi&p{d)9jR02^I1N|D;jh=?dL&J!acj2g!gVM>pt z$r0q|B@h1$p*n9klnbFM2vtF-3PN}sa)gj)L#$*~vdZ1rS+?|vRi33n&t>PiOkT+3 zg{*BUYg@{{&G0~mFXB)50pH=9`2_FbEj@Rzg*X&jp5fSQ)^@I4_IU3!_i|77_B+n7 z&+Ysku09`$FC$`V6nvc~M#AKAd;Kqb?k>i9+v`^tjyMMl^K@9!RNF2dYZvdeizj^< aE7Je%l@q?eXLv;U;TEl>8rOQyBz*(8S<`g@ literal 0 HcmV?d00001 diff --git a/fdmdv2/pa_enum/bitmaps/outArrow16x16.ico b/fdmdv2/pa_enum/bitmaps/outArrow16x16.ico new file mode 100644 index 0000000000000000000000000000000000000000..dffb649e31e0c7464200152ee439a5c2bda451a3 GIT binary patch literal 398 zcmcJKF%E+;3`M`I9)K*J5mS%I=%Ynq=*SVOsskfONS_HPOl-CFZ0FmFV#)B7I^tcU zNe@X+NH9R?SLW`@IbV(ZrDt7x*5b3f8M_>2Y1phsuv*4Sx-~hDEV{{i-PauPB^odzIcTuoDB zuBfVr4n%ZDHK;2}{Mra1P~Z1!ksq(Qh5qhjrE8a*4Z79_;Y<+D1jUsgd)!VVAI*M0 z84a?xK{ykH`v}S@aCL4xo8V?o<(91?Haq2C|3|_I_zadW^-tw6vMc%FqC6^h%B>K! oQL<88E?|vge%zn?r4+S))bpaAA3N!b^;CFM-jxsKxA!dm4OVh^LI3~& literal 0 HcmV?d00001 diff --git a/fdmdv2/pa_enum/bitmaps/sample.ico b/fdmdv2/pa_enum/bitmaps/sample.ico new file mode 100644 index 0000000000000000000000000000000000000000..435cca2471cd31bb825ff1e6eb180c1939a9eb4a GIT binary patch literal 1078 zcmc&zF%p6>5L_S)1H}g<-VtX@^EF=Zz9{mlQwW;#il~Wy%sJax^Jn}JGe|Bw=NmFB ge8aqPJmI~ud$Eb6)2}9ELOWr8V4xU|6W(XUPqgg+2mk;8 literal 0 HcmV?d00001 diff --git a/fdmdv2/pa_enum/bitmaps/small1.ico b/fdmdv2/pa_enum/bitmaps/small1.ico new file mode 100644 index 0000000000000000000000000000000000000000..4cac633cb9d630497f54006e2537fe86ec7d7fd6 GIT binary patch literal 318 zcmZ{fF%H8Z3`IW@OQtY&ZN?lU0|(<8+@gCHj+DIvc{Z)mp~3wBuq{hQ3Pef?k6MHD zkhG$N7G!lh&HbMhiK|iuaGq+dL2Gos>fK(5u#(x$nQHaUY~1&k^KGOIryBDHr8#f( eonsB z=R4oscR#ijq718Pu1Vzmis%OWEzkfhkkJYn0`9U{B79`6ZqP8vdyicR4j(a#1z<@? z)GuPp4`St9s{s?3mHZPJ9~9{Ez^()zK6ZN>s#Fp?9fe zQYs0?+^ZRMX`I`%$ACXEe`J0|%}@aO5hMJM hn)&UMx&LDB_Pkpkc&z7YsHS49r((CBkTj!MRWLF4jBn%pjN#e(4W#;x`{ zw{tbSwx3(e&-a&v!9>nuq&7yLd$t7oK4q!}$)_iBPJxHhr{L{}zo`9`QT!$I4tU%S uwU!lc#H`+VHEzIx*jTog3`R{GiR{`{w`G}Iq&Z4%zzvvPuhFA$gPtK`lV!4_63UlnehLGTsOsSv zd;Hn55M~J{%UF7_izV~vOq7dx)9x+~v#UkR zu=7m!|8)EN8U8$jf1tG+{MdvJSl6KtT z2X1{sKzl|&LZt~5JmqckycxgDlL?`1-ThM!j|!EI0|J-N(#i!9~8^efOVKr;i^NvX9_ d-KyV<59wM3?HRRq(iyYPT6E^xliR?*@e8fq(T)HB literal 0 HcmV?d00001 diff --git a/fdmdv2/pa_enum/bitmaps/toolgame.xpm b/fdmdv2/pa_enum/bitmaps/toolgame.xpm new file mode 100644 index 00000000..7488bd8c --- /dev/null +++ b/fdmdv2/pa_enum/bitmaps/toolgame.xpm @@ -0,0 +1,41 @@ +/* XPM */ +static const char *const toolgame_xpm[] = { +/* columns rows colors chars-per-pixel */ +"32 32 3 1", +"X c #808080", +" c None", +". c #FF0000", +/* pixels */ +" ", +" ", +" ", +" ", +" .. ", +" .... ", +" ...... ", +" ........ ", +" .......... ", +" ............ ", +" .............. ", +" ................ ", +" .................. ", +" .................... ", +" ...................... ", +" ........................ ", +" .......................... ", +" ........................X ", +" ......................X ", +" ....................X ", +" ..................X ", +" ................X ", +" ..............X ", +" ............X ", +" ..........X ", +" ........X ", +" ......X ", +" ....X ", +" ..X ", +" ", +" ", +" " +}; diff --git a/fdmdv2/pa_enum/bitmaps/toolnote.ico b/fdmdv2/pa_enum/bitmaps/toolnote.ico new file mode 100644 index 0000000000000000000000000000000000000000..ff0ec28bf417dd5611116d5a506215303a9ae51a GIT binary patch literal 766 zcmcIhF%H5o3_K7+w=ywjU^yReX6(cM{D4#{!=f-PP_#Xhj=bFTu{b(3Cii^EjkW5M0z5N~~<9^cVOfI!f}iJjK#7C57X;n*36!~GDq&WIg(_YOB+`n;l1ZB!s3LPPIL~1wCrN_FbsuI-25N{~|#W3o9=5+3Lzt^8CMB|ZttZm}^JdS3*GIOvu+og9e d;5sF5xa-ZfF20+c`KnVrb)?6yoVxX0L?14d089V? literal 0 HcmV?d00001 diff --git a/fdmdv2/pa_enum/bitmaps/tooltime.xpm b/fdmdv2/pa_enum/bitmaps/tooltime.xpm new file mode 100644 index 00000000..f75477d9 --- /dev/null +++ b/fdmdv2/pa_enum/bitmaps/tooltime.xpm @@ -0,0 +1,42 @@ +/* XPM */ +static const char *const tooltime_xpm[] = { +/* columns rows colors chars-per-pixel */ +"32 32 4 1", +". c Black", +"o c #FFFFFF", +" c None", +"X c #C0C0C0", +/* pixels */ +" ", +" ", +" ", +" ", +" ..... ", +" ...XX.oo... ", +" .XXXoo.ooooo. ", +" ..Xoooooooooooo.. ", +" .Xoooooo.ooooooo. ", +" .Xooooooo.Xooooooo. ", +" .Xoooooooo.Xoooooooo. ", +" .Xoooooooo.Xoooooooo. ", +" .Xoooooooo.Xoooooooo. ", +" .Xooooooooo.Xooooooooo. ", +" .Xooooooooo.Xooooooooo.X ", +" ...oo.......Xooooooo...X ", +" .oooooXXXXXXXooooooooo.X ", +" .ooooooooooooooooooooo.X ", +" .ooooooooooooooooooo.XX ", +" .ooooooooooooooooooo.XX ", +" .ooooooooooooooooooo.X ", +" .ooooooooooooooooo.XX ", +" .ooooooooooooooo.XX ", +" ..ooooooooooooo..X ", +" .ooooo.ooooo.XX ", +" ...oo.oo...XX ", +" XX.....XXXX ", +" XXXXXXX ", +" ", +" ", +" ", +" " +}; diff --git a/fdmdv2/pa_enum/bitmaps/tooltodo.ico b/fdmdv2/pa_enum/bitmaps/tooltodo.ico new file mode 100644 index 0000000000000000000000000000000000000000..6b93a9451f7d32fb8a9448e821f17c25395a04cc GIT binary patch literal 766 zcmcJNv2KGv42GSw5+j+ihA}$}(hbR55h+7Y>QX6BgFIX$FFZ=ej(G#}`|N{O>CmC^ z@!R*=KOjyJt+1MAgZxTgYoaX??V$!bLfY4enEf6RLrh|{f{ZPVMf!-D#>v^{p6I~;PX@r&T@7`;UxBih0UJ2(TJE(@LKd63qi8t=);`EFF literal 0 HcmV?d00001 diff --git a/fdmdv2/pa_enum/bitmaps/tooltodo.xpm b/fdmdv2/pa_enum/bitmaps/tooltodo.xpm new file mode 100644 index 00000000..9ba1e31a --- /dev/null +++ b/fdmdv2/pa_enum/bitmaps/tooltodo.xpm @@ -0,0 +1,194 @@ +/* XPM */ +static const char *const tooltodo_xpm[] = { +/* columns rows colors chars-per-pixel */ +"32 32 156 2", +"0 c #A08020", +"+. c #D0C066", +"q c #A08022", +"T c #98752D", +"6. c #D0C072", +"w c #A88B28", +"@. c #987540", +"l c #A08037", +"~ c #A0803F", +"4. c #A08048", +"7 c #808080", +"m c #704012", +"P c #704013", +"] c #704014", +"B c #704016", +"{ c #704017", +":. c #704019", +"k c #70401B", +"A c #70401C", +"W c #70401D", +".. c #70401E", +"F c #70401F", +"1. c #704020", +"H c #704022", +"s c #EFEA37", +") c #704024", +"5. c #704025", +"} c #784B1E", +"z c #784B22", +"2. c #784B26", +"/ c #784B28", +"Y c #EFEA55", +"N c #E0D547", +"D c #EFEA6C", +"X. c #EFEA70", +"o. c #EFEA74", +"R c #E0D554", +"| c #E0D558", +"#. c #EFEA83", +"y c #E8E05D", +"3. c #E0D570", +"x c #E8E069", +"G c #BFAA57", +"J c #BFAA5D", +">. c #B0953D", +" c None", +"<. c #B8A04D", +"^ c #B8A052", +"X c #FFFF30", +"o c #FFFF34", +"O c #FFFF38", +"+ c #FFFF3C", +"b c #FFFF40", +"@ c #FFFF41", +"' c #FFFF43", +"n c #FFFF44", +"# c #FFFF45", +"*. c #FFFF47", +"L c #FFFF48", +"$ c #FFFF49", +"8. c #FFFF4B", +"M c #FFFF4C", +"V c #906B27", +"% c #FFFF4D", +"p. c #FFFF4F", +"I c #FFFF50", +"& c #FFFF51", +"a. c #FFFF53", +"U c #FFFF54", +"e c #FFFF55", +"* c #FFFF56", +"g. c #FFFF57", +"[ c #FFFF58", +"r c #FFFF59", +"= c #FFFF5A", +"l. c #FFFF5B", +". c #C0C0C0", +"-. c #FFFF5C", +"j c #FFFF5D", +"- c #FFFF5E", +"b. c #FFFF5F", +"9. c #FFFF60", +"C c #FFFF61", +"; c #FFFF62", +"n. c #FFFF63", +"0. c #FFFF64", +": c #FFFF66", +"N. c #FFFF67", +"s. c #FFFF68", +"E c #FFFF69", +"Z c #FFFF6A", +"> c #FFFF6B", +"h. c #FFFF6C", +" . c #FFFF6D", +"u c #FFFF6E", +", c #FFFF6F", +"z. c #FFFF70", +",. c #FFFF71", +"S c #FFFF72", +"< c #FFFF73", +"x. c #FFFF74", +"q. c #FFFF75", +"Q c #FFFF76", +"f c #602B0B", +"1 c #FFFF77", +"m. c #FFFF78", +"w. c #FFFF79", +"! c #FFFF7A", +"2 c #FFFF7B", +"B. c #FFFF7C", +"d. c #FFFF7D", +"e. c #FFFF7E", +"i c #FFFF7F", +"3 c #FFFF80", +"j. c #FFFF81", +"r. c #FFFF82", +"p c #FFFF83", +"4 c #FFFF84", +"c. c #FFFF85", +"k. c #FFFF86", +"c c #FFFF87", +"5 c #FFFF88", +"v. c #FFFF89", +"y. c #FFFF8A", +"( c #FFFF8B", +"6 c #FFFF8C", +"t. c #FFFF8D", +"u. c #FFFF8E", +"O. c #68361C", +"a c #FFFF90", +"V. c #FFFF91", +"f. c #FFFF92", +"$. c #68361F", +"_ c #FFFF93", +"v c #FFFF94", +"Z. c #FFFF95", +"M. c #FFFF96", +"%. c #FFFF97", +"K c #FFFF98", +"A. c #FFFF99", +"C. c #FFFF9A", +"7. c #FFFF9B", +"` c #FFFF9C", +"D. c #FFFF9D", +"S. c #FFFF9E", +"i. c #FFFF9F", +"&. c #FFFFA0", +"F. c #FFFFA1", +"8 c #D0C02A", +"d c #D0C030", +"g c #D0C036", +"h c #D0C03A", +";. c #C8B545", +"t c #C8B548", +"=. c #987527", +"9 c #A0801E", +/* pixels */ +" ", +" ", +" ", +" ", +" ", +" ", +" . . . . . . . . . . . . . . . . . . . . . . . . . ", +" . X o O + @ # $ % & * = - ; : > , < 1 2 3 4 5 6 . 7 ", +" . o 8 9 0 q w % & e r - ; t y u < 1 2 i p 5 6 a . 7 ", +" . O s d f g h & e r j ; : k l z x 2 i p c 6 a v . 7 ", +" . + b n m M & N B V C : Z A S D F i G H J a v K . 7 ", +" . b n L P I U B Y T R E u W Q ! ~ ^ / ( ) _ K ` . 7 ", +" . ' L M ] U [ { C } | .S ..X.o.O.+.@.#.$.%.` &.. 7 ", +" . *.M I =.[ -.;.:.>. .,.Q <.1.2.3.( 4.5.6.7.&.. . 7 ", +" . 8.I U [ -.9.0.E .,.q.w.e.r.t.y.u._ %.7.i.. . . 7 ", +" . p.a.[ -.9.0.s. .,.q.w.d.r.t.t.u.f.%.7.. . . o . 7 ", +" . a.g.-.9.0.s.h.,.q.w.d.j.k.y.t.. . . . . o o . 7 ", +" . g.l.9.0.s.h.z.x.w.d.j.c.v.u.f.. o o o o o . 7 ", +" . l.b.n.s.h.z.x.m.d.j.c.v.t.f.M.. o o o o . 7 ", +" . b.n.N.h.z.x.m.B.j.c.v.t.V.M.C.. o o o . 7 ", +" . n.N.> z.x.m.B.3 4 v.t.V.Z.A.S.. o o . 7 ", +" . N.> , < m.B.3 4 5 t.V.Z.A.D.. o o . 7 ", +" . > , < 1 B.3 4 5 6 V.Z.A.D.. . o . 7 ", +" . , < 1 2 3 4 5 6 a v A.D.F.. o . 7 ", +" . < 1 2 i p 5 6 a v K D.F.. o . 7 ", +" . . . . . . . . . . . . . . . 7 ", +" 7 7 7 7 7 7 7 7 7 7 7 7 7 7 ", +" ", +" ", +" ", +" ", +" " +}; diff --git a/fdmdv2/pa_enum/bitmaps/toolword.ico b/fdmdv2/pa_enum/bitmaps/toolword.ico new file mode 100644 index 0000000000000000000000000000000000000000..a1a8838c276178deae7c6d9defd32b2909ace453 GIT binary patch literal 766 zcmcIiI}XAy41KMTItCUN1~PJt9)+6_!NqbEM#hc|2=nY#QQRUVBzWnIf3fwN7HGI) zTtjhdfi3NUjATzXSaBy@k`Jo!tW9YrqwP^K<2^$3M0Uh9MxO$Wba literal 0 HcmV?d00001 diff --git a/fdmdv2/pa_enum/bitmaps/toolword.xpm b/fdmdv2/pa_enum/bitmaps/toolword.xpm new file mode 100644 index 00000000..b2e96a12 --- /dev/null +++ b/fdmdv2/pa_enum/bitmaps/toolword.xpm @@ -0,0 +1,41 @@ +/* XPM */ +static const char *const toolword_xpm[] = { +/* width height ncolors chars_per_pixel */ +"32 32 3 1", +/* colors */ +" s None c None", +". c #000000", +"+ c #ffffff", +/* pixels */ +" ", +" ", +" ........ ........ ", +" .++++++. ...........++++++. ", +" .++++++. .++++++++..++++++. ", +" ........ .++++++++......... ", +" .++++++++. ", +" .......... ", +" ", +" ", +" ", +" ..... ", +"...... ......... .+++. .........", +".++++. .+++++++. .+++. .+++++++.", +".++++. .+++++++. .+++. .+++++++.", +".++++. .+++++++. ..... .+++++++.", +"...... ......... .........", +" ", +" ", +" ", +" ", +" .......... ", +" .. .++++++++. ", +" .++. .++++++++. ", +" .++++. .++++++++. ", +" .++++. .......... ", +" ...........+++. ", +" .++++++++. .+. ", +" .++++++++. . ", +" .......... ", +" ", +" "}; diff --git a/fdmdv2/pa_enum/bitmaps/transparent.ico b/fdmdv2/pa_enum/bitmaps/transparent.ico new file mode 100644 index 0000000000000000000000000000000000000000..eeba3067db0285e5d7d0647f26042b56846ed45e GIT binary patch literal 4286 zcmeHGyA^;S3_LfmvZl0l0!L#U$6zys&c};-q=1Ox!vYfS!vPHOa}Mc`Z3HF&bDVJr zYn*BQALR~&5Xzf6?(p7MQ&$tKnkW+J%ej-ZOIeWWNPAUfweeIWl}&fr?)DPg(>_D# z>I~`Lr8NeFsnMRT?PEBxHCG<8{co(ZpgHHzA`2}rt>?vpCl)y5`Lup-Kfit*IKKx2 Nxm@~nnpd_r9tWbzvkd?M literal 0 HcmV?d00001 diff --git a/fdmdv2/pa_enum/bitmaps/transparent.xpm b/fdmdv2/pa_enum/bitmaps/transparent.xpm new file mode 100644 index 00000000..01f4737d --- /dev/null +++ b/fdmdv2/pa_enum/bitmaps/transparent.xpm @@ -0,0 +1,36 @@ +/* XPM */ +static char * transparent_xpm[] = { +"32 32 1 1", +" c None", +" ", +" ", +" ", +" ", +" ", +" ", +" ", +" ", +" ", +" ", +" ", +" ", +" ", +" ", +" ", +" ", +" ", +" ", +" ", +" ", +" ", +" ", +" ", +" ", +" ", +" ", +" ", +" ", +" ", +" ", +" ", +" "}; diff --git a/fdmdv2/pa_enum/gui.cpp b/fdmdv2/pa_enum/gui.cpp new file mode 100644 index 00000000..9d72359f --- /dev/null +++ b/fdmdv2/pa_enum/gui.cpp @@ -0,0 +1,333 @@ +/////////////////////////////////////////////////////////////////////////// +// C++ code generated with wxFormBuilder (version Mar 17 2012) +// http://www.wxformbuilder.org/ +// +// PLEASE DO "NOT" EDIT THIS FILE! +/////////////////////////////////////////////////////////////////////////// + +#include "gui.h" + +/////////////////////////////////////////////////////////////////////////// + +MainFrameBase::MainFrameBase( wxWindow* parent, wxWindowID id, const wxString& title, const wxPoint& pos, const wxSize& size, long style ) : wxFrame( parent, id, title, pos, size, style ) +{ + this->SetSizeHints( wxDefaultSize, wxDefaultSize ); + + m_menuBar = new wxMenuBar( 0 ); + m_menuFile = new wxMenu(); + wxMenuItem* menuFileExit; + menuFileExit = new wxMenuItem( m_menuFile, wxID_EXIT, wxString( _("E&xit") ) + wxT('\t') + wxT("Alt+X"), wxEmptyString, wxITEM_NORMAL ); + m_menuFile->Append( menuFileExit ); + + m_menuBar->Append( m_menuFile, _("&File") ); + + this->SetMenuBar( m_menuBar ); + + wxBoxSizer* mainSizer; + mainSizer = new wxBoxSizer( wxVERTICAL ); + + m_panel1 = new wxPanel( this, wxID_ANY, wxDefaultPosition, wxDefaultSize, wxTAB_TRAVERSAL ); + wxBoxSizer* bSizer4; + bSizer4 = new wxBoxSizer( wxVERTICAL ); + + m_notebook1 = new wxNotebook( m_panel1, wxID_ANY, wxDefaultPosition, wxDefaultSize, wxNB_BOTTOM ); + m_panelRx = new wxPanel( m_notebook1, wxID_ANY, wxDefaultPosition, wxDefaultSize, wxTAB_TRAVERSAL ); + wxBoxSizer* bSizer20; + bSizer20 = new wxBoxSizer( wxVERTICAL ); + + wxGridSizer* gSizer4; + gSizer4 = new wxGridSizer( 2, 1, 0, 0 ); + + wxStaticBoxSizer* sbSizer2; + sbSizer2 = new wxStaticBoxSizer( new wxStaticBox( m_panelRx, wxID_ANY, _("Rx Radio Device") ), wxVERTICAL ); + + m_listCtrlRxInDevices = new wxListCtrl( m_panelRx, wxID_ANY, wxDefaultPosition, wxDefaultSize, wxLC_HRULES|wxLC_REPORT|wxLC_VRULES ); + sbSizer2->Add( m_listCtrlRxInDevices, 1, wxALL|wxEXPAND, 2 ); + + wxBoxSizer* bSizer811; + bSizer811 = new wxBoxSizer( wxHORIZONTAL ); + + m_staticText51 = new wxStaticText( m_panelRx, wxID_ANY, _("Device:"), wxDefaultPosition, wxDefaultSize, 0 ); + m_staticText51->Wrap( -1 ); + bSizer811->Add( m_staticText51, 0, wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL, 5 ); + + m_textCtrlRxIn = new wxTextCtrl( m_panelRx, wxID_ANY, wxEmptyString, wxDefaultPosition, wxDefaultSize, 0 ); + bSizer811->Add( m_textCtrlRxIn, 1, wxALIGN_CENTER_VERTICAL|wxALL, 2 ); + + m_staticText6 = new wxStaticText( m_panelRx, wxID_ANY, _("Sample Rate:"), wxDefaultPosition, wxDefaultSize, 0 ); + m_staticText6->Wrap( -1 ); + bSizer811->Add( m_staticText6, 0, wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL, 5 ); + + m_cbSampleRateRxIn = new wxComboBox( m_panelRx, wxID_ANY, wxEmptyString, wxDefaultPosition, wxDefaultSize, 0, NULL, wxCB_DROPDOWN ); + bSizer811->Add( m_cbSampleRateRxIn, 0, wxALL, 5 ); + + + sbSizer2->Add( bSizer811, 0, wxEXPAND, 5 ); + + + gSizer4->Add( sbSizer2, 1, wxEXPAND, 5 ); + + wxStaticBoxSizer* sbSizer3; + sbSizer3 = new wxStaticBoxSizer( new wxStaticBox( m_panelRx, wxID_ANY, _("Rx Speaker Stream") ), wxVERTICAL ); + + m_listCtrlRxOutDevices = new wxListCtrl( m_panelRx, wxID_ANY, wxDefaultPosition, wxDefaultSize, wxLC_HRULES|wxLC_REPORT|wxLC_VRULES ); + sbSizer3->Add( m_listCtrlRxOutDevices, 1, wxALL|wxEXPAND, 2 ); + + wxBoxSizer* bSizer81; + bSizer81 = new wxBoxSizer( wxHORIZONTAL ); + + m_staticText9 = new wxStaticText( m_panelRx, wxID_ANY, _("Device:"), wxDefaultPosition, wxDefaultSize, 0 ); + m_staticText9->Wrap( -1 ); + bSizer81->Add( m_staticText9, 0, wxALIGN_CENTER_VERTICAL|wxALL, 5 ); + + m_textRxOut = new wxTextCtrl( m_panelRx, wxID_ANY, wxEmptyString, wxDefaultPosition, wxDefaultSize, 0 ); + bSizer81->Add( m_textRxOut, 1, wxALIGN_CENTER_VERTICAL|wxALL, 2 ); + + m_staticText10 = new wxStaticText( m_panelRx, wxID_ANY, _("Sample Rate:"), wxDefaultPosition, wxDefaultSize, 0 ); + m_staticText10->Wrap( -1 ); + bSizer81->Add( m_staticText10, 0, wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL, 5 ); + + m_cbSampleRateRxOut = new wxComboBox( m_panelRx, wxID_ANY, wxEmptyString, wxDefaultPosition, wxDefaultSize, 0, NULL, wxCB_DROPDOWN ); + bSizer81->Add( m_cbSampleRateRxOut, 0, wxALIGN_CENTER_VERTICAL|wxALL, 5 ); + + + sbSizer3->Add( bSizer81, 0, wxEXPAND, 2 ); + + + gSizer4->Add( sbSizer3, 1, wxEXPAND, 2 ); + + + bSizer20->Add( gSizer4, 1, wxEXPAND, 1 ); + + + m_panelRx->SetSizer( bSizer20 ); + m_panelRx->Layout(); + bSizer20->Fit( m_panelRx ); + m_notebook1->AddPage( m_panelRx, _("Receive"), true ); + m_panelTx = new wxPanel( m_notebook1, wxID_ANY, wxDefaultPosition, wxDefaultSize, wxTAB_TRAVERSAL ); + wxBoxSizer* bSizer18; + bSizer18 = new wxBoxSizer( wxVERTICAL ); + + wxGridSizer* gSizer2; + gSizer2 = new wxGridSizer( 2, 1, 0, 0 ); + + wxStaticBoxSizer* sbSizer22; + sbSizer22 = new wxStaticBoxSizer( new wxStaticBox( m_panelTx, wxID_ANY, _("Tx Microphone Stream") ), wxVERTICAL ); + + m_listCtrlTxInDevices = new wxListCtrl( m_panelTx, wxID_ANY, wxDefaultPosition, wxDefaultSize, wxLC_HRULES|wxLC_REPORT|wxLC_VRULES ); + sbSizer22->Add( m_listCtrlTxInDevices, 1, wxALL|wxEXPAND, 2 ); + + wxBoxSizer* bSizer83; + bSizer83 = new wxBoxSizer( wxHORIZONTAL ); + + m_staticText12 = new wxStaticText( m_panelTx, wxID_ANY, _("Device:"), wxDefaultPosition, wxDefaultSize, 0 ); + m_staticText12->Wrap( -1 ); + bSizer83->Add( m_staticText12, 0, wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL, 5 ); + + m_textCtrlTxIn = new wxTextCtrl( m_panelTx, wxID_ANY, wxEmptyString, wxDefaultPosition, wxDefaultSize, 0 ); + bSizer83->Add( m_textCtrlTxIn, 1, wxALIGN_CENTER_VERTICAL|wxALL, 2 ); + + m_staticText11 = new wxStaticText( m_panelTx, wxID_ANY, _("Sample Rate:"), wxDefaultPosition, wxDefaultSize, 0 ); + m_staticText11->Wrap( -1 ); + bSizer83->Add( m_staticText11, 0, wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL, 5 ); + + m_cbSampleRateTxIn = new wxComboBox( m_panelTx, wxID_ANY, wxEmptyString, wxDefaultPosition, wxDefaultSize, 0, NULL, wxCB_DROPDOWN ); + bSizer83->Add( m_cbSampleRateTxIn, 0, wxALL, 5 ); + + + sbSizer22->Add( bSizer83, 0, wxEXPAND, 2 ); + + + gSizer2->Add( sbSizer22, 1, wxEXPAND, 5 ); + + wxStaticBoxSizer* sbSizer21; + sbSizer21 = new wxStaticBoxSizer( new wxStaticBox( m_panelTx, wxID_ANY, _("Tx Radio Stream") ), wxVERTICAL ); + + m_listCtrlTxOutDevices = new wxListCtrl( m_panelTx, wxID_ANY, wxDefaultPosition, wxDefaultSize, wxLC_HRULES|wxLC_REPORT|wxLC_VRULES ); + sbSizer21->Add( m_listCtrlTxOutDevices, 1, wxALL|wxEXPAND, 2 ); + + wxBoxSizer* bSizer82; + bSizer82 = new wxBoxSizer( wxHORIZONTAL ); + + m_staticText81 = new wxStaticText( m_panelTx, wxID_ANY, _("Device:"), wxDefaultPosition, wxDefaultSize, 0 ); + m_staticText81->Wrap( -1 ); + bSizer82->Add( m_staticText81, 0, wxALIGN_CENTER_VERTICAL|wxALL, 5 ); + + m_textCtrTxOut = new wxTextCtrl( m_panelTx, wxID_ANY, wxEmptyString, wxDefaultPosition, wxDefaultSize, 0 ); + bSizer82->Add( m_textCtrTxOut, 1, wxALIGN_CENTER_VERTICAL|wxALL, 2 ); + + m_staticText71 = new wxStaticText( m_panelTx, wxID_ANY, _("Sample Rate:"), wxDefaultPosition, wxDefaultSize, 0 ); + m_staticText71->Wrap( -1 ); + bSizer82->Add( m_staticText71, 0, wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL, 5 ); + + m_cbSampleRateTxOut = new wxComboBox( m_panelTx, wxID_ANY, wxEmptyString, wxDefaultPosition, wxDefaultSize, 0, NULL, wxCB_DROPDOWN ); + bSizer82->Add( m_cbSampleRateTxOut, 0, wxALL, 5 ); + + + sbSizer21->Add( bSizer82, 0, wxEXPAND, 2 ); + + + gSizer2->Add( sbSizer21, 1, wxEXPAND, 5 ); + + + bSizer18->Add( gSizer2, 1, wxEXPAND, 1 ); + + + m_panelTx->SetSizer( bSizer18 ); + m_panelTx->Layout(); + bSizer18->Fit( m_panelTx ); + m_notebook1->AddPage( m_panelTx, _("Transmit"), false ); + m_panelAPI = new wxPanel( m_notebook1, wxID_ANY, wxDefaultPosition, wxDefaultSize, wxTAB_TRAVERSAL ); + wxBoxSizer* bSizer12; + bSizer12 = new wxBoxSizer( wxHORIZONTAL ); + + wxGridSizer* gSizer31; + gSizer31 = new wxGridSizer( 2, 1, 0, 0 ); + + wxStaticBoxSizer* sbSizer1; + sbSizer1 = new wxStaticBoxSizer( new wxStaticBox( m_panelAPI, wxID_ANY, _("PortAudio") ), wxVERTICAL ); + + wxGridSizer* gSizer3; + gSizer3 = new wxGridSizer( 4, 2, 0, 0 ); + + m_staticText7 = new wxStaticText( m_panelAPI, wxID_ANY, _("PortAudio Version String:"), wxDefaultPosition, wxDefaultSize, 0 ); + m_staticText7->Wrap( -1 ); + gSizer3->Add( m_staticText7, 0, wxALIGN_RIGHT|wxALL|wxALIGN_CENTER_VERTICAL, 1 ); + + wxBoxSizer* bSizer151; + bSizer151 = new wxBoxSizer( wxVERTICAL ); + + m_textStringVer = new wxTextCtrl( m_panelAPI, wxID_ANY, wxEmptyString, wxDefaultPosition, wxDefaultSize, wxTE_READONLY ); + bSizer151->Add( m_textStringVer, 0, wxALL|wxEXPAND, 1 ); + + + gSizer3->Add( bSizer151, 1, wxEXPAND, 2 ); + + m_staticText8 = new wxStaticText( m_panelAPI, wxID_ANY, _("PortAudio Int Version:"), wxDefaultPosition, wxDefaultSize, 0 ); + m_staticText8->Wrap( -1 ); + gSizer3->Add( m_staticText8, 0, wxALIGN_RIGHT|wxALL|wxALIGN_CENTER_VERTICAL, 1 ); + + wxBoxSizer* bSizer13; + bSizer13 = new wxBoxSizer( wxVERTICAL ); + + m_textIntVer = new wxTextCtrl( m_panelAPI, wxID_ANY, wxEmptyString, wxDefaultPosition, wxDefaultSize, wxTE_READONLY ); + bSizer13->Add( m_textIntVer, 0, wxALL|wxALIGN_CENTER_VERTICAL, 1 ); + + + gSizer3->Add( bSizer13, 1, wxEXPAND, 5 ); + + m_staticText5 = new wxStaticText( m_panelAPI, wxID_ANY, _("Device Count:"), wxDefaultPosition, wxDefaultSize, 0 ); + m_staticText5->Wrap( -1 ); + gSizer3->Add( m_staticText5, 0, wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL, 1 ); + + wxBoxSizer* bSizer14; + bSizer14 = new wxBoxSizer( wxVERTICAL ); + + m_textCDevCount = new wxTextCtrl( m_panelAPI, wxID_ANY, wxEmptyString, wxDefaultPosition, wxSize( 45,-1 ), wxTE_READONLY ); + m_textCDevCount->SetMaxSize( wxSize( 45,-1 ) ); + + bSizer14->Add( m_textCDevCount, 0, wxALIGN_CENTER_VERTICAL|wxALL, 1 ); + + + gSizer3->Add( bSizer14, 1, wxEXPAND, 5 ); + + m_staticText4 = new wxStaticText( m_panelAPI, wxID_ANY, _("API Count:"), wxDefaultPosition, wxDefaultSize, 0 ); + m_staticText4->Wrap( -1 ); + gSizer3->Add( m_staticText4, 0, wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL, 1 ); + + wxBoxSizer* bSizer15; + bSizer15 = new wxBoxSizer( wxVERTICAL ); + + m_textAPICount = new wxTextCtrl( m_panelAPI, wxID_ANY, wxEmptyString, wxDefaultPosition, wxSize( 45,-1 ), wxTE_READONLY ); + m_textAPICount->SetMaxSize( wxSize( 45,-1 ) ); + + bSizer15->Add( m_textAPICount, 0, wxALIGN_CENTER_VERTICAL|wxALL, 1 ); + + + gSizer3->Add( bSizer15, 1, wxEXPAND, 5 ); + + + sbSizer1->Add( gSizer3, 1, wxEXPAND, 2 ); + + + gSizer31->Add( sbSizer1, 1, wxEXPAND, 2 ); + + wxStaticBoxSizer* sbSizer6; + sbSizer6 = new wxStaticBoxSizer( new wxStaticBox( m_panelAPI, wxID_ANY, _("Other") ), wxVERTICAL ); + + + gSizer31->Add( sbSizer6, 1, wxEXPAND, 5 ); + + + bSizer12->Add( gSizer31, 1, wxEXPAND, 5 ); + + + m_panelAPI->SetSizer( bSizer12 ); + m_panelAPI->Layout(); + bSizer12->Fit( m_panelAPI ); + m_notebook1->AddPage( m_panelAPI, _("API Info"), false ); + + bSizer4->Add( m_notebook1, 1, wxEXPAND | wxALL, 0 ); + + + m_panel1->SetSizer( bSizer4 ); + m_panel1->Layout(); + bSizer4->Fit( m_panel1 ); + mainSizer->Add( m_panel1, 1, wxEXPAND | wxALL, 1 ); + + wxBoxSizer* bSizer6; + bSizer6 = new wxBoxSizer( wxHORIZONTAL ); + + m_btnRefresh = new wxButton( this, wxID_ANY, _("Refresh"), wxDefaultPosition, wxDefaultSize, 0 ); + bSizer6->Add( m_btnRefresh, 0, wxALIGN_CENTER|wxALL, 2 ); + + m_sdbSizer1 = new wxStdDialogButtonSizer(); + m_sdbSizer1OK = new wxButton( this, wxID_OK ); + m_sdbSizer1->AddButton( m_sdbSizer1OK ); + m_sdbSizer1Apply = new wxButton( this, wxID_APPLY ); + m_sdbSizer1->AddButton( m_sdbSizer1Apply ); + m_sdbSizer1Cancel = new wxButton( this, wxID_CANCEL ); + m_sdbSizer1->AddButton( m_sdbSizer1Cancel ); + m_sdbSizer1->Realize(); + + bSizer6->Add( m_sdbSizer1, 1, wxALIGN_CENTER_VERTICAL, 2 ); + + + mainSizer->Add( bSizer6, 0, wxEXPAND, 2 ); + + + this->SetSizer( mainSizer ); + this->Layout(); + + this->Centre( wxBOTH ); + + // Connect Events + this->Connect( wxEVT_ACTIVATE_APP, wxActivateEventHandler( MainFrameBase::OnActivateApp ) ); + this->Connect( wxEVT_CLOSE_WINDOW, wxCloseEventHandler( MainFrameBase::OnCloseFrame ) ); + this->Connect( menuFileExit->GetId(), wxEVT_COMMAND_MENU_SELECTED, wxCommandEventHandler( MainFrameBase::OnExitClick ) ); + m_listCtrlRxInDevices->Connect( wxEVT_COMMAND_LIST_ITEM_SELECTED, wxListEventHandler( MainFrameBase::OnRxInDeviceSelect ), NULL, this ); + m_listCtrlRxOutDevices->Connect( wxEVT_COMMAND_LIST_ITEM_SELECTED, wxListEventHandler( MainFrameBase::OnRxOutDeviceSelect ), NULL, this ); + m_listCtrlTxInDevices->Connect( wxEVT_COMMAND_LIST_ITEM_SELECTED, wxListEventHandler( MainFrameBase::OnTxInDeviceSelect ), NULL, this ); + m_listCtrlTxOutDevices->Connect( wxEVT_COMMAND_LIST_ITEM_SELECTED, wxListEventHandler( MainFrameBase::OnTxOutDeviceSelect ), NULL, this ); + m_btnRefresh->Connect( wxEVT_COMMAND_BUTTON_CLICKED, wxCommandEventHandler( MainFrameBase::OnRefreshClick ), NULL, this ); + m_sdbSizer1Apply->Connect( wxEVT_COMMAND_BUTTON_CLICKED, wxCommandEventHandler( MainFrameBase::OnApplyAudioParameters ), NULL, this ); + m_sdbSizer1Cancel->Connect( wxEVT_COMMAND_BUTTON_CLICKED, wxCommandEventHandler( MainFrameBase::OnCancelAudioParameters ), NULL, this ); + m_sdbSizer1OK->Connect( wxEVT_COMMAND_BUTTON_CLICKED, wxCommandEventHandler( MainFrameBase::OnOkAudioParameters ), NULL, this ); +} + +MainFrameBase::~MainFrameBase() +{ + // Disconnect Events + this->Disconnect( wxEVT_ACTIVATE_APP, wxActivateEventHandler( MainFrameBase::OnActivateApp ) ); + this->Disconnect( wxEVT_CLOSE_WINDOW, wxCloseEventHandler( MainFrameBase::OnCloseFrame ) ); + this->Disconnect( wxID_EXIT, wxEVT_COMMAND_MENU_SELECTED, wxCommandEventHandler( MainFrameBase::OnExitClick ) ); + m_listCtrlRxInDevices->Disconnect( wxEVT_COMMAND_LIST_ITEM_SELECTED, wxListEventHandler( MainFrameBase::OnRxInDeviceSelect ), NULL, this ); + m_listCtrlRxOutDevices->Disconnect( wxEVT_COMMAND_LIST_ITEM_SELECTED, wxListEventHandler( MainFrameBase::OnRxOutDeviceSelect ), NULL, this ); + m_listCtrlTxInDevices->Disconnect( wxEVT_COMMAND_LIST_ITEM_SELECTED, wxListEventHandler( MainFrameBase::OnTxInDeviceSelect ), NULL, this ); + m_listCtrlTxOutDevices->Disconnect( wxEVT_COMMAND_LIST_ITEM_SELECTED, wxListEventHandler( MainFrameBase::OnTxOutDeviceSelect ), NULL, this ); + m_btnRefresh->Disconnect( wxEVT_COMMAND_BUTTON_CLICKED, wxCommandEventHandler( MainFrameBase::OnRefreshClick ), NULL, this ); + m_sdbSizer1Apply->Disconnect( wxEVT_COMMAND_BUTTON_CLICKED, wxCommandEventHandler( MainFrameBase::OnApplyAudioParameters ), NULL, this ); + m_sdbSizer1Cancel->Disconnect( wxEVT_COMMAND_BUTTON_CLICKED, wxCommandEventHandler( MainFrameBase::OnCancelAudioParameters ), NULL, this ); + m_sdbSizer1OK->Disconnect( wxEVT_COMMAND_BUTTON_CLICKED, wxCommandEventHandler( MainFrameBase::OnOkAudioParameters ), NULL, this ); + +} diff --git a/fdmdv2/pa_enum/gui.fbp b/fdmdv2/pa_enum/gui.fbp new file mode 100644 index 00000000..6bcfd736 --- /dev/null +++ b/fdmdv2/pa_enum/gui.fbp @@ -0,0 +1,3589 @@ + + + + + + C++|Python|XRC + 1 + source_name + 0 + 0 + res + UTF-8 + connect + gui + 1000 + none + 1 + PA_Enum + + . + + 1 + 1 + 1 + 0 + 0 + + 1 + 1 + 1 + 1 + + 0 + + + + + + + 1 + wxBOTH + 0 + 1 + + 1 + 0 + Dock + 0 + Left + 1 + impl_virtual + + + 1 + + 0 + 0 + wxID_ANY + + 0 + + + 0 + + 1 + MainFrameBase + 1 + + + 1 + + Resizable + 1 + 869,417 + wxCLOSE_BOX|wxDEFAULT_FRAME_STYLE|wxRESIZE_BORDER + + PortAudio Device Enumeration + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + wxTAB_TRAVERSAL + 1 + + OnActivateApp + + + + + + + + OnCloseFrame + + + + + + + + + + + + + + + + + + + + + + + + + + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + MainMenu + + 0 + + + 0 + + 1 + m_menuBar + 1 + + + protected + 1 + + Resizable + 1 + + + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + + + + + + + + + + + + + + + + + + + + + + + + + + &File + m_menuFile + protected + + + 0 + 1 + + wxID_EXIT + wxITEM_NORMAL + E&xit + menuFileExit + none + Alt+X + + OnExitClick + + + + + + + mainSizer + wxVERTICAL + none + + 1 + wxEXPAND | wxALL + 1 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + + 0 + + + 0 + + 1 + m_panel1 + 1 + + + protected + 1 + + Resizable + 1 + + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + wxTAB_TRAVERSAL + + + + + + + + + + + + + + + + + + + + + + + + + + bSizer4 + wxVERTICAL + none + + 0 + wxEXPAND | wxALL + 1 + + 1 + 1 + 1 + 1 + + + + + + + + + 1 + 0 + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + + 0 + + + 0 + + 1 + m_notebook1 + 1 + + + protected + 1 + + Resizable + 1 + + wxNB_BOTTOM + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Receive + 1 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + + 0 + + + 0 + + 1 + m_panelRx + 1 + + + protected + 1 + + Resizable + 1 + + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + wxTAB_TRAVERSAL + + + + + + + + + + + + + + + + + + + + + + + + + + bSizer20 + wxVERTICAL + none + + 1 + wxEXPAND + 1 + + 1 + 0 + + gSizer4 + none + 2 + 0 + + 5 + wxEXPAND + 1 + + wxID_ANY + Rx Radio Device + + sbSizer2 + wxVERTICAL + none + + + 2 + wxALL|wxEXPAND + 1 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + + 0 + + + 0 + + 1 + m_listCtrlRxInDevices + 1 + + + protected + 1 + + Resizable + 1 + + wxLC_HRULES|wxLC_REPORT|wxLC_VRULES + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + OnRxInDeviceSelect + + + + + + + + + + + + + + + + + + 5 + wxEXPAND + 0 + + + bSizer811 + wxHORIZONTAL + none + + 5 + wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL + 0 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + Device: + + 0 + + + 0 + + 1 + m_staticText51 + 1 + + + protected + 1 + + Resizable + 1 + + + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + + -1 + + + + + + + + + + + + + + + + + + + + + + + + + + + 2 + wxALIGN_CENTER_VERTICAL|wxALL + 1 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + + 0 + + 0 + + 0 + + 1 + m_textCtrlRxIn + 1 + + + protected + 1 + + Resizable + 1 + + + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 5 + wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL + 0 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + Sample Rate: + + 0 + + + 0 + + 1 + m_staticText6 + 1 + + + protected + 1 + + Resizable + 1 + + + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + + -1 + + + + + + + + + + + + + + + + + + + + + + + + + + + 5 + wxALL + 0 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + + 0 + + + 0 + + 1 + m_cbSampleRateRxIn + 1 + + + protected + 1 + + Resizable + -1 + 1 + + wxCB_DROPDOWN + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 2 + wxEXPAND + 1 + + wxID_ANY + Rx Speaker Stream + + sbSizer3 + wxVERTICAL + none + + + 2 + wxALL|wxEXPAND + 1 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + + 0 + + + 0 + + 1 + m_listCtrlRxOutDevices + 1 + + + protected + 1 + + Resizable + 1 + + wxLC_HRULES|wxLC_REPORT|wxLC_VRULES + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + OnRxOutDeviceSelect + + + + + + + + + + + + + + + + + + 2 + wxEXPAND + 0 + + + bSizer81 + wxHORIZONTAL + none + + 5 + wxALIGN_CENTER_VERTICAL|wxALL + 0 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + Device: + + 0 + + + 0 + + 1 + m_staticText9 + 1 + + + protected + 1 + + Resizable + 1 + + + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + + -1 + + + + + + + + + + + + + + + + + + + + + + + + + + + 2 + wxALIGN_CENTER_VERTICAL|wxALL + 1 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + + 0 + + 0 + + 0 + + 1 + m_textRxOut + 1 + + + protected + 1 + + Resizable + 1 + + + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 5 + wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL + 0 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + Sample Rate: + + 0 + + + 0 + + 1 + m_staticText10 + 1 + + + protected + 1 + + Resizable + 1 + + + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + + -1 + + + + + + + + + + + + + + + + + + + + + + + + + + + 5 + wxALIGN_CENTER_VERTICAL|wxALL + 0 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + + 0 + + + 0 + + 1 + m_cbSampleRateRxOut + 1 + + + protected + 1 + + Resizable + -1 + 1 + + wxCB_DROPDOWN + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Transmit + 0 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + + 0 + + + 0 + + 1 + m_panelTx + 1 + + + protected + 1 + + Resizable + 1 + + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + wxTAB_TRAVERSAL + + + + + + + + + + + + + + + + + + + + + + + + + + bSizer18 + wxVERTICAL + none + + 1 + wxEXPAND + 1 + + 1 + 0 + + gSizer2 + none + 2 + 0 + + 5 + wxEXPAND + 1 + + wxID_ANY + Tx Microphone Stream + + sbSizer22 + wxVERTICAL + none + + + 2 + wxALL|wxEXPAND + 1 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + + 0 + + + 0 + + 1 + m_listCtrlTxInDevices + 1 + + + protected + 1 + + Resizable + 1 + + wxLC_HRULES|wxLC_REPORT|wxLC_VRULES + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + OnTxInDeviceSelect + + + + + + + + + + + + + + + + + + 2 + wxEXPAND + 0 + + + bSizer83 + wxHORIZONTAL + none + + 5 + wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL + 0 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + Device: + + 0 + + + 0 + + 1 + m_staticText12 + 1 + + + protected + 1 + + Resizable + 1 + + + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + + -1 + + + + + + + + + + + + + + + + + + + + + + + + + + + 2 + wxALIGN_CENTER_VERTICAL|wxALL + 1 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + + 0 + + 0 + + 0 + + 1 + m_textCtrlTxIn + 1 + + + protected + 1 + + Resizable + 1 + + + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 5 + wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL + 0 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + Sample Rate: + + 0 + + + 0 + + 1 + m_staticText11 + 1 + + + protected + 1 + + Resizable + 1 + + + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + + -1 + + + + + + + + + + + + + + + + + + + + + + + + + + + 5 + wxALL + 0 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + + 0 + + + 0 + + 1 + m_cbSampleRateTxIn + 1 + + + protected + 1 + + Resizable + -1 + 1 + + wxCB_DROPDOWN + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 5 + wxEXPAND + 1 + + wxID_ANY + Tx Radio Stream + + sbSizer21 + wxVERTICAL + none + + + 2 + wxALL|wxEXPAND + 1 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + + 0 + + + 0 + + 1 + m_listCtrlTxOutDevices + 1 + + + protected + 1 + + Resizable + 1 + + wxLC_HRULES|wxLC_REPORT|wxLC_VRULES + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + OnTxOutDeviceSelect + + + + + + + + + + + + + + + + + + 2 + wxEXPAND + 0 + + + bSizer82 + wxHORIZONTAL + none + + 5 + wxALIGN_CENTER_VERTICAL|wxALL + 0 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + Device: + + 0 + + + 0 + + 1 + m_staticText81 + 1 + + + protected + 1 + + Resizable + 1 + + + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + + -1 + + + + + + + + + + + + + + + + + + + + + + + + + + + 2 + wxALIGN_CENTER_VERTICAL|wxALL + 1 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + + 0 + + 0 + + 0 + + 1 + m_textCtrTxOut + 1 + + + protected + 1 + + Resizable + 1 + + + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 5 + wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL + 0 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + Sample Rate: + + 0 + + + 0 + + 1 + m_staticText71 + 1 + + + protected + 1 + + Resizable + 1 + + + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + + -1 + + + + + + + + + + + + + + + + + + + + + + + + + + + 5 + wxALL + 0 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + + 0 + + + 0 + + 1 + m_cbSampleRateTxOut + 1 + + + protected + 1 + + Resizable + -1 + 1 + + wxCB_DROPDOWN + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + API Info + 0 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + + 0 + + + 0 + + 1 + m_panelAPI + 1 + + + protected + 1 + + Resizable + 1 + + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + wxTAB_TRAVERSAL + + + + + + + + + + + + + + + + + + + + + + + + + + bSizer12 + wxHORIZONTAL + none + + 5 + wxEXPAND + 1 + + 1 + 0 + + gSizer31 + none + 2 + 0 + + 2 + wxEXPAND + 1 + + wxID_ANY + PortAudio + + sbSizer1 + wxVERTICAL + none + + + 2 + wxEXPAND + 1 + + 2 + 0 + + gSizer3 + none + 4 + 0 + + 1 + wxALIGN_RIGHT|wxALL|wxALIGN_CENTER_VERTICAL + 0 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + PortAudio Version String: + + 0 + + + 0 + + 1 + m_staticText7 + 1 + + + protected + 1 + + Resizable + 1 + + + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + + -1 + + + + + + + + + + + + + + + + + + + + + + + + + + + 2 + wxEXPAND + 1 + + + bSizer151 + wxVERTICAL + none + + 1 + wxALL|wxEXPAND + 0 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + + 0 + + 0 + + 0 + + 1 + m_textStringVer + 1 + + + protected + 1 + + Resizable + 1 + + wxTE_READONLY + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 1 + wxALIGN_RIGHT|wxALL|wxALIGN_CENTER_VERTICAL + 0 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + PortAudio Int Version: + + 0 + + + 0 + + 1 + m_staticText8 + 1 + + + protected + 1 + + Resizable + 1 + + + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + + -1 + + + + + + + + + + + + + + + + + + + + + + + + + + + 5 + wxEXPAND + 1 + + + bSizer13 + wxVERTICAL + none + + 1 + wxALL|wxALIGN_CENTER_VERTICAL + 0 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + + 0 + + 0 + + 0 + + 1 + m_textIntVer + 1 + + + protected + 1 + + Resizable + 1 + + wxTE_READONLY + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 1 + wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL + 0 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + Device Count: + + 0 + + + 0 + + 1 + m_staticText5 + 1 + + + protected + 1 + + Resizable + 1 + + + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + + -1 + + + + + + + + + + + + + + + + + + + + + + + + + + + 5 + wxEXPAND + 1 + + + bSizer14 + wxVERTICAL + none + + 1 + wxALIGN_CENTER_VERTICAL|wxALL + 0 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + + 0 + 45,-1 + 0 + + 0 + + 1 + m_textCDevCount + 1 + + + protected + 1 + + Resizable + 1 + 45,-1 + wxTE_READONLY + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 1 + wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL + 0 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + API Count: + + 0 + + + 0 + + 1 + m_staticText4 + 1 + + + protected + 1 + + Resizable + 1 + + + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + + -1 + + + + + + + + + + + + + + + + + + + + + + + + + + + 5 + wxEXPAND + 1 + + + bSizer15 + wxVERTICAL + none + + 1 + wxALIGN_CENTER_VERTICAL|wxALL + 0 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + 1 + + 1 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + + 0 + 45,-1 + 0 + + 0 + + 1 + m_textAPICount + 1 + + + protected + 1 + + Resizable + 1 + 45,-1 + wxTE_READONLY + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 5 + wxEXPAND + 1 + + wxID_ANY + Other + + sbSizer6 + wxVERTICAL + none + + + + + + + + + + + + + + + 2 + wxEXPAND + 0 + + + bSizer6 + wxHORIZONTAL + none + + 2 + wxALIGN_CENTER|wxALL + 0 + + 1 + 1 + 1 + 1 + + + + + + + + 1 + 0 + 1 + + 1 + 0 + 0 + Dock + 0 + Left + 1 + + 1 + + 0 + 0 + wxID_ANY + Refresh + + 0 + + + 0 + + 1 + m_btnRefresh + 1 + + + protected + 1 + + Resizable + 1 + + + + 0 + + + wxFILTER_NONE + wxDefaultValidator + + + + + OnRefreshClick + + + + + + + + + + + + + + + + + + + + + + + + + + + 2 + wxALIGN_CENTER_VERTICAL + 1 + + 1 + 1 + 0 + 0 + 0 + 1 + 0 + 0 + + m_sdbSizer1 + protected + OnApplyAudioParameters + OnCancelAudioParameters + + + + OnOkAudioParameters + + + + + + + + + + diff --git a/fdmdv2/pa_enum/gui.h b/fdmdv2/pa_enum/gui.h new file mode 100644 index 00000000..b46e0111 --- /dev/null +++ b/fdmdv2/pa_enum/gui.h @@ -0,0 +1,108 @@ +/////////////////////////////////////////////////////////////////////////// +// C++ code generated with wxFormBuilder (version Mar 17 2012) +// http://www.wxformbuilder.org/ +// +// PLEASE DO "NOT" EDIT THIS FILE! +/////////////////////////////////////////////////////////////////////////// + +#ifndef __GUI_H__ +#define __GUI_H__ + +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + +/////////////////////////////////////////////////////////////////////////// + + +/////////////////////////////////////////////////////////////////////////////// +/// Class MainFrameBase +/////////////////////////////////////////////////////////////////////////////// +class MainFrameBase : public wxFrame +{ + private: + + protected: + wxMenuBar* m_menuBar; + wxMenu* m_menuFile; + wxPanel* m_panel1; + wxNotebook* m_notebook1; + wxPanel* m_panelRx; + wxListCtrl* m_listCtrlRxInDevices; + wxStaticText* m_staticText51; + wxTextCtrl* m_textCtrlRxIn; + wxStaticText* m_staticText6; + wxComboBox* m_cbSampleRateRxIn; + wxListCtrl* m_listCtrlRxOutDevices; + wxStaticText* m_staticText9; + wxTextCtrl* m_textRxOut; + wxStaticText* m_staticText10; + wxComboBox* m_cbSampleRateRxOut; + wxPanel* m_panelTx; + wxListCtrl* m_listCtrlTxInDevices; + wxStaticText* m_staticText12; + wxTextCtrl* m_textCtrlTxIn; + wxStaticText* m_staticText11; + wxComboBox* m_cbSampleRateTxIn; + wxListCtrl* m_listCtrlTxOutDevices; + wxStaticText* m_staticText81; + wxTextCtrl* m_textCtrTxOut; + wxStaticText* m_staticText71; + wxComboBox* m_cbSampleRateTxOut; + wxPanel* m_panelAPI; + wxStaticText* m_staticText7; + wxTextCtrl* m_textStringVer; + wxStaticText* m_staticText8; + wxTextCtrl* m_textIntVer; + wxStaticText* m_staticText5; + wxTextCtrl* m_textCDevCount; + wxStaticText* m_staticText4; + wxTextCtrl* m_textAPICount; + wxButton* m_btnRefresh; + wxStdDialogButtonSizer* m_sdbSizer1; + wxButton* m_sdbSizer1OK; + wxButton* m_sdbSizer1Apply; + wxButton* m_sdbSizer1Cancel; + + // Virtual event handlers, overide them in your derived class + virtual void OnActivateApp( wxActivateEvent& event ) { event.Skip(); } + virtual void OnCloseFrame( wxCloseEvent& event ) { event.Skip(); } + virtual void OnExitClick( wxCommandEvent& event ) { event.Skip(); } + virtual void OnRxInDeviceSelect( wxListEvent& event ) { event.Skip(); } + virtual void OnRxOutDeviceSelect( wxListEvent& event ) { event.Skip(); } + virtual void OnTxInDeviceSelect( wxListEvent& event ) { event.Skip(); } + virtual void OnTxOutDeviceSelect( wxListEvent& event ) { event.Skip(); } + virtual void OnRefreshClick( wxCommandEvent& event ) { event.Skip(); } + virtual void OnApplyAudioParameters( wxCommandEvent& event ) { event.Skip(); } + virtual void OnCancelAudioParameters( wxCommandEvent& event ) { event.Skip(); } + virtual void OnOkAudioParameters( wxCommandEvent& event ) { event.Skip(); } + + + public: + + MainFrameBase( wxWindow* parent, wxWindowID id = wxID_ANY, const wxString& title = _("PortAudio Device Enumeration"), const wxPoint& pos = wxDefaultPosition, const wxSize& size = wxSize( 869,417 ), long style = wxCLOSE_BOX|wxDEFAULT_FRAME_STYLE|wxRESIZE_BORDER|wxTAB_TRAVERSAL ); + + ~MainFrameBase(); + +}; + +#endif //__GUI_H__ diff --git a/fdmdv2/pa_enum/gui.py b/fdmdv2/pa_enum/gui.py new file mode 100644 index 00000000..0fb8ccb6 --- /dev/null +++ b/fdmdv2/pa_enum/gui.py @@ -0,0 +1,345 @@ +# -*- coding: utf-8 -*- + +########################################################################### +## Python code generated with wxFormBuilder (version Mar 17 2012) +## http://www.wxformbuilder.org/ +## +## PLEASE DO "NOT" EDIT THIS FILE! +########################################################################### + +import wx +import wx.xrc + +import gettext +_ = gettext.gettext + +########################################################################### +## Class MainFrameBase +########################################################################### + +class MainFrameBase ( wx.Frame ): + + def __init__( self, parent ): + wx.Frame.__init__ ( self, parent, id = wx.ID_ANY, title = _(u"PortAudio Device Enumeration"), pos = wx.DefaultPosition, size = wx.Size( 869,417 ), style = wx.CLOSE_BOX|wx.DEFAULT_FRAME_STYLE|wx.RESIZE_BORDER|wx.TAB_TRAVERSAL ) + + self.SetSizeHintsSz( wx.DefaultSize, wx.DefaultSize ) + + self.m_menuBar = wx.MenuBar( 0 ) + self.m_menuFile = wx.Menu() + self.menuFileExit = wx.MenuItem( self.m_menuFile, wx.ID_EXIT, _(u"E&xit")+ u"\t" + u"Alt+X", wx.EmptyString, wx.ITEM_NORMAL ) + self.m_menuFile.AppendItem( self.menuFileExit ) + + self.m_menuBar.Append( self.m_menuFile, _(u"&File") ) + + self.SetMenuBar( self.m_menuBar ) + + mainSizer = wx.BoxSizer( wx.VERTICAL ) + + self.m_panel1 = wx.Panel( self, wx.ID_ANY, wx.DefaultPosition, wx.DefaultSize, wx.TAB_TRAVERSAL ) + bSizer4 = wx.BoxSizer( wx.VERTICAL ) + + self.m_notebook1 = wx.Notebook( self.m_panel1, wx.ID_ANY, wx.DefaultPosition, wx.DefaultSize, wx.NB_BOTTOM ) + self.m_panelRx = wx.Panel( self.m_notebook1, wx.ID_ANY, wx.DefaultPosition, wx.DefaultSize, wx.TAB_TRAVERSAL ) + bSizer20 = wx.BoxSizer( wx.VERTICAL ) + + gSizer4 = wx.GridSizer( 2, 1, 0, 0 ) + + sbSizer2 = wx.StaticBoxSizer( wx.StaticBox( self.m_panelRx, wx.ID_ANY, _(u"Rx Radio Device") ), wx.VERTICAL ) + + self.m_listCtrlRxInDevices = wx.ListCtrl( self.m_panelRx, wx.ID_ANY, wx.DefaultPosition, wx.DefaultSize, wx.LC_HRULES|wx.LC_REPORT|wx.LC_VRULES ) + sbSizer2.Add( self.m_listCtrlRxInDevices, 1, wx.ALL|wx.EXPAND, 2 ) + + bSizer811 = wx.BoxSizer( wx.HORIZONTAL ) + + self.m_staticText51 = wx.StaticText( self.m_panelRx, wx.ID_ANY, _(u"Device:"), wx.DefaultPosition, wx.DefaultSize, 0 ) + self.m_staticText51.Wrap( -1 ) + bSizer811.Add( self.m_staticText51, 0, wx.ALIGN_CENTER_VERTICAL|wx.ALIGN_RIGHT|wx.ALL, 5 ) + + self.m_textCtrlRxIn = wx.TextCtrl( self.m_panelRx, wx.ID_ANY, wx.EmptyString, wx.DefaultPosition, wx.DefaultSize, 0 ) + bSizer811.Add( self.m_textCtrlRxIn, 1, wx.ALIGN_CENTER_VERTICAL|wx.ALL, 2 ) + + self.m_staticText6 = wx.StaticText( self.m_panelRx, wx.ID_ANY, _(u"Sample Rate:"), wx.DefaultPosition, wx.DefaultSize, 0 ) + self.m_staticText6.Wrap( -1 ) + bSizer811.Add( self.m_staticText6, 0, wx.ALIGN_CENTER_VERTICAL|wx.ALIGN_RIGHT|wx.ALL, 5 ) + + m_cbSampleRateRxInChoices = [] + self.m_cbSampleRateRxIn = wx.ComboBox( self.m_panelRx, wx.ID_ANY, wx.EmptyString, wx.DefaultPosition, wx.DefaultSize, m_cbSampleRateRxInChoices, wx.CB_DROPDOWN ) + bSizer811.Add( self.m_cbSampleRateRxIn, 0, wx.ALL, 5 ) + + + sbSizer2.Add( bSizer811, 0, wx.EXPAND, 5 ) + + + gSizer4.Add( sbSizer2, 1, wx.EXPAND, 5 ) + + sbSizer3 = wx.StaticBoxSizer( wx.StaticBox( self.m_panelRx, wx.ID_ANY, _(u"Rx Speaker Stream") ), wx.VERTICAL ) + + self.m_listCtrlRxOutDevices = wx.ListCtrl( self.m_panelRx, wx.ID_ANY, wx.DefaultPosition, wx.DefaultSize, wx.LC_HRULES|wx.LC_REPORT|wx.LC_VRULES ) + sbSizer3.Add( self.m_listCtrlRxOutDevices, 1, wx.ALL|wx.EXPAND, 2 ) + + bSizer81 = wx.BoxSizer( wx.HORIZONTAL ) + + self.m_staticText9 = wx.StaticText( self.m_panelRx, wx.ID_ANY, _(u"Device:"), wx.DefaultPosition, wx.DefaultSize, 0 ) + self.m_staticText9.Wrap( -1 ) + bSizer81.Add( self.m_staticText9, 0, wx.ALIGN_CENTER_VERTICAL|wx.ALL, 5 ) + + self.m_textRxOut = wx.TextCtrl( self.m_panelRx, wx.ID_ANY, wx.EmptyString, wx.DefaultPosition, wx.DefaultSize, 0 ) + bSizer81.Add( self.m_textRxOut, 1, wx.ALIGN_CENTER_VERTICAL|wx.ALL, 2 ) + + self.m_staticText10 = wx.StaticText( self.m_panelRx, wx.ID_ANY, _(u"Sample Rate:"), wx.DefaultPosition, wx.DefaultSize, 0 ) + self.m_staticText10.Wrap( -1 ) + bSizer81.Add( self.m_staticText10, 0, wx.ALIGN_CENTER_VERTICAL|wx.ALIGN_RIGHT|wx.ALL, 5 ) + + m_cbSampleRateRxOutChoices = [] + self.m_cbSampleRateRxOut = wx.ComboBox( self.m_panelRx, wx.ID_ANY, wx.EmptyString, wx.DefaultPosition, wx.DefaultSize, m_cbSampleRateRxOutChoices, wx.CB_DROPDOWN ) + bSizer81.Add( self.m_cbSampleRateRxOut, 0, wx.ALIGN_CENTER_VERTICAL|wx.ALL, 5 ) + + + sbSizer3.Add( bSizer81, 0, wx.EXPAND, 2 ) + + + gSizer4.Add( sbSizer3, 1, wx.EXPAND, 2 ) + + + bSizer20.Add( gSizer4, 1, wx.EXPAND, 1 ) + + + self.m_panelRx.SetSizer( bSizer20 ) + self.m_panelRx.Layout() + bSizer20.Fit( self.m_panelRx ) + self.m_notebook1.AddPage( self.m_panelRx, _(u"Receive"), True ) + self.m_panelTx = wx.Panel( self.m_notebook1, wx.ID_ANY, wx.DefaultPosition, wx.DefaultSize, wx.TAB_TRAVERSAL ) + bSizer18 = wx.BoxSizer( wx.VERTICAL ) + + gSizer2 = wx.GridSizer( 2, 1, 0, 0 ) + + sbSizer22 = wx.StaticBoxSizer( wx.StaticBox( self.m_panelTx, wx.ID_ANY, _(u"Tx Microphone Stream") ), wx.VERTICAL ) + + self.m_listCtrlTxInDevices = wx.ListCtrl( self.m_panelTx, wx.ID_ANY, wx.DefaultPosition, wx.DefaultSize, wx.LC_HRULES|wx.LC_REPORT|wx.LC_VRULES ) + sbSizer22.Add( self.m_listCtrlTxInDevices, 1, wx.ALL|wx.EXPAND, 2 ) + + bSizer83 = wx.BoxSizer( wx.HORIZONTAL ) + + self.m_staticText12 = wx.StaticText( self.m_panelTx, wx.ID_ANY, _(u"Device:"), wx.DefaultPosition, wx.DefaultSize, 0 ) + self.m_staticText12.Wrap( -1 ) + bSizer83.Add( self.m_staticText12, 0, wx.ALIGN_CENTER_VERTICAL|wx.ALIGN_RIGHT|wx.ALL, 5 ) + + self.m_textCtrlTxIn = wx.TextCtrl( self.m_panelTx, wx.ID_ANY, wx.EmptyString, wx.DefaultPosition, wx.DefaultSize, 0 ) + bSizer83.Add( self.m_textCtrlTxIn, 1, wx.ALIGN_CENTER_VERTICAL|wx.ALL, 2 ) + + self.m_staticText11 = wx.StaticText( self.m_panelTx, wx.ID_ANY, _(u"Sample Rate:"), wx.DefaultPosition, wx.DefaultSize, 0 ) + self.m_staticText11.Wrap( -1 ) + bSizer83.Add( self.m_staticText11, 0, wx.ALIGN_CENTER_VERTICAL|wx.ALIGN_RIGHT|wx.ALL, 5 ) + + m_cbSampleRateTxInChoices = [] + self.m_cbSampleRateTxIn = wx.ComboBox( self.m_panelTx, wx.ID_ANY, wx.EmptyString, wx.DefaultPosition, wx.DefaultSize, m_cbSampleRateTxInChoices, wx.CB_DROPDOWN ) + bSizer83.Add( self.m_cbSampleRateTxIn, 0, wx.ALL, 5 ) + + + sbSizer22.Add( bSizer83, 0, wx.EXPAND, 2 ) + + + gSizer2.Add( sbSizer22, 1, wx.EXPAND, 5 ) + + sbSizer21 = wx.StaticBoxSizer( wx.StaticBox( self.m_panelTx, wx.ID_ANY, _(u"Tx Radio Stream") ), wx.VERTICAL ) + + self.m_listCtrlTxOutDevices = wx.ListCtrl( self.m_panelTx, wx.ID_ANY, wx.DefaultPosition, wx.DefaultSize, wx.LC_HRULES|wx.LC_REPORT|wx.LC_VRULES ) + sbSizer21.Add( self.m_listCtrlTxOutDevices, 1, wx.ALL|wx.EXPAND, 2 ) + + bSizer82 = wx.BoxSizer( wx.HORIZONTAL ) + + self.m_staticText81 = wx.StaticText( self.m_panelTx, wx.ID_ANY, _(u"Device:"), wx.DefaultPosition, wx.DefaultSize, 0 ) + self.m_staticText81.Wrap( -1 ) + bSizer82.Add( self.m_staticText81, 0, wx.ALIGN_CENTER_VERTICAL|wx.ALL, 5 ) + + self.m_textCtrTxOut = wx.TextCtrl( self.m_panelTx, wx.ID_ANY, wx.EmptyString, wx.DefaultPosition, wx.DefaultSize, 0 ) + bSizer82.Add( self.m_textCtrTxOut, 1, wx.ALIGN_CENTER_VERTICAL|wx.ALL, 2 ) + + self.m_staticText71 = wx.StaticText( self.m_panelTx, wx.ID_ANY, _(u"Sample Rate:"), wx.DefaultPosition, wx.DefaultSize, 0 ) + self.m_staticText71.Wrap( -1 ) + bSizer82.Add( self.m_staticText71, 0, wx.ALIGN_CENTER_VERTICAL|wx.ALIGN_RIGHT|wx.ALL, 5 ) + + m_cbSampleRateTxOutChoices = [] + self.m_cbSampleRateTxOut = wx.ComboBox( self.m_panelTx, wx.ID_ANY, wx.EmptyString, wx.DefaultPosition, wx.DefaultSize, m_cbSampleRateTxOutChoices, wx.CB_DROPDOWN ) + bSizer82.Add( self.m_cbSampleRateTxOut, 0, wx.ALL, 5 ) + + + sbSizer21.Add( bSizer82, 0, wx.EXPAND, 2 ) + + + gSizer2.Add( sbSizer21, 1, wx.EXPAND, 5 ) + + + bSizer18.Add( gSizer2, 1, wx.EXPAND, 1 ) + + + self.m_panelTx.SetSizer( bSizer18 ) + self.m_panelTx.Layout() + bSizer18.Fit( self.m_panelTx ) + self.m_notebook1.AddPage( self.m_panelTx, _(u"Transmit"), False ) + self.m_panelAPI = wx.Panel( self.m_notebook1, wx.ID_ANY, wx.DefaultPosition, wx.DefaultSize, wx.TAB_TRAVERSAL ) + bSizer12 = wx.BoxSizer( wx.HORIZONTAL ) + + gSizer31 = wx.GridSizer( 2, 1, 0, 0 ) + + sbSizer1 = wx.StaticBoxSizer( wx.StaticBox( self.m_panelAPI, wx.ID_ANY, _(u"PortAudio") ), wx.VERTICAL ) + + gSizer3 = wx.GridSizer( 4, 2, 0, 0 ) + + self.m_staticText7 = wx.StaticText( self.m_panelAPI, wx.ID_ANY, _(u"PortAudio Version String:"), wx.DefaultPosition, wx.DefaultSize, 0 ) + self.m_staticText7.Wrap( -1 ) + gSizer3.Add( self.m_staticText7, 0, wx.ALIGN_RIGHT|wx.ALL|wx.ALIGN_CENTER_VERTICAL, 1 ) + + bSizer151 = wx.BoxSizer( wx.VERTICAL ) + + self.m_textStringVer = wx.TextCtrl( self.m_panelAPI, wx.ID_ANY, wx.EmptyString, wx.DefaultPosition, wx.DefaultSize, wx.TE_READONLY ) + bSizer151.Add( self.m_textStringVer, 0, wx.ALL|wx.EXPAND, 1 ) + + + gSizer3.Add( bSizer151, 1, wx.EXPAND, 2 ) + + self.m_staticText8 = wx.StaticText( self.m_panelAPI, wx.ID_ANY, _(u"PortAudio Int Version:"), wx.DefaultPosition, wx.DefaultSize, 0 ) + self.m_staticText8.Wrap( -1 ) + gSizer3.Add( self.m_staticText8, 0, wx.ALIGN_RIGHT|wx.ALL|wx.ALIGN_CENTER_VERTICAL, 1 ) + + bSizer13 = wx.BoxSizer( wx.VERTICAL ) + + self.m_textIntVer = wx.TextCtrl( self.m_panelAPI, wx.ID_ANY, wx.EmptyString, wx.DefaultPosition, wx.DefaultSize, wx.TE_READONLY ) + bSizer13.Add( self.m_textIntVer, 0, wx.ALL|wx.ALIGN_CENTER_VERTICAL, 1 ) + + + gSizer3.Add( bSizer13, 1, wx.EXPAND, 5 ) + + self.m_staticText5 = wx.StaticText( self.m_panelAPI, wx.ID_ANY, _(u"Device Count:"), wx.DefaultPosition, wx.DefaultSize, 0 ) + self.m_staticText5.Wrap( -1 ) + gSizer3.Add( self.m_staticText5, 0, wx.ALIGN_CENTER_VERTICAL|wx.ALIGN_RIGHT|wx.ALL, 1 ) + + bSizer14 = wx.BoxSizer( wx.VERTICAL ) + + self.m_textCDevCount = wx.TextCtrl( self.m_panelAPI, wx.ID_ANY, wx.EmptyString, wx.DefaultPosition, wx.Size( 45,-1 ), wx.TE_READONLY ) + self.m_textCDevCount.SetMaxSize( wx.Size( 45,-1 ) ) + + bSizer14.Add( self.m_textCDevCount, 0, wx.ALIGN_CENTER_VERTICAL|wx.ALL, 1 ) + + + gSizer3.Add( bSizer14, 1, wx.EXPAND, 5 ) + + self.m_staticText4 = wx.StaticText( self.m_panelAPI, wx.ID_ANY, _(u"API Count:"), wx.DefaultPosition, wx.DefaultSize, 0 ) + self.m_staticText4.Wrap( -1 ) + gSizer3.Add( self.m_staticText4, 0, wx.ALIGN_CENTER_VERTICAL|wx.ALIGN_RIGHT|wx.ALL, 1 ) + + bSizer15 = wx.BoxSizer( wx.VERTICAL ) + + self.m_textAPICount = wx.TextCtrl( self.m_panelAPI, wx.ID_ANY, wx.EmptyString, wx.DefaultPosition, wx.Size( 45,-1 ), wx.TE_READONLY ) + self.m_textAPICount.SetMaxSize( wx.Size( 45,-1 ) ) + + bSizer15.Add( self.m_textAPICount, 0, wx.ALIGN_CENTER_VERTICAL|wx.ALL, 1 ) + + + gSizer3.Add( bSizer15, 1, wx.EXPAND, 5 ) + + + sbSizer1.Add( gSizer3, 1, wx.EXPAND, 2 ) + + + gSizer31.Add( sbSizer1, 1, wx.EXPAND, 2 ) + + sbSizer6 = wx.StaticBoxSizer( wx.StaticBox( self.m_panelAPI, wx.ID_ANY, _(u"Other") ), wx.VERTICAL ) + + + gSizer31.Add( sbSizer6, 1, wx.EXPAND, 5 ) + + + bSizer12.Add( gSizer31, 1, wx.EXPAND, 5 ) + + + self.m_panelAPI.SetSizer( bSizer12 ) + self.m_panelAPI.Layout() + bSizer12.Fit( self.m_panelAPI ) + self.m_notebook1.AddPage( self.m_panelAPI, _(u"API Info"), False ) + + bSizer4.Add( self.m_notebook1, 1, wx.EXPAND |wx.ALL, 0 ) + + + self.m_panel1.SetSizer( bSizer4 ) + self.m_panel1.Layout() + bSizer4.Fit( self.m_panel1 ) + mainSizer.Add( self.m_panel1, 1, wx.EXPAND |wx.ALL, 1 ) + + bSizer6 = wx.BoxSizer( wx.HORIZONTAL ) + + self.m_btnRefresh = wx.Button( self, wx.ID_ANY, _(u"Refresh"), wx.DefaultPosition, wx.DefaultSize, 0 ) + bSizer6.Add( self.m_btnRefresh, 0, wx.ALIGN_CENTER|wx.ALL, 2 ) + + m_sdbSizer1 = wx.StdDialogButtonSizer() + self.m_sdbSizer1OK = wx.Button( self, wx.ID_OK ) + m_sdbSizer1.AddButton( self.m_sdbSizer1OK ) + self.m_sdbSizer1Apply = wx.Button( self, wx.ID_APPLY ) + m_sdbSizer1.AddButton( self.m_sdbSizer1Apply ) + self.m_sdbSizer1Cancel = wx.Button( self, wx.ID_CANCEL ) + m_sdbSizer1.AddButton( self.m_sdbSizer1Cancel ) + m_sdbSizer1.Realize(); + + bSizer6.Add( m_sdbSizer1, 1, wx.ALIGN_CENTER_VERTICAL, 2 ) + + + mainSizer.Add( bSizer6, 0, wx.EXPAND, 2 ) + + + self.SetSizer( mainSizer ) + self.Layout() + + self.Centre( wx.BOTH ) + + # Connect Events + self.Bind( wx.EVT_ACTIVATE_APP, self.OnActivateApp ) + self.Bind( wx.EVT_CLOSE, self.OnCloseFrame ) + self.Bind( wx.EVT_MENU, self.OnExitClick, id = self.menuFileExit.GetId() ) + self.m_listCtrlRxInDevices.Bind( wx.EVT_LIST_ITEM_SELECTED, self.OnRxInDeviceSelect ) + self.m_listCtrlRxOutDevices.Bind( wx.EVT_LIST_ITEM_SELECTED, self.OnRxOutDeviceSelect ) + self.m_listCtrlTxInDevices.Bind( wx.EVT_LIST_ITEM_SELECTED, self.OnTxInDeviceSelect ) + self.m_listCtrlTxOutDevices.Bind( wx.EVT_LIST_ITEM_SELECTED, self.OnTxOutDeviceSelect ) + self.m_btnRefresh.Bind( wx.EVT_BUTTON, self.OnRefreshClick ) + self.m_sdbSizer1Apply.Bind( wx.EVT_BUTTON, self.OnApplyAudioParameters ) + self.m_sdbSizer1Cancel.Bind( wx.EVT_BUTTON, self.OnCancelAudioParameters ) + self.m_sdbSizer1OK.Bind( wx.EVT_BUTTON, self.OnOkAudioParameters ) + + def __del__( self ): + pass + + + # Virtual event handlers, overide them in your derived class + def OnActivateApp( self, event ): + event.Skip() + + def OnCloseFrame( self, event ): + event.Skip() + + def OnExitClick( self, event ): + event.Skip() + + def OnRxInDeviceSelect( self, event ): + event.Skip() + + def OnRxOutDeviceSelect( self, event ): + event.Skip() + + def OnTxInDeviceSelect( self, event ): + event.Skip() + + def OnTxOutDeviceSelect( self, event ): + event.Skip() + + def OnRefreshClick( self, event ): + event.Skip() + + def OnApplyAudioParameters( self, event ): + event.Skip() + + def OnCancelAudioParameters( self, event ): + event.Skip() + + def OnOkAudioParameters( self, event ): + event.Skip() + + diff --git a/fdmdv2/pa_enum/gui.xrc b/fdmdv2/pa_enum/gui.xrc new file mode 100644 index 00000000..7aaedc83 --- /dev/null +++ b/fdmdv2/pa_enum/gui.xrc @@ -0,0 +1,537 @@ + + + + + 869,417 + PortAudio Device Enumeration + 1 + 0 + + + + + + + + + + + + wxVERTICAL + + + wxEXPAND | wxALL + 1 + + + + wxVERTICAL + + + wxEXPAND | wxALL + 0 + + + + + 1 + + + + wxVERTICAL + + + wxEXPAND + 1 + + 2 + 1 + 0 + 0 + + + wxEXPAND + 5 + + wxVERTICAL + + + + wxALL|wxEXPAND + 2 + + + + + + + wxEXPAND + 5 + + wxHORIZONTAL + + + wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL + 5 + + + -1 + + + + + wxALIGN_CENTER_VERTICAL|wxALL + 2 + + + 0 + + + + + wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL + 5 + + + -1 + + + + + wxALL + 5 + + + + + + + + + + + + + wxEXPAND + 2 + + wxVERTICAL + + + + wxALL|wxEXPAND + 2 + + + + + + + wxEXPAND + 2 + + wxHORIZONTAL + + + wxALIGN_CENTER_VERTICAL|wxALL + 5 + + + -1 + + + + + wxALIGN_CENTER_VERTICAL|wxALL + 2 + + + 0 + + + + + wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL + 5 + + + -1 + + + + + wxALIGN_CENTER_VERTICAL|wxALL + 5 + + + + + + + + + + + + + + + + + + 0 + + + + wxVERTICAL + + + wxEXPAND + 1 + + 2 + 1 + 0 + 0 + + + wxEXPAND + 5 + + wxVERTICAL + + + + wxALL|wxEXPAND + 2 + + + + + + + wxEXPAND + 2 + + wxHORIZONTAL + + + wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL + 5 + + + -1 + + + + + wxALIGN_CENTER_VERTICAL|wxALL + 2 + + + 0 + + + + + wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL + 5 + + + -1 + + + + + wxALL + 5 + + + + + + + + + + + + + wxEXPAND + 5 + + wxVERTICAL + + + + wxALL|wxEXPAND + 2 + + + + + + + wxEXPAND + 2 + + wxHORIZONTAL + + + wxALIGN_CENTER_VERTICAL|wxALL + 5 + + + -1 + + + + + wxALIGN_CENTER_VERTICAL|wxALL + 2 + + + 0 + + + + + wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL + 5 + + + -1 + + + + + wxALL + 5 + + + + + + + + + + + + + + + + + + 0 + + + + wxHORIZONTAL + + + wxEXPAND + 5 + + 2 + 1 + 0 + 0 + + + wxEXPAND + 2 + + wxVERTICAL + + + + wxEXPAND + 2 + + 4 + 2 + 0 + 0 + + + wxALIGN_RIGHT|wxALL|wxALIGN_CENTER_VERTICAL + 1 + + + -1 + + + + + wxEXPAND + 2 + + wxVERTICAL + + + wxALL|wxEXPAND + 1 + + + + 0 + + + + + + + wxALIGN_RIGHT|wxALL|wxALIGN_CENTER_VERTICAL + 1 + + + -1 + + + + + wxEXPAND + 5 + + wxVERTICAL + + + wxALL|wxALIGN_CENTER_VERTICAL + 1 + + + + 0 + + + + + + + wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL + 1 + + + -1 + + + + + wxEXPAND + 5 + + wxVERTICAL + + + wxALIGN_CENTER_VERTICAL|wxALL + 1 + + + 45,-1 + + 0 + + + + + + + wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL + 1 + + + -1 + + + + + wxEXPAND + 5 + + wxVERTICAL + + + wxALIGN_CENTER_VERTICAL|wxALL + 1 + + + 45,-1 + + 0 + + + + + + + + + + + wxEXPAND + 5 + + wxVERTICAL + + + + + + + + + + + + + + + + wxEXPAND + 2 + + wxHORIZONTAL + + + wxALIGN_CENTER|wxALL + 2 + + + 0 + + + + + wxALIGN_CENTER_VERTICAL + 2 + + + wxALIGN_CENTER_HORIZONTAL|wxALL + 5 + + + + + + wxALIGN_CENTER_HORIZONTAL|wxALL + 5 + + + + + + wxALIGN_CENTER_HORIZONTAL|wxALL + 5 + + + + + + + + + + + diff --git a/fdmdv2/pa_enum/main.cpp b/fdmdv2/pa_enum/main.cpp new file mode 100644 index 00000000..25a50ef2 --- /dev/null +++ b/fdmdv2/pa_enum/main.cpp @@ -0,0 +1,417 @@ +//========================================================================= +// Name: main.cpp +// Purpose: Implements simple wxWidgets application with GUI +// created using wxFormBuilder. +// Author: +// Created: +// Copyright: +// License: wxWidgets license (www.wxwidgets.org) +// +// Notes: Note that all GUI creation code is implemented in +// gui.cpp source file which is generated by wxFormBuilder. +//========================================================================= +#include "main.h" + +// initialize the application +IMPLEMENT_APP(MainApp); + +//-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=--=-=-=-= +// application class implementation +//-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=--=-=-=-= +bool MainApp::OnInit() +{ + SetTopWindow(new MainFrame(NULL)); + GetTopWindow()->Show(); + // true = enter the main loop + return true; +} + +//-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=--=-=-=-= +// main application frame implementation +//-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=--=-=-=-= +MainFrame::MainFrame(wxWindow *parent) : MainFrameBase(parent) +{ + m_isPaInitialized = false; + wxInitAllImageHandlers(); + // Give it an icon + SetIcon(wxICON("../bitmaps/sample.ico")); + + // Make an image list containing large icons + m_imageListNormal = new wxImageList(32, 32, true); + m_imageListSmall = new wxImageList(16, 16, true); + +#ifdef __WXMSW__ + m_imageListSmall->Add(wxIcon(wxT("bitmaps/toolchec.ico"), wxBITMAP_TYPE_ICO)); + m_imageListSmall->Add(wxIcon(wxT("bitmaps/transparent.ico"), wxBITMAP_TYPE_ICO)); + m_imageListSmall->Add(wxIcon(wxT("bitmaps/toolchar.ico"), wxBITMAP_TYPE_ICO)); + m_imageListSmall->Add(wxIcon(wxT("bitmaps/tooldata.ico"), wxBITMAP_TYPE_ICO)); + m_imageListSmall->Add(wxIcon(wxT("bitmaps/toolgame.ico"), wxBITMAP_TYPE_ICO)); + m_imageListSmall->Add(wxIcon(wxT("bitmaps/toolnote.ico"), wxBITMAP_TYPE_ICO)); + m_imageListSmall->Add(wxIcon(wxT("bitmaps/tooltime.ico"), wxBITMAP_TYPE_ICO)); + m_imageListSmall->Add(wxIcon(wxT("bitmaps/inArrow16x16.ico"), wxBITMAP_TYPE_ICO)); + m_imageListSmall->Add(wxIcon(wxT("bitmaps/outArrow16x16.ico"), wxBITMAP_TYPE_ICO)); +#else + m_imageListNormal->Add(wxIcon( toolbrai_xpm )); + m_imageListNormal->Add(wxIcon( toolchar_xpm )); + m_imageListNormal->Add(wxIcon( tooldata_xpm )); + m_imageListNormal->Add(wxIcon( toolnote_xpm )); + m_imageListNormal->Add(wxIcon( tooltodo_xpm )); + m_imageListNormal->Add(wxIcon( toolchec_xpm )); + m_imageListNormal->Add(wxIcon( toolgame_xpm )); + m_imageListNormal->Add(wxIcon( tooltime_xpm )); + m_imageListNormal->Add(wxIcon( toolword_xpm )); + m_imageListSmall->Add(wxIcon(small1_xpm)); +#endif + if(!m_isPaInitialized) + { + if((pa_err = Pa_Initialize()) == paNoError) + { + m_isPaInitialized = true; + } + else + { + wxMessageBox(wxT("Port Audio failed to initialize"), wxT("Pa_Initialize"), wxOK); + return; + } + } + m_notebook1->SetSelection(0); + showAPIInfo(); + populateParams(m_listCtrlRxInDevices, AUDIO_IN); + populateParams(m_listCtrlRxOutDevices, AUDIO_OUT); + populateParams(m_listCtrlTxInDevices, AUDIO_IN); + populateParams(m_listCtrlTxOutDevices, AUDIO_OUT); +} + +//-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=--=-=-=-= +// ~MainFrame() +//-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=--=-=-=-= +MainFrame::~MainFrame() +{ +} + +//------------------------------------------------------------------------- +// OnCloseFrame() +//------------------------------------------------------------------------- +void MainFrame::OnCloseFrame(wxCloseEvent& event) +{ + if(m_isPaInitialized) + { + if((pa_err = Pa_Terminate()) == paNoError) + { + m_isPaInitialized = false; + } + else + { + wxMessageBox(wxT("Port Audio failed to Terminate"), wxT("Pa_Terminate"), wxOK); + } + } + Destroy(); +} + +//------------------------------------------------------------------------- +// OnExitClick() +//------------------------------------------------------------------------- +void MainFrame::OnExitClick(wxCommandEvent& event) +{ + if(m_isPaInitialized) + { + if((pa_err = Pa_Terminate()) == paNoError) + { + m_isPaInitialized = false; + } + else + { + wxMessageBox(wxT("Port Audio failed to Terminate"), wxT("Pa_Terminate"), wxOK); + } + } + Destroy(); +} + +/* +//------------------------------------------------------------------------- +// getSelectedItems() +//------------------------------------------------------------------------- +long *MainFrame::getSelectedItems(wxListCtrl*listCtrl) +{ + long *items[]; + long item = listCtrl->GetNextItem(-1, wxLIST_NEXT_ALL, wxLIST_STATE_SELECTED); + items[0] = item; + while ( item != -1 ) + { + wxLogMessage(wxT("\t%ld (%s)"), item, listCtrl->GetItemText(item).c_str()); + if ( ++shownCount > 10 ) + { + wxLogMessage(wxT("\t... more selected items snipped...")); + break; + } + item = listCtrl->GetNextItem(item, wxLIST_NEXT_ALL, wxLIST_STATE_SELECTED); + } +} return items; +*/ + +//------------------------------------------------------------------------- +// OnDeviceSelect() +//------------------------------------------------------------------------- +void MainFrame::OnDeviceSelect( wxListEvent& event ) +{ + wxListItem info; + long item; + item = m_listCtrlRxInDevices->GetNextItem(-1, wxLIST_NEXT_ALL, wxLIST_STATE_SELECTED); + wxMessageBox(m_listCtrlRxInDevices->GetItemText(item, 2), wxT("Item"), wxOK); +} + +//------------------------------------------------------------------------- +// DisplaySupportedSampleRates() +//------------------------------------------------------------------------- +void MainFrame::DisplaySupportedSampleRates(const PaStreamParameters *inputParameters, const PaStreamParameters *outputParameters) +{ + static double standardSampleRates[] = + { + 8000.0, 9600.0, + 11025.0, 12000.0, + 16000.0, 22050.0, + 24000.0, 32000.0, + 44100.0, 48000.0, + 88200.0, 96000.0, + 192000.0, -1 // negative terminated list + }; + int i; + int printCount; + PaError err; + + printCount = 0; + for(i=0; standardSampleRates[i] > 0; i++) + { + err = Pa_IsFormatSupported(inputParameters, outputParameters, standardSampleRates[i]); + if(err == paFormatIsSupported) + { + if(printCount == 0) + { + printf("\t%8.2f", standardSampleRates[i]); + printCount = 1; + } + else if(printCount == 4) + { + printf(",\n\t%8.2f", standardSampleRates[i]); + printCount = 1; + } + else + { + printf(", %8.2f", standardSampleRates[i]); + ++printCount; + } + } + } + if(!printCount) + { + printf("None\n"); + } + else + { + printf("\n"); + } +} + +//------------------------------------------------------------------------- +// OnRefreshClick() +//------------------------------------------------------------------------- +void MainFrame::OnRefreshClick(wxCommandEvent& event) +{ + m_notebook1->SetSelection(0); + showAPIInfo(); + populateParams(m_listCtrlRxInDevices, AUDIO_IN); + populateParams(m_listCtrlRxOutDevices, AUDIO_OUT); + populateParams(m_listCtrlTxInDevices, AUDIO_IN); + populateParams(m_listCtrlTxOutDevices, AUDIO_OUT); +} + +//------------------------------------------------------------------------- +// GetAPIInfo() +//------------------------------------------------------------------------- +void MainFrame::showAPIInfo() +{ + wxString strval; + int apiCount = 0; + int numDevices = 0; + + strval = Pa_GetVersionText(); + m_textStringVer->SetValue(strval); + + numDevices = Pa_GetVersion(); + strval.Printf(wxT("%d"), numDevices); + m_textIntVer->SetValue(strval); + + apiCount = Pa_GetHostApiCount(); + strval.Printf(wxT("%d"), apiCount); + m_textAPICount->SetValue(strval); + + numDevices = Pa_GetDeviceCount(); + strval.Printf(wxT("%d"), numDevices); + m_textCDevCount->SetValue(strval); +} + +//------------------------------------------------------------------------- +// populateParams() +//------------------------------------------------------------------------- +void MainFrame::populateParams(wxListCtrl* ctrl, int in_out) +{ + int numDevices = 0; + int defaultDisplayed = false; + const PaDeviceInfo *deviceInfo = NULL; + wxListItem listItem; + wxString buf; + int j = 0; + + numDevices = Pa_GetDeviceCount(); + + if(ctrl->GetColumnCount() > 0) + { + ctrl->ClearAll(); + } + ctrl->SetImageList(m_imageListSmall, wxIMAGE_LIST_SMALL); + + listItem.SetAlign(wxLIST_FORMAT_CENTRE); + listItem.SetText(wxT("Sel")); + ctrl->InsertColumn(0, listItem); + ctrl->SetColumnWidth(0, 45); + + listItem.SetAlign(wxLIST_FORMAT_CENTRE); + listItem.SetText(wxT("Dflt")); + ctrl->InsertColumn(1, listItem); + ctrl->SetColumnWidth(1, 45); + + listItem.SetAlign(wxLIST_FORMAT_LEFT); + listItem.SetText(wxT("Device")); + ctrl->InsertColumn(2, listItem); + ctrl->SetColumnWidth(2, 220); + + listItem.SetAlign(wxLIST_FORMAT_LEFT); + listItem.SetText(wxT("API")); + ctrl->InsertColumn(3, listItem); + ctrl->SetColumnWidth(3, 200); + + if(in_out == AUDIO_IN) + { + listItem.SetAlign(wxLIST_FORMAT_CENTRE); + listItem.SetText(wxT("# Inputs")); + ctrl->InsertColumn(4, listItem); + ctrl->SetColumnWidth(4, 85); + + listItem.SetAlign(wxLIST_FORMAT_CENTRE); + listItem.SetText(wxT("Min Latency")); + ctrl->InsertColumn(5, listItem); + ctrl->SetColumnWidth(5, 120); + + listItem.SetAlign(wxLIST_FORMAT_CENTRE); + listItem.SetText(wxT("Max Latency")); + ctrl->InsertColumn(6, listItem); + ctrl->SetColumnWidth(6, 120); + for(int i = 0; i < numDevices; i++ ) + { + buf.Printf(wxT("")); + deviceInfo = Pa_GetDeviceInfo(i); + if(deviceInfo->maxInputChannels > 0) + { + ctrl->InsertItem(j, ICON_CHECK); + defaultDisplayed = 0; + if(i == Pa_GetDefaultInputDevice()) + { + // Default Output + ctrl->SetItem(j, 1, buf, ICON_INARROW); + defaultDisplayed = true; + } + else if(i == Pa_GetHostApiInfo(deviceInfo->hostApi)->defaultInputDevice) + { + ctrl->SetItem(j, 1, buf, ICON_OUTARROW); + defaultDisplayed = true; + } + if(!defaultDisplayed) + { + ctrl->SetItem(j, 1, buf, ICON_TRANSPARENT); + } + ctrl->SetItemData(j, 1); + + buf.Printf(wxT("%s"), deviceInfo->name); + ctrl->SetItem(j, 2, buf); + + buf.Printf(wxT("%s"), Pa_GetHostApiInfo(deviceInfo->hostApi)->name); + ctrl->SetItem(j, 3, buf); + + buf.Printf(wxT("%i"), deviceInfo->maxInputChannels); + ctrl->SetItem(j, 4, buf); + + buf.Printf(wxT("%8.4f"), deviceInfo->defaultLowInputLatency); + ctrl->SetItem(j, 5, buf); + + buf.Printf(wxT("%8.4f"), deviceInfo->defaultHighInputLatency); + ctrl->SetItem(j, 6, buf); + j++; + } + } + } + else if(in_out == AUDIO_OUT) + { + listItem.SetAlign(wxLIST_FORMAT_CENTRE); + listItem.SetText(wxT("# Outputs")); + ctrl->InsertColumn(4, listItem); + ctrl->SetColumnWidth(4, 85); + + listItem.SetAlign(wxLIST_FORMAT_CENTRE); + listItem.SetText(wxT("Min Latency")); + ctrl->InsertColumn(5, listItem); + ctrl->SetColumnWidth(5, 120); + + listItem.SetAlign(wxLIST_FORMAT_CENTRE); + listItem.SetText(wxT("Max Latency")); + ctrl->InsertColumn(6, listItem); + ctrl->SetColumnWidth(6, 120); + for(int i = 0; i < numDevices; i++) + { + buf.Printf(wxT("")); + deviceInfo = Pa_GetDeviceInfo(i); + defaultDisplayed = 0; + if(deviceInfo->maxOutputChannels > 0) + { + ctrl->InsertItem(j, ICON_CHECK); + if(i == Pa_GetDefaultOutputDevice()) + { + // Default Output + ctrl->SetItem(j, 1, buf, ICON_OUTARROW); + defaultDisplayed = true; + } + else if(i == Pa_GetHostApiInfo(deviceInfo->hostApi)->defaultOutputDevice) + { + ctrl->SetItem(j, 1, buf, ICON_OUTARROW); + defaultDisplayed = true; + } + + if(!defaultDisplayed) + { + ctrl->SetItem(j, 1, buf, ICON_TRANSPARENT); + } + ctrl->SetItemData(j, 1); + + buf.Printf(wxT("%s"), deviceInfo->name); + ctrl->SetItem(j, 2, buf); + + buf.Printf(wxT("%s"), Pa_GetHostApiInfo(deviceInfo->hostApi)->name); + ctrl->SetItem(j, 3, buf); + + buf.Printf(wxT("%i"), deviceInfo->maxOutputChannels); + ctrl->SetItem(j, 4, buf); + + buf.Printf(wxT("%8.4f"), deviceInfo->defaultLowOutputLatency); + ctrl->SetItem(j, 5, buf); + + buf.Printf(wxT("%8.4f"), deviceInfo->defaultHighOutputLatency); + ctrl->SetItem(j, 6, buf); + j++; + } + } + } + else + { + // Dun, do what? + } +} + diff --git a/fdmdv2/pa_enum/main.h b/fdmdv2/pa_enum/main.h new file mode 100644 index 00000000..f916e01b --- /dev/null +++ b/fdmdv2/pa_enum/main.h @@ -0,0 +1,98 @@ +//========================================================================= +// Name: main.h +// Purpose: Declares simple wxWidgets application with GUI +// created using wxFormBuilder. +// Author: +// Created: +// Copyright: +// License: wxWidgets license (www.wxwidgets.org) +// +// Notes: Note that all GUI creation code is declared in +// gui.h source file which is generated by wxFormBuilder. +//========================================================================= +#ifndef __main__ +#define __main__ + +// main wxWidgets header file +#include +#include +#if !defined(__WXMSW__) && !defined(__WXPM__) + #include "../bitmaps/sample.xpm" +#endif + +#ifndef __WXMSW__ + #include "bitmaps/toolbrai.xpm" + #include "bitmaps/toolchar.xpm" + #include "bitmaps/tooldata.xpm" + #include "bitmaps/toolnote.xpm" + #include "bitmaps/tooltodo.xpm" + #include "bitmaps/toolchec.xpm" + #include "bitmaps/toolgame.xpm" + #include "bitmaps/tooltime.xpm" + #include "bitmaps/toolword.xpm" + #include "bitmaps/small1.xpm" +#endif + +#define AUDIO_IN 0 +#define AUDIO_OUT 1 + +#define ICON_TRANSPARENT 1 +#define ICON_CHECK 0 +#define ICON_toolchar 2 +#define ICON_tooldata 3 +#define ICON_toolgame 4 +#define ICON_toolnote 5 +#define ICON_TOOLTIME 6 +#define ICON_INARROW 7 +#define ICON_OUTARROW 8 + +// gui classes generated by wxFormBuilder +#include "gui.h" +#include "portaudio.h" +#ifdef WIN32 +#if PA_USE_ASIO +#include "pa_asio.h" +#endif +#endif + + +//-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=--=-=-=-= +// application class declaration +//-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=--=-=-=-= + +class MainApp : public wxApp +{ + public: + virtual bool OnInit(); +}; + +// declare global static function wxGetApp() +DECLARE_APP(MainApp) + +//-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=--=-=-=-= +// main application frame declaration +//-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=--=-=-=-= +class MainFrame : public MainFrameBase +{ + public: + MainFrame( wxWindow *parent ); + virtual ~MainFrame(); + PaError pa_err; + bool m_isPaInitialized; + wxImageList *m_imageListNormal; + wxImageList *m_imageListSmall; + + protected: + // protected event handlers + void OnCloseFrame( wxCloseEvent& event ); + void OnDeviceSelect( wxListEvent& event ); + + void OnExitClick( wxCommandEvent& event ); + void OnRefreshClick( wxCommandEvent& event ); + void DisplaySupportedSampleRates(const PaStreamParameters *inputParameters, const PaStreamParameters *outputParameters); + void populateParams(wxListCtrl* ctrl, int in_out); + void showAPIInfo(); +}; + + +#endif //__main__ diff --git a/fdmdv2/pa_enum/pa_enum.mk b/fdmdv2/pa_enum/pa_enum.mk new file mode 100644 index 00000000..144dc9b0 --- /dev/null +++ b/fdmdv2/pa_enum/pa_enum.mk @@ -0,0 +1,114 @@ +## +## Auto Generated makefile by CodeLite IDE +## any manual changes will be erased +## +## Debug +ProjectName :=pa_enum +ConfigurationName :=Debug +IntermediateDirectory :=./Debug +OutDir := $(IntermediateDirectory) +WorkspacePath := "C:\Users\wittend\Projects\Radio\fdmdv2" +ProjectPath := "C:\Users\wittend\Projects\Radio\fdmdv2\pa_enum" +CurrentFileName := +CurrentFilePath := +CurrentFileFullPath := +User :=wittend +Date :=6/26/2012 +CodeLitePath :="C:\Program Files\CodeLite" +LinkerName :=g++ +ArchiveTool :=ar rcus +SharedObjectLinkerName :=g++ -shared -fPIC +ObjectSuffix :=.o +DependSuffix :=.o.d +PreprocessSuffix :=.o.i +DebugSwitch :=-gstab +IncludeSwitch :=-I +LibrarySwitch :=-l +OutputSwitch :=-o +LibraryPathSwitch :=-L +PreprocessorSwitch :=-D +SourceSwitch :=-c +CompilerName :=g++ +C_CompilerName :=gcc +OutputFile :=$(IntermediateDirectory)/$(ProjectName) +Preprocessors :=$(PreprocessorSwitch)__WX__ +ObjectSwitch :=-o +ArchiveOutputSwitch := +PreprocessOnlySwitch :=-E +ObjectsFileList :="C:\Users\wittend\Projects\Radio\fdmdv2\pa_enum\pa_enum.txt" +PCHCompileFlags := +MakeDirCommand :=makedir +CmpOptions := -g -O0 -Wall $(shell wx-config --cxxflags --unicode=yes --debug=yes) $(Preprocessors) +C_CmpOptions := -g -O0 -Wall $(shell wx-config --cxxflags --unicode=yes --debug=yes) $(Preprocessors) +LinkOptions := -mwindows $(shell wx-config --debug=yes --libs --unicode=yes) +IncludePath := $(IncludeSwitch). $(IncludeSwitch)/bin/MinGW/msys/1.0/local/include +IncludePCH := +RcIncludePath := +Libs := $(LibrarySwitch)portaudio $(LibrarySwitch)portaudiocpp +LibPath := $(LibraryPathSwitch). $(LibraryPathSwitch)/bin/MinGW/msys/1.0/local/lib + + +## +## User defined environment variables +## +CodeLiteDir:=C:\Program Files\CodeLite +WXWIN:=C:\bin\wxWidgets-2.9.4 +PATH:=$(WXWIN)\lib\gcc_dll;$(PATH) +WXCFG:=gcc_dll\mswu +UNIT_TEST_PP_SRC_DIR:=C:\bin\UnitTest++-1.3 +Objects=$(IntermediateDirectory)/gui$(ObjectSuffix) $(IntermediateDirectory)/main$(ObjectSuffix) + +## +## Main Build Targets +## +.PHONY: all clean PreBuild PrePreBuild PostBuild +all: $(OutputFile) + +$(OutputFile): $(IntermediateDirectory)/.d $(Objects) + @$(MakeDirCommand) $(@D) + @echo "" > $(IntermediateDirectory)/.d + @echo $(Objects) > $(ObjectsFileList) + $(LinkerName) $(OutputSwitch)$(OutputFile) @$(ObjectsFileList) $(LibPath) $(Libs) $(LinkOptions) + +$(IntermediateDirectory)/.d: + @$(MakeDirCommand) "./Debug" + +PreBuild: + + +## +## Objects +## +$(IntermediateDirectory)/gui$(ObjectSuffix): gui.cpp $(IntermediateDirectory)/gui$(DependSuffix) + $(CompilerName) $(IncludePCH) $(SourceSwitch) "C:/Users/wittend/Projects/Radio/fdmdv2/pa_enum/gui.cpp" $(CmpOptions) $(ObjectSwitch)$(IntermediateDirectory)/gui$(ObjectSuffix) $(IncludePath) +$(IntermediateDirectory)/gui$(DependSuffix): gui.cpp + @$(CompilerName) $(CmpOptions) $(IncludePCH) $(IncludePath) -MG -MP -MT$(IntermediateDirectory)/gui$(ObjectSuffix) -MF$(IntermediateDirectory)/gui$(DependSuffix) -MM "C:/Users/wittend/Projects/Radio/fdmdv2/pa_enum/gui.cpp" + +$(IntermediateDirectory)/gui$(PreprocessSuffix): gui.cpp + @$(CompilerName) $(CmpOptions) $(IncludePCH) $(IncludePath) $(PreprocessOnlySwitch) $(OutputSwitch) $(IntermediateDirectory)/gui$(PreprocessSuffix) "C:/Users/wittend/Projects/Radio/fdmdv2/pa_enum/gui.cpp" + +$(IntermediateDirectory)/main$(ObjectSuffix): main.cpp $(IntermediateDirectory)/main$(DependSuffix) + $(CompilerName) $(IncludePCH) $(SourceSwitch) "C:/Users/wittend/Projects/Radio/fdmdv2/pa_enum/main.cpp" $(CmpOptions) $(ObjectSwitch)$(IntermediateDirectory)/main$(ObjectSuffix) $(IncludePath) +$(IntermediateDirectory)/main$(DependSuffix): main.cpp + @$(CompilerName) $(CmpOptions) $(IncludePCH) $(IncludePath) -MG -MP -MT$(IntermediateDirectory)/main$(ObjectSuffix) -MF$(IntermediateDirectory)/main$(DependSuffix) -MM "C:/Users/wittend/Projects/Radio/fdmdv2/pa_enum/main.cpp" + +$(IntermediateDirectory)/main$(PreprocessSuffix): main.cpp + @$(CompilerName) $(CmpOptions) $(IncludePCH) $(IncludePath) $(PreprocessOnlySwitch) $(OutputSwitch) $(IntermediateDirectory)/main$(PreprocessSuffix) "C:/Users/wittend/Projects/Radio/fdmdv2/pa_enum/main.cpp" + + +-include $(IntermediateDirectory)/*$(DependSuffix) +## +## Clean +## +clean: + $(RM) $(IntermediateDirectory)/gui$(ObjectSuffix) + $(RM) $(IntermediateDirectory)/gui$(DependSuffix) + $(RM) $(IntermediateDirectory)/gui$(PreprocessSuffix) + $(RM) $(IntermediateDirectory)/main$(ObjectSuffix) + $(RM) $(IntermediateDirectory)/main$(DependSuffix) + $(RM) $(IntermediateDirectory)/main$(PreprocessSuffix) + $(RM) $(OutputFile) + $(RM) $(OutputFile).exe + $(RM) "C:\Users\wittend\Projects\Radio\fdmdv2\.build-debug\pa_enum" + + diff --git a/fdmdv2/pa_enum/pa_enum.project b/fdmdv2/pa_enum/pa_enum.project new file mode 100644 index 00000000..d2e6e989 --- /dev/null +++ b/fdmdv2/pa_enum/pa_enum.project @@ -0,0 +1,114 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + None + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + None + + + + + + + + + + + + + + diff --git a/fdmdv2/pa_enum/pa_enum.txt b/fdmdv2/pa_enum/pa_enum.txt new file mode 100644 index 00000000..df3ff299 --- /dev/null +++ b/fdmdv2/pa_enum/pa_enum.txt @@ -0,0 +1 @@ +./Debug/gui.o ./Debug/main.o -- 2.25.1