From 9d8c1ff9ce83bde6fdba050aaec5ef8b7a4f1580 Mon Sep 17 00:00:00 2001 From: rickb44 Date: Thu, 4 Sep 2014 13:17:47 +0000 Subject: [PATCH] Updated Folder name, Subfolder names and filenames to reflect Rev C status. RGB git-svn-id: https://svn.code.sf.net/p/freetel/code@1825 01035d8c-6547-0410-b346-abe4f91aad63 --- smartmic/SM1000-C/Change-Log-C.txt | 173 + smartmic/SM1000-C/SCH-SM1000-C.pdf | Bin 0 -> 44361 bytes smartmic/SM1000-C/SM1000-C.brd | 5887 +++++++++++++++++++++ smartmic/SM1000-C/SM1000-C.lbr | 1199 +++++ smartmic/SM1000-C/SM1000-C.net | 588 +++ smartmic/SM1000-C/SM1000-C.pro | 25 + smartmic/SM1000-C/SM1000-C.rep | 525 ++ smartmic/SM1000-C/SM1000-C.sch | 7419 +++++++++++++++++++++++++++ smartmic/SM1000-C/TDIM-SM1000-C.pdf | Bin 0 -> 127435 bytes 9 files changed, 15816 insertions(+) create mode 100644 smartmic/SM1000-C/Change-Log-C.txt create mode 100644 smartmic/SM1000-C/SCH-SM1000-C.pdf create mode 100644 smartmic/SM1000-C/SM1000-C.brd create mode 100644 smartmic/SM1000-C/SM1000-C.lbr create mode 100644 smartmic/SM1000-C/SM1000-C.net create mode 100644 smartmic/SM1000-C/SM1000-C.pro create mode 100644 smartmic/SM1000-C/SM1000-C.rep create mode 100644 smartmic/SM1000-C/SM1000-C.sch create mode 100644 smartmic/SM1000-C/TDIM-SM1000-C.pdf diff --git a/smartmic/SM1000-C/Change-Log-C.txt b/smartmic/SM1000-C/Change-Log-C.txt new file mode 100644 index 00000000..24fd2281 --- /dev/null +++ b/smartmic/SM1000-C/Change-Log-C.txt @@ -0,0 +1,173 @@ + +Change Log for SM1000-REV-B2 + +Changes 07/22/2014 Reformatted file to fit on Schematic. + + +1. [X] SW3 holes not big enough. + Hole is .5mm. Pin is .6mm. Hole should be 1.0mm per spec sheet. Will change. + 07/08/2014 - RGB + +2. [X] Is C26 rated at 25V in BOM? + C26 is 25V in schematic, 16V in BOM. + OOPS! C23, C26, C40 & C46 should all be 25V. Will change database & BOM. + Murata # GRM188R71E104KA01D, Digikey # 490-1524-1-ND, Part Def: CAP CER 0.1UF 25V 10% X7R 0603 + 07/08/2014 - RGB + +3. [X] LED8 takes up whole of pads so diff to solder + Corrected package for LED-LTST - LED5 & LED8. + 07/09/2014 - RGB + +4. [X] Is CN3 a standard size?  My DC plugs don't fit in it + 2.5mm ID, 5.5mm OD Plug. CONN PWR PLUG DC 2.5X5.5 8A MOD Digikey # + CP3-002BH-ND + This is probably most common size in USA. Same size as Raspberry PI, BeagleBone, + SignaLink & others. RGB 07/15/2014 + +5. [X] couldn't solder bottom pad of U5 by hand     + hope it's OK + Attached to large gnd plane. Hard to solder. Designed for IR SMT + assembly. Try large iron on vias from bottom. + Part will solder in production. RGB 07/15/2014 + +6. [ ] Can R6,R2,R5,R3,R4 be 10k to match R1? + Don't know if 10K will work for R6. Discovery has 680 or small value. + Try it. Can't hurt. + R2 & R5 Are I2C pins. Recommended 4.7K for max speed. Not used now on + SM1000. 10K would limit speed if used. Try it. + R3 & R4 should work with 10K. + Let me know results & I can change values if appropriate. + +7. [X] Would VDDA power supply circuit be better located closer to U1? + Data sheet advises placing caps on opposite side of board, under VDDA pins. + Parts are place as close as possible, on same side of board. Return is low + impedance plane and supply well bypassed. Unless this presents problems, + I'd leave it. RGB 07/15/2014 + +8. [X] Larger TAPR/Credits text on bottom?     + there is plenty of room + Enlarged text from 1mm to 1.42mm. Text now extends almost all the way + across PCB. RGB 07/15/2014 + +9. [X] What was Vin range meant to be? + VIN is 1 diode drop lower than VEXT(6-16VDC) or approx 5.5 - 15.5VDC + Used to power LM386 to get more output swing. + +10. [X] move R20 label close to R20     + I loaded R20 in the wrong place! + R20 designation moved adjacent to part. RGB 07/15/2014 + +11. [X] is 4k7 missing from BOM or just my order?    + I didn't have any in my Digikey order + Part definition error. Part # in part definition field. Will correct database & BOM. + This affects R2,R3, R4, R5 & R48. Should be Vishay Dale # CRCW06034K70FKEA, + Digikey # 541-4.70KHCT-ND, Part Def: RES 4.70K OHM 1/10W 1% 0603 SMD + 07/08/2014 - RGB + +12. [X] Re C26, could u pls align the BOM and sch?  I'm not sure which one has to change. + C26 should be 25V to accommodate +16V power in max. + OOPS! Found that C23, C26, C40 & C46 all should be 25V. That's why I made them + separate line item. I'll Change BOM to Digikey part # 490-1524-6-ND + Murata # GRM188R71E104KA01D + 07/08/2014 - Same as #2 above - RGB + +13. [ ] Re CN3, Hmm, now I'm not sure!  I dug around in the shed and found a connector + that fits so I'm OK for now. CN3 is Switchcraft RASM712PX 2.5mm ID, 5.5mm OD Plug. + CONN PWR PLUG DC 2.5X5.5 8A MOD Digikey  # CP3-002BH-ND + I've noted a problem, but will wait til you decide. RGB + +14. [X] OK I just check the BOM I have, and there are no 4.7k resistors on it, e.g. + R2, R3, R4, R5.  + Part database error, invalid part definition. Digikey & Vishay Dale part + numbers are correct. Part definition for R2, R3, R4, R5 & R48 should be: + RES 4.70K OHM 1/10W 1% 0603 SMD Will correct part database & BOM. + R2, R3, R4, R5 & R48 now 4.70K 1/10W 1% 0603 parts in B2 revision. RGB 07/15/2014 + +15. [X] CN7 3.5mm socket etc have two small plastic mounting lugs that require holes + CN7, CN8, CN9 & CN10 plastic tabs interfere with pads on top layer. Since the + tabs are plastic and don't extend thru the PCB, I am approving these 4 discrepancies. + RGB 07/15.2014 + +16. [X] Should R46 be described as a trimmer resistor (rather than POT?) on the BOM + R46 incorrectly specified on BOM. Corrected. Part s described as POT in DIGIKEY + description. RGB 07/15/2014 + +17. [X] Is label for R1 & R2 transposed? + Labels were transposed. Corrected. RGB 07/15/2014 + +18. [X] Add 100nF reset cap as per data sheet and discovery + Added C48, 100nF cap across J5 to implement power up reset function. RGB 07/17/2014 + +19. [X] replace J7 & J2 with 0805 0 ohm Rs + Jumpers were originally inserted to permit bringing up SM1000 and protecting + circuitry from overvoltages and measuring currents. + Jumpers replaced with 0 OHM resistors. RGB 07/15/2014 + +20. [X] Added item numbers to this file to facilitate communication. RGB 07/15/2014 + +Latest change notes David 14 August + +21. [X] R46 to 100k + [ ] add series R to prevent DAC distortion? + [ ] get to bottom on LM386 distortion? + Added RGB +22. [X] Add 22k betwwen DAC2 and ground + + making R46 100k lead to some analog coupling between ADC2 and DAC2, + not qute sure why, this lowers Z of this net + Added RGB +23. [X] R52 1k trimmer wasn't on my digikey order + + probably my mistake, but check BOM + Is in BOM RGB +[ ] C36 is along way away from U6 + + poss to move closer? +[ ] Mic DC bias v low, about 0.3V + + should we change R39? +[ ] Idea - clear labels next to each trimmers in large font + + and connectors and TP, hard to see with naked eye +[ ] foot print for a small reset button + + I press it a lot, gd for experimenting +[ ] 1nF to filter noise across 500k R42 but very close to op-amp +[ ] highly senstive net between R53 and R42 should be shorter if possible, + as we are getting a lot of noise pick up. +[ ] Move ADC power supply bypass network v close to pins 21/22 U1B and + away from switcher + as per Discovery board +[ ] route op-amp bypass caps directly to op amp power supply pins on same layer, place nearby + +[ ] Idea - clear labels next to each trimmers in large font + + and connectors +[ ] foot print for a small reset button + + I press it a lot, gd for experimenting + +[ ] Matt - PCB review + manufacture + +[ ] Modem appears to be losing sync on time slips + + investigate this in simulation form +[ ] check trimmers all go the same way around +[ ] connect C36 to + term of U6, delete R43 +[ ] some gain on U6 a good idea? + + laptop audio ouput just a few 100mVpp + + at least put in resistors. +[ ] very big robust pads for R46 + + pot keeps falling off, breaking pads +[ ] Consider case made from PCB, like sniffers + + can get all holes drilled + + gd RF shielding +[ ] should square pad on Mic be gnd side? +[ ] added 3n9 between U8 p3 and ground + + removed some tonal noise and +[ ] changed R39 to 2k2 + + mic bias now 2.8V +[ ] Switcher noise reduction idea + + is there away to lock the switcher osc to a uC clock? + + we could make it a multiple of ADC/DAC sample rate + + thus aliasing all noise down to 0 Hz + + need swicther to freerun until uC starts +[ ] review jumpers and see if it makes mores sense to make them 0 ohm 0805s +[ ] C24 closer to U1? +[ ] C40 should be 47nF + + David used wrong value on prototype +[ ] LD2 opto-isolator footprint wrong (or maybe I ordered wrong part) +[ ] location of C51 net label +[ ] SW1 needs bigger pads to make hand solderable + + is this suitable as a PTT switch? + + button is quite small + + locking PTT as per SW3 useful for testing (want to leave tx on) +[ ] CN12 is hard to solder manually, need larger mechanical pads + + although this would increase the outline so maybe not a problem +[ ] CN2 difficult to hand solder, extend padds back towards outline diff --git a/smartmic/SM1000-C/SCH-SM1000-C.pdf b/smartmic/SM1000-C/SCH-SM1000-C.pdf new file mode 100644 index 0000000000000000000000000000000000000000..45edb848228719dff74798b4cfd445942cf5b970 GIT binary patch literal 44361 zcmZU31yGy8^EPd=eyslzG4vBRy!q zuyL@LFm-|DPJ*9noP*~MP$z7aW{|*$%*;v>(P*}}P$=O-hU>hU) zpSP&2I=Q8(o%25(Q)du4tCE8~@PGMm{};SI%)N^X(8->hRRZW{V-8f47Bl>B(t!Vu z!(Xm{89M>(UH*$QtGI)`3vB94&igL}RyClrgR7G{EGBlg|42mb?Hyq2?0@S`4TV(^ zXklY2=HNlD|9738gM*8lhmXVX{{;Wf@V_fl+`-PlN!`)Z97z7RP*ud$9m)UFhavqP z{x9!;bF2RrNIRK&{)J@ypS=AumsU3=|C`%?x&EKvVZeV?rC_1_(~{yL=lLHc9c43H zp!vTAn9~2|@LxQCS?ZJjPs;x0;J=A00PU?@tjYQQ!&S=04wh$fRw+B!7D@ok9V~!< zv7B9CM+uDLk#*FrfBKQHitN@XmogVkC;tI~?VW=0K$kF+;{@7KP|bU(+=iH-p*L~6 z-Nycs30Q=s;iKUd{;rx*&utT$-H#yzIFhn6G4~_>cgLNE&x0bY{&#mxFRph%&rf$v zta|$;XPu~zcX1!-@6K7TPM@piuV23ycc!Vy*+cz{t<2S*9!`%* z?xIg0p{y@Z|K`VsLH5Y1cZl={-EcV+s%1D3s$5#x=d(h~RRe`Fr+Pq%!TOg|f&66d zqAysj2lXgRNhRvnnb4QV&GpVl1kGn4^r7?V(O>)Rvoh|lm$H|a;k4_ERJyT+_9r71OcP?-2QiuExn+wb#j)UL75L;tiUFYMyK$6eche&f4mcwsZ^60}OgL#pYC-o@Gif`F3SPA_xhnWr|YuWXa6-H0g zRo!ucVijXL5iGt82UU4lS4K9Om&JLc8banV=llaufUTiw{=;RfPIA7DP!;O-YAdu; zsq^vD%C#i_oq?lNh=~F`X!fq|onNq84Yj6K?cDntUCs`D!cSm2rNMJuPQNTQ_C;FU z%ImW_OP?l=AADu}D-9>G@r~NPy%oJ~;faE8YxeT9r_4a9MnzR5N0soP#&~(jB8PuY z#Yw7cdZ$w9b0hnLY=8Mzr*Zsz=SzlA9Od#+GE>CSkPF^>Q z((6;~{wye+B~PtWndJ`fOBr@&O1Y`q(mjqZ{yhy^Pjb~z^32*EA z>|^SWm71m5C!XbhR&rBpUVH1=v5Pn;D2*8VrTeAR^l0-!J0Dxw&DHFN%h0h7R2Xcv z+s>?&j&e5eLQGEf+(#m~G*wmkz?ak(n@JI_6P{XU zH~xlP&C`y&tcbGM)pAK(d<~AFTy0g>sn1E4wX@~tsRVLb)EfAQF(}*68xv2n%et24 zd4r^1^>RxyeYugX%T@ejk;6kRJl5K~y0}rxueV8aY;yX|LK>!5!hEcda+8Zp$}^(G zY9<*HeTBi_ead4rD-`sPm+sUInTpLxoDMFOp8QpAW6L=5?Z$WNGbR1%i%deGa~)=? z3hamc!wh%z*FKSE=L2}#2e;ex;=ke;idyb6a66{H`KqvoBqgJcXE&BVm6+AKKq%LL z*VP7IarbYDYZWbQu?p)NKNS5^*3ax1&aGZAP(c9TR|i&(Z`$B;lR2h^ZIQKcM$8^& zxifg+D=pvo8DABdX@+-aH)Z%MUwOeo=F9e|9?Gp=JBah%mOCzpjvXqsTeX2k`m8oe zg32iP!?*KHMLhqULCU*~3+b%#%buG3gLvWAeU|fN=}R_#)8P|uY8&OctDa{vCZ_hp zu+W#;rrlQzKhC`ZM_-RKIz+c@*_FklIIfW+LhaHw=}cPhLz>?i7&NrTn`|D*ayM8J z-kR?Y%g4&zW!1LhpiU~4ap%lobb3}+z4>Dh#@1lS#J}UbeBgYB+;NA}Y7Mj$#`8am z@UKw^XZUc-xjH}-OZV$*C5!9uZnQ&8@T_EAC|B=Xg4*)7By zhx`86gfm7)(&)9yZa$*s09KX=xGgwjrg9R=FWAh`8@U!ABR9`Sq`u?iYAOgfKHZ~R zK0(`LUo=r9vu>k?W-{_NJ&W7@!zbh*Ysm@~9l>KKkOuO#d!M?oWvH z4LZ+!WZqUyTTFaA!BfxP>WqR*3|*Ri_zT-LcwA)&O(Pb!;|IzUUCX8tg_dC9Q;Dq#A<~rCSg|fg~x4Z=LY4%lIOkM`7Sq=zZ>BtjYMeT^HKAO zi$O=MqQ+Z#-?h=3Z(;9C0S%KW8i!5L;UF9<&7>zAab^y?AxQpBDWX@u@w1J&=169l zyY{yx0pF~RWO{0Y+2P+4Urb2n7L46+wgrjPx6@JWa}?7l7b}_r5(Q+}GtjjnAyWgWt_R^p3qW1FM4;hU(@n;h-jFn zh0m92Cjg1@Zr3J67PMPpmUeUTK1^(3qCAHQjBZlVS;0JL=-#vAP(S|<1$yx$U+u88 zoj&~lzn`U?;fW_2ZR%sa0~H#1LY3IKNm#a_nQsMT6oOHKcjesdCc*nJuI+T4e{C(rDvYF$r- z)@zyZ{>##mwn!Aj@#e;3cvv$jr%MHsoZ^wNA~ddMU>w^(YyD|D1e5F8a8-M|4bP;!bQDb( z>vLV0q!J+NErg|)rv;YKA>N_lC;9QX^`mCaQ=J7Ndql5o4;tYqS^{>sdH z*e|GVb)%!ppvt$v@F46}(|NM@@HMV%>rd@NDA|p#+rdNQHMQ<$EyK{q^I|&^(`;?l zdSgc6YtG7>E6{jy)1PEZ??qeV3b)c_`B9}p53}~8{eCSw+q$Z^nO?oZQHVc5fNg0a zcwepKE9fEn6pNbbe5|#c&cvN}jSYO$NFYiUqXKP}t~Zl)TPHqV()Z4rY9i)?^vT5{ zBim^uJPkw2@+nm5Nv{<^@p6K8ZUAEwz;!;b0`WaL?<}=mg*Q-0I2rX%plT61Dk`S4f z@;o$}$iItTfmr!6Bqg`+Ty$KM z=x1n|jjh(BQ}sz6N7ZqBIFbA!05?vuk=KNtXtZN^$4H@9fj+E*^!-JIyJ4ypU9n{^ zdl=E4;AJMMq>eFTYH! z$8O}>CMWi(y{@}vZUl}!u=`dGN9clh>)#^zt9dRi&W1&1j9*sp>JSA*mJ#KvRWRRg zquJLls^0*l3dckE>worl;o|>*3U6K3dJ78|96Y&-t76(el@#HyIADyps;gdqo=uFr zukdomD+8_O8=az+twBOOd;R3D5Y%F4X`69){o99%A4SGLjU7;p;ZJyy$Z zMpfINGV$xnh0Wz$KWa&vHxSMP+K=8Hn=69BnkL>6jy%EaHISp30ZwVmB4!UakbTfG z!p=|`=T`5?ePkR@+hhH24kB{{jip_++4$^ptUYy+)yPu+HKc)^efQ{%G0K zTAqy_aRfhCHM4>med}$l;SDy?d-~U^TsLd!v9BlHvhPxHpJ3(Tu8On4rVjlH_&XXLH;9Vl-Ozhx&1pgTY%1kQ8agU=ANz{N#)LXH%^ohw zfxt-f5j}-yrT&Uu0fVms<^A@$Q8$tZn-|`CBU+~g*%4*@fv!jKVAqU%-(t_5WkWUd zoC%!b$hWTy#2u66Jq(fVBKB{_;#V-R$!T|4*k=Zs;LVNZ%!NB5g-^4L-^M~_nNVlD ztJ=(>M5-l^(^Y(luZ8-OmcO3cG<~cH7R?fG7*E|E)xT(Dx!_vk%U76b@U5=S7S!6% zw)^v=;X(banT|mgyQGa3lnCR#r3efv(kf%=t8n?V+pfPqWMpdoj!NSO@h|~eYHvQL zUp78i;v!q!Cj2KxqiOpxtfagnPu{_?ZkWZ`0u&j5yAAsX=a%zSd@aAElA7*&iADtq zJH);|=)LO99NQP%?Mfbotpez>_DKufj*^RBxxv(lnadB^ZL_ug%P}8`n=@Zu2S<35 zxIBOr+@O-EdUi-jnP|4VNz1O_{M;);9hvHNWlf=eqUtQ!Y60!DO6{pbYfP4_DoLzF zb-o;3%}l?qEG@R(H170`9~344?RM4Ik4D)IBZ(EStdK2}%vBncy;qwljcfdd=3af) zI%xiw(-b?=rBL-{U1!k!=k;1aDb4IiLFFY2LgdkXe-{no$LHtmrs@Ri@{DE!jrxI( zis~C&B1y5vG9COMnA{z=7xr$qtze2mjZ~hnOZ2gWY#pQi#CDHV&FJE}*OKn7ZQS76 zZ^90EJm7==51V>B0YsZgy@Nahc~0%3=8%?R{^Ek#>eeypK7RN>&TH1P5ZY^l)fVNz z*W2v@dOKY%3m!i)oSpX|T!k68cA>a!-m3SOdo@c>g@KtKk#nm1D(55o3uGJ!_3T*m z;5u&?a284erxW+KyVlIkQF+Wzui=+MJ&j-wRsN0&*89H3AC+J1%+Sx!E^CX6!0#;c zhD2vs+kA!`16)X+ic>3XpazO;XbxJ4f_{2EtGoh)iKPtD)WKzn>O{9$n-RXXxekU; zii~x_?u{MVLfT4vyL$K1w zO?P4dC#C)yMuihg=gIeID<*4cqNM0IxFM;A(=QmPOM0G3csTjm-*4wv*B_%1z|k&+ z2tcCbs~uOWcwgAOVXi0efIt#xY{c_r1RJlO@L5Mk<+JYHS0kR+6N5SvS|Da7I_`PLKCP@`VlGWl5OQ;ppS2Ptoc>CJLVHtgQz~$J5x~_e$BA1 z>vr#&u{k}R_yCCpr_yFJGB7X1_2MDLu7G#Bka#`IsX>4DXRUpqXpoNSr*>Qo zx}h;oM;9kvy=Gl7f~0wJVn(8D!E**%_z-Q0tFOuE%}=+EsB4IN0d zYtK&eMJD+YEGMq{JA&8AG?`1BMk6e9(%I{6#rnGLK7xtI>Q|gG&}t&d--MY3LmOp5>WXcEgEDI+D>tMDIH-z?Da1TO?59U4Ogg`i)1D zcI6O#apK)yGcA-%4m`@!JM3ICLz`l^g(OBp_6b>vF?%JVeb^B1(nA5?XNJM@qBTJ`M^|)l5>XPAT*sHY_R;|o6_9eI_*fnp zMJwFZHidZnN&M3xV%R+as+XVrQ}k)87nu_^Aj_8WXii+Iec8do-F7rpkQ^8&Kdj}c2B zG{qWePx^PJZR4y+@`scpNt6Y97G`07$<>9VKn6a=Ms|ZkdB{z2+sHTRS(>bEOnYZW z1=i(*$f@L=>a30C!h0n4vn%GJaW|Chy~nO~WS1UXWXsf7Zz)x>HCd4?apI08BZX}= z)#zzY=8NJD1uVnOT(l%Jjcyw6@gnbUllX=e+q_!J@8vH>My z-Y9{s9ap`8G}nDr9SxuhGn<})1$C;EsTYHByte9byPb(u9VKY{qnOl|nO?++ANfdr zD|KHCzKOqp$D#i7xgKC63Xn)PkkCOq`VF(Q-U4R@B(k|b>TPOdW7uOd1 zQmcJo)3Y#1Ooe6{`yuRaKKGcYNi>G3vw3>A};^Fs@_Jv3UA^V`(StH$JCF0L^sR%-AQAvt_7&6tROLLj`&U{qdXc&z5Z%+E% zjwFX0y`o#u_0~)Am)Xf?PW)vCa$!sY2>W3>wf5^dESYmYZt#ZBVh@7DwwoR#?s1xPQra%l;*&hQUApH#O598YS@<3^^wr4<0kNLcn=!2`H+cfrWRO zf*IR5fZY>F-je{es?zq>HeO=JB6SNR`u&$T< zb?w07C6czikiPMod$=Q4lc)d_G9~e%Bg}0%+LEcL15Cg1TP2~SsVK&8x;@VM3Rr_I zr2o%?aPjTJ`$*F9bmsis_JY38pD_~7gS6y=CxM}N9HeY~#u5qv;GMGU@& z?T@#Q-2+#@35C8+uO_kwV=%MT7HkGndZa_N{Tl z;N^YFWiZ<84$dBLVCZ^$arN!*zr`!^WF6e@TnpX9lZjffv=2bviRhnk#Wzr z5c9UUpXr?Imknm>B8qLW*w5E4g!2Y#td@KwFod@tl~*jVHdf>feF$$f)9sO}gF8cF zolUVF8(zHCo|Oj+of2WVsZ6dj4A;F{tlW1nE~DKKio-Y0QXUS$R_5C0)oj>T)0oou z^%nQADXZ94_s2+`#_Hl%jR;!w*4o)sTEjrOCF&pKhY-2vK773~Jg%^|kEmY(+zy)S z))VSnN{jr0HJX_;L6#Sutn@~NC3Z};Ocn2z=v5AEuFh4p8n;cDD~>Li=jCMXD@$o7 ziEK~hN!qeJ^3Uvmj7ZkkNK;(&H)|?wY8o~7ea!R3yr!UdS};T3LCOGj*o)_Q5dbN0 zswl8#IO|UBXR@0u=s_FY3jRpW(+zw-1N-eU1pw%K5m*HS+vVNf- zHIhq7mCRmqv>lF{<&bNk#M9dCvgefZ`#uV1?aSJRG4=5zJ^Z9eT6C-DtYq*w2WqBQ zkZxrQ&q^6+swldF;la_Uy<*h%iC=dDLayu_oUZ-^4olwWXE^`e=Nw!IGojZ{yk9B3 z7B0scU&(`l1Wh+n+b;4P*uuDxOcpYHc_!bZIKdf>}T)%a9f;7IVn)gUXwOHD{fqJuu*4gGZ{{A>J_w7C#pk*aY|hbA*uT|6l|(K zCVkVNrNgScI5XGmP&57*OL})b>ZLj<_1^2P<3Tgz=hm2ros(0)W9~?=s@)@8-&)>zYVb&vKd0xIRHRpk4rZ{t9Ho;C*-l=P&>b#3s+eSRMargD+^WieY* z^Ug!cKi`bI+PE(QaodH?uI%)8n}b)=?^CoI_fkm&UsT+h_BB!3%F%L$sUNU!LcNbp zE(OG1G}@NWwHHs;ObV?)wC6o1N}Zrf<%Tw9sMONxopvS9^_0xZ_#C@RN&ex*y?dL| z$_t0?hL$mfmjZSWhg9c@-O==XrUvTyj}Sn=JPQSbRnK9Lnr|~*QE}NQ;*HqhB9)GU#y{5rb zarJK9X2*Hli_+2us=R}Ky+Tm|zN7*d**oB_aL2w=a|otat){?YA-@(>w(}^dzeyu$ zjGB?iR^9KdXlfb9Q>*5wjk2aKgy=oJzo4j}T(v_0m4p zJG+8OR!G$uW`4@pn6BjfckrynRXeyLn|}(mdif`*y?_o{qxE(^%RR}=kNv*4AU37q zC9gfsT-k^H8g59?2SAZZ6i-X6ubc}$wsz`@11^{9ZsXQc+O+&ICw4HpfX?n*wu zY(hiKWM@T-pioxMY#t|kr#mSkzto+@h^JLw&e^(WSUsoG>?_j-?oGpz6FHIxAKQa~ zBDFFlKZR)&0sAav4I?kxZmR2!fM{SalJ2&;%grsYLj;u z$1U5wCBLUJGMJQV!5Uw7AzpC(b-Za?K-D)9L2qDCm175%SMrHFv`ih<`{T-{)1Jo3 zLzCHP;`4`r)@n@@H8Un+A~2`~3@EyAtIi~eVv+2f7&kH))#Ec%BKCPF#Ej{iW&h^t zXzYeaykB_pj=XEfH?FbuuA!U-e^>mty4+s*@T{6xb@83luc< zWcyLmp)rNTR<^$kBgng0uAbfQn#D$wD>^x7R!g!N+Qx1!$xMXg*dCO*0xDb;%$VwT-G{H0!OwT4_@TlkJG>TL>7ta-%e@&lsKyX(e z!hBE_cO1ny9Mb4?XQS#4IXO_b#6^=JeW@?;_VaM$W7H<^dnuM&y%-g5pwX=0JT0X= z&$#y3S;9-s|Gcf17j&_3;z~vDJAyE2E8>fM@%L*720$s6$@Eci-U&T}1X#n-@#gRj zSCR*e=q&9jWSgb3Y6%%h^F2mR>}-8S^z)+J)4&`<>zF zel4`3gU&g0DmoC@a}nI7+^3w$cooXXSXIl>psm@VG3(1&N<=w2FQxMe`{uE+I-^F}dNdP0vW2F$PSDDWdKn0fW2ZnJ{ z_HBiotVO*EhkP7?_Uv$pjP4e3j&-N%0Hk{X^xgWX|A{zGioiytK!%w*M@HgpHL&I34wM~*CesY9p4c|Um53(6*Y)b z|Go&*!?-bl=jO+X_Rd_a*d(X=!cH6Z8%F1txKu)OnHo#I!wY^DRyDfXqArr0 zt5P4lVIKMGwahcP}&C*Urb|hXWnq` z0YegO2l^TrSwolI?!5?BB>P@c3FIm*Ns4i*Drl19)9OHPGSX5V+;pvU zANlT#HzZVHf-}=f8u%iW7%5$Z6{WQ;~_!2is6hd z-=UJv$X!9J(4_H^Vo)c8#Zz5r$UTx%)(){ic=Z9e+2D*F-T=*7f~(~v2eP|fH~Dn4 zLHS}|??%ElfESR)A#9q}@cOf-Paiy0hnbjuVb^X!;wQC@Q=CeK*KK&nHLc;N3&Zy0 z0HlCswhnV&to4AlQber8Tcv#dueRs}L#A6ZDO&`emy%<7<3lk)gYH=u95M4*G&&Ue zBww5|EF_c+<*16$H)z<^HYPIXb(89O{0h!63S%&Lk3K=_W9140By5mK=iUo^t(?e& zdKSFJ;PxjMEmlSOj#u`{jsW<<01HnoDr`EK{D@h?bP9DDt;a*WCJ#rHfdv+m1Pi4^11bi(IBaXnfQ^*`V})rxPNpRM|;ctK=L`; zSv-rdR(G0@r@$-wO@Nd$IpPNmF@=*XqOWCB0$7#tOToVoHw1P=n|TWWY)vCFU{$0% z0jp1PAH;UaEtEVV^Db|d4*3XPjU0xLYzS1+9w1+;M1MM+#iaWd@aYZ%j(O--=q;bxz9v~Qg@8($9r7x^%QHq9a{910P7n|SZttEm zDk$w(m%*+)8p-3#8W2dQu~x#)R!D3fEDNQa-r|_jXo%pFO--N?1$Bk*aVGL`#6YuX zY}08DCQ}PLjN?llq)Sz#*ZdSNc>Xe+j0hWj;18)rcp!p0I>5Bi8Y3GGZN~0 zp&KY=73~;u$xzP|TJx)-?^8tpH7v2s3m~d*_=56gIYn`v5q+W#?lx)(1mOTSGW<1V z#~$`b({4^ew-^OC)SQrV(c{gE%N0B&!{g0@Q%lkMfEG$mJu)iuiMPm1h=V#eNQy-4 zT7@BVBAB>C=K>^pE$%2%U$I;(kB^d$g(xQx+bHw6bh)Nx-^jgT%73C&vl^h>E=;Tx zrRb_Sv+ZkW6ffdG%_XHQaTU=CoN^N=E#|R-v_3RktrUb z%x=u8YSD}47O^vt9dQ}+CeoRRa#@RT8E2Z(Wt|E@rDr?lGjtXt1R3pjeNEoaU={fB zW3MX%Ns#RacEIA#u|JO02#XmCAnGCnEnnA&JEj_qV?7g9Gt&sqh!$!Z;F)EFtgeBs ziBdGCZG)kis+qiQR_cNpo)vXtQiyDq3%HJ;uF$sK94~`1KvbRUhb=J{>MSEsntN?@ zMfuo%m+c#dnxz$XttZKVS*@?zTzs;8igQfG0V0;doL3Q zOb~OX`Pw%N;}WxCRYol8zoH=srRBp*<;0IWr!<+pb&A&d!?FB%?pP65BoJ7U^hd5{ zw9L7gxr?2)>9c(PgltKF=>f0i%L2b{jnR^#-jq=Pw*IDJO;+VGZ%f~63SSWD&}?ql zCYXjqCw++$O)!3nz=7c(8Y8r;CvKnD$b@Vb!txb z`JP;Ph{5NmRN||_jht5cl2F<8$bp-kTh_gTHz=go*|JKq{{udYck z;2m+1a$(UPI25EOE6&K47W2$hC|-y|nmVPIFxqidw|Wuzp50kbzG(`eSOJI=9Mnr0 z?lplf9N?4_oMzFzU@IUs3Y~C}w3HGm%cb{(>^@oupkvc0?fwX>FotmR4cYK-{POZP zv`5u($_tdcCN0)2z+H12A0D+C9%fQI%?aKyNcTLQTA4%Z?MKvKCVRiLg(|_uxh#$A zhwQvGEPE+g{u)GkRT|=>Wyz%1>hZcS(+|Bt*1K;c;x-Uq}d}@~5 zuH^UtRPtH4eV?Yk>hb(y)4fCtgQq+MMFuLyA5LVO zd+t&P@6#{1bKm5ur{5i3u(}Q715&lfrXJ-w8d*H)yY&i9jrTwVcNGW8)>Uyt12||a z@I+(ou7H<{(8*D)Cw8<=n9tbQ1~t(llr~?1g?8JMXMpCyT{eB*yj=hKzeC$^X}ARegBD8?6!``H?tKPXzUeHSZaKjmDN&C^u561fa0Ub176YPY%V_AK$@^`MkXi34)3KcE@y6igmgJ~zSM(6KzF zd0R{`uRIyH(mqo_9g;K53-TWr^eVZOMam#gl!TvO-=w<&@l22f!1tBuPX zSaseiP0l1~q%@QhzCgo#IQ?`+!?=De-%D?d3qcjx;+_Arl7U8j+_G$ePI*9E?E~!_ z^;sS|f>ivuvtbw@2~gfW#L*hHEiXAO%82oj3{zLSS;=TSujK1m8emRfHIMFhNF8K+ z=HOPW&_jm2-(n*-d=bpZ@n%{_o zxA;x4GX73!j6AY8_@1m2Z^1S_l}#Lew=6nGny(iT+rH~pvX3J8;sLV0I@bO75@OBGWR7kMO8Y$O7j zuBV1i;>L8Y$7ykYf9o#avsn{ra=2JJe%^flZq&^gzK@1g%uNH$Sq5tt$cDEWh#a>; z&Jf$Bw(*0iY5@U?DNvOC^ZaXZQ-)`wk+De-aax_E8ODg2Nl~tbc&#+^>paOplZyUv z0s@Iy41%LA5}j{d=o0sMm{G{#8x(BIc}19}bWGZ`4TNd?-B{ zo;D{)>;Q1f#opNqFzvoiSZ33O_d*B|qJEmzcqZahn$yXLga+NLdF6{K-M5YViHwR| zDNilgbXyuNq~W;~O8I37`U%;%m2oq6j%M{X9kQgZs_01kIsfh`!JLa{SPIQ!tjtAu zjfz3#Y|;{jmdBA5>6kum(3JrF8^j-?c^HnchvA>Uk!=BnTi&# z$bCm(ugR+XMDgn8_e*<3h-ak9{P0nZ=6+@JqRN;yU-KUfGt3^z)Zc9SuN z%OwNLJ6>*1kC#fU@7YT&ilzKcgo}*%bK4Aik6ad)385|KdY)F}`&#&%ZO%?)3t7Vs z%?KNZ^(ur#PX`>ctkbLl=N);y=@;mg<385T(LS^aoeHOEL$Z3m?TXzk=WQEvg;IV< ziwM}hVs=?)jgl>A6b}_jX(?v1d=k`5T{SS$&rY#BwG}9EViIXYeTY0>&2h?J|Grw< zbFQUVc$cc%BgEWq(Jd_0_-k=o>)`i{-1xcR{8H6SYq8#oQ00)7|DQ!Nh@?LpXDkE$ zsekj7N#ZS4FgNfPE0uVX}6 zCxUCZ-YVkglR9V+tT&7^cgWLyrg3qkN#e!!8cEmUeM?f4huP4IBSj=RX`7jZu1!K; zd3ZyCoH4!Bp+L8nU@V2;Yv?0=5NSbkDYavS@==mC1o@R%D@XXx`UUxq<6`cCJ-sJf z*D7Y4X`2Z4wzb#ioiClNA~Ct`hL4cx0~s79ql8x}=_oQ5Ch|LF6A_&w-027;J=dW5g5ZU&Hu_I@IlX<;L!dF5>M;eDd^&{p^vIVuO~22UA@27+x-_}&;! z!h(Y9h7RX;?HJ>j#>-ucWu!#6rJThlF5Cr$h<87zFCmod=aC<`lf#2NL;lb*dKTv9 zjxt7yd2YW$9w~x2AvTYFhhR-!$bH;tz#creHMQ!>nt#4<_M}#2tEPODht>~?eCLR} z?3Jz3o#yHe0sDnQpFi&wECps3H$qnX!FFJ;x7!dJ@#j&Y8s2Sum1(} z6SVa%d_3e)cpR?9_(%-%f9TA*jfEVDh@@-d6h5p;wW`Qm!|0&9Zt%mOl$i45?)9Y~ zJ+@$5oin5p@AoB0x+jlOvDzsd~>psVcwVp?L*DLuzChXx#^XmE zLJW>gW--b)uY=@qkt`E0YwCFt*moPFOa!XOgBu_{VL9Ue7Dg5A$AXOt^By-a49qxpEbXiCUiQ8?Tqf(QyBo`C|5 zgHf;U$HpmTLJ(+$QLpTfap;0>mwv+Yiv)k?q~#=|yZHIKi4)_(qTfpYZ~-AwE1aLB z+g=N*t`KS*ZH@VpQZA&QT$pH*QstXqg z;q4<pr`?XICG5yUw&lD!CTOK zureB;tmN!}3?f6mrHJlwTaSfrF7_gyTny;)^^WcuOC))9Mj-k&`lJW98C{lquGVf& zZV^Ltkw37OBCysK9w-hEJVYK2MIN5Rq#M|9-9vZqCKU$1_0V=_ zO5zh$b+~a;wZS~jKsmw{R*Nz=Wf-uBhB$xqF~uJqR;g6;0eJ}q)xfw?6~>X#J%7ky5FH0sRR0n!lW#bw6yc z5{TDZNm*pX%Gi%^d1p)hiTNeWXt{d27)n7w(Z>%Kuv*qtJeHE%%H9(sS7VQ*koC5d zDB2I-YE*EhD=$^Fo3yIeS)~XT7XNAAxd8HxXuZ<~%!F16HN8VCqa=-;IuyB!T- zry_if_7AfW@j$fgYsgGSBM0cajm{AcUK8~6nlr2 ze=begDxNsCH{EA_8Cj0KT(DGEHU1L{YkPe9_DTAP80BlIsUWIm1ER$d+?xDtl;=G; z*l$LmDU9J9!>$&eey&`xaX3O=6qa@;{Q-dw$^&IAiIY=>c3YYpd@KF~8Uo+3(P2dWIH^zlUh{3xx(iO5?rTJ<$7il~UME+CuQBgHLPA*L)G{KI`fD0svY> zK3I>aZ4~_B`Oz*jH1E>S1IAJT{K3lP9bDd9k6d0seLgPo(BLF+9GE(H_K_U30yX?` zqWTBRXTelDBtRDvpL~?$z*jP|pDsZlDtsHv?4u7B<`#cX5a~}Ba|u6b{o2qGF=t1Clb*>CtY{w&VZO-fl~u4E8jk`D!wm@%Oy0(3tGZ=!^^JE2FI zaE$j!uGAwgB2J3TzdclPn5=`hLz6vTa+=h~Ia0Cr6BUkcna9j2;xH;7|B%s-5(<|4uVkOxe+$fLW`Kk|DFXgaw|Xw^9Jyaj$y$&Qfqt=4M`|y)o!7c z*6BwD?VlG;`*mP9sDztpbcbKhA&8)_dbam#a6_PE-(Q7hH*GnQ_vOLH1!fkG_Hp7} zED9$`o11R=5x|%TK=fM-ka3xkI-*<0Puez>G@rIN`(o?x@hUl?X#iK7QQL_r_C8Z6u2j!lgS4a<-yn%LcGW(MLo(Z4j9;#AmZVhm#moNbsQ+S4D8 zcpWLp;AP{lR|&vu*hBEPYNk?=*{4lH&cPzx^X+k#*d^IZQV7p`?+B^b5eZ{KZU~mJ zKPk%oBFk24{sqBTJ)baC=t#56NgjI>;S(TT>`;G#lKU!to&Qfr#O{!X#OZ=2qUwc= zvIYj<_dsaMGWw`&eiPm@=D_Knw`uN~RTDo|vgeDflmQ3XLsagFYFhrIVycoX8WU(G z*G~bh{MvyhW`ROuL>ikfkU5K#`)ZjFf^#FF9rp-Kz2~WdQ#z5cDzVtUVY8brnq=j1 zAyKHv;MEfTs<_Gxeb1Cow`Kv5F|kDABKxPBIC5(>VhMM3XRAsj`;y<~acYksJa|A^ z8^81>N)6BUy?`dMkgx&*UF@KZc>Y%o9T^{-jjLjf$~f|PkZ7jlBKr>KD6AcYmRb0; zp_*7vSK*B}|01LPG1e;}b8NU|fL~VXl+14lqLbTWrb2sJ3{e~o2_e4_ah2kX%14 z8FZv7Wfb617Z#=8{+t%QTx2gM#oXp0apn3umGP9JMOzIwG|tg`OH-}VptvoQD~h3F z*_sPma*-zjEcMoprv>rBjq$*v`vJm+mV2>RkSr=A3)BV9-%kFL$MH_pDJeU?oDom_1GU@`T<+Psn^71Mu zIHXl0xKTM`pJ{$s=~FV>C9w&0xC;SmP0a$p3Xs!p;US>4(9bn~gk^XMs&s^<>$5%? z+C1m|R~d{sWw{;vtrr>S`Cygh$za10Th_$o zzD_oef3L6@un|X8Z5IIl&8T|poOYPsp?H6KT!gbYDW z)p+Fk%M9~l2~kM_gIjWM6s{Yf9Sx=ntDapcjB&z z_&!vq%uLaWGtkJDKcQ`EU_OStzO&ylP-$uDu$@%+r@6qFmv5sE@eTb)krjB{rlqc(ywt4=P1E+xrUaC?6H0xn=+eR;4Wf`yNDo&*W6gpvjOPUX%M z8f#6jCT@=QtuN!D8UNR@qCmNNl;rN`1eVOl9y01#tF?xeX7-sZV29Q}{`D`T6W4w9 z4)BNDuxlbdcb3$H0dvvtx_*+q;%lZ(#okJ_mA@~}ej7=qXQ$hFgL1!8*9HCX4ydd3 zTlLCx&cEx6Gw+hkr8H}5)IyoB=iwZ*+{jI+?3slRnT22;7(%Enz00k+=-Km#xCa=a z5S}EUY^Hqs5oa_dhUz#nx}Wgqn0ugil_Ax=!<^&W)Y zrB4#UqFiAk+nSU_Zz1UI#DD!j3`%aaM>r3BjN@nVx?0Qn@7~@URy}QIUG3;jtdx%E zF4Mgb^vi;n0pp3@eg7K@qB`l)Mi+q+`kIVjiRIpV$usHC#TarctSH;%E;chXy0mw6 zU9n6;+~d2sL8%dd)8G)8L^3R$Wx@|!qDlI_yE{&zQ(!!8wx@RiswCb zTx{c_MFsV57Pi^jf#y}?XF3N3K#X&ln6t~(8LHa)>@xhm$Vgug9&?Wp-1m&p0+x#U zd}r9d@EQEvl;Y+#QiM^o*}&tL94ScW^+lOpN8QqX=GtbGTN`DCy;q>i8OrJ{We%7j=Aak~|MN zrdVl^{OF)dYMTBgV;|yrioa ze4?;gB)qa5`P@+~dS9bmgk)xv1oSi}c~a8|J+ZlXF)4?=?2OkwP>3^>aXI2H)j`sb z=-F;MW(R>(@&$Z}llVD+OC+BpUwE)2UEvTZ-X&ovT8E(%y9bNOfr(}07G(kyt$y*c zCS5YnEfS8gN@5XrIn5C}&VrIJX||HCCS(+WStJy#dKih_ZD<%9 zkKwXk#EXEnU@F_x6g;tS;AaBEe|vo5xS7wzkM!Fw6XHx_3Z~V2&N&a;TH~Y~jDG_j zg;)|x2Z0MTC|yl9(vJVOFg*($DfAo_i_dPhk*-^mDIS&+g*IT9#Hq$RC~GpO6j|vu z3#tn|d$xmL%nOTo0l+VjO8UKvL7$;fbbXM8-oqstgIRt7$kvKPn7)e@FXx4+zT1tI z|4)fjvhIUy4qRC`82#iP4x>sW;_m-TMAuC>xNhI?-46ap*af{aMeVxEED?J`Yb2z;?uX#RM?MMi_m@&*F zEPjN6B>B`y7fFdAHN32J-%LbL$%{N*DP>ieL@rltw4jVC?=M&Vj5^^11-vP0%%w6+ zg#KgrZ}(R)-^}2te))kfwsQzGAs^xc;#(PC&@&~n4G{heOaF)W80lf)emq-Bavck; z86A?D5za3*v~Tx<2{mdsbDrr3Gj{tw*pry67=SiAUq4;wy13k;nnZ67Et zcU_IBf13PCfZcs9CBvq}I;VT_Jj!w;!^**+RPNA=E#zj7CY3k-f|xbeASewqj&DA_@6Wan52vIz>vv zRn^jUcf~hlYYTQM&gox6-%&`$Oq;A%5ea|V#eF^~;giZ9u8Fxu20?SC*mM%hU--gV z5!y(T-vvBBoJ$wrb7ZeP4XBNT#k30z_k!{rf21KdUsFjqZ&L_y;g76jIr7y_{B$** zOOZ)@oJ8oWHj>@nYC75-ApZ^^3+VQCH5Y&cxC~pap(Z|T_@Gr#hWxJL@GQB5!e7vG zm8OchrFM!QasB?-9L6TIjt@M_ZyF=$v^YyH7>4&Ry|%czlL=h*w~Cr909UbD`rHDr1wPXcFSeKnN)3}i@Mw93#AvHUKJ9gpsdbWU{@QeN?d7*d=gi0 z;}5Q}xG0X3U01p6?YQ9cl_#4Q=dzy*2n_Xp&Whm9kX&N{kq(#E*6&G7V|NT+ws9;@ za^Gpd0otSPWZpuD{(*ac+Q0|uRhf7+af8ecdhG+7<`bFiMF~r-XkP{`is^q2eVoM=@T}W`<7@VLAFbG z^nxs-PxVNrq}<^;km|OWergxID~Nw|!libE={OaHXbj*So*Qnl)0~+VW@;6SB(Q_2 z4L^oGl3MG4I2?;gC*ARo@MPq&M)|n_D#rAXurMwJIMW%Lm|AQv(Ab7N?4^ZTPX!7x zo}7tGO9pnxF#2gmyFBtpZl{8uZOE;=V}WWWb1`mlKf2>U@C$NIK9#u7R+;ldL54ar z;uRsk^o1P&<$W0^VPRJE&=E%hH_>zsl)pB~Bo1+s#fq)kOPIZ$H^q=VY?JH`nYsmz z&k}xXSA;bC1AlPNLlU=}>&p(>MmMhT(eB}FTj}TTbtsx-U@34PpZyjmcnt(`bY;~b6oqyXby!K6iR9MmElkw$Lc zQEnC59p@cR1H(MS(wI+}d4JOZa4wHm@R(u3b`J|nE#YuZG!Ziy?qC8l(zUx`hfV&JxKN)IcQV98X#B7u52vf$o zMrF=wFEwZiM(mq2gjtp^YZPOw;}Lct5A9^OhZC8WnI)LEy2q=m_Nz)kv_AvTOVFLG zgqW&`=|5OXFSe`0fTHui))LL96kC8nv*C#CB~D0BF^6ROzkbXYR%3DHOR@UtihW*q z`Cjt_=XeHtej>wtfJ4H>1mXft)}f@7t09Ium+Se!ggVo$eTXXI zQ2!v4O{5b8cll(s23Fq4goi{<;xjfFK8A(t@?0I%N9R;F#qG3|wuelXabMDW^OxY! z_{><@$+&QI&E&SCf%msl+1DT9mH%aTBHZ`1Pjl=9$+W^+;P0>kzrxH zKq>Z_i$$MG3@N3D&A)~h-mK3U1N_dJ>-I5P8#^*MdD~Bk3@YJF~DWeFbe^)3q#y85rFg~ln zVmxN(eCndNU{WXzo}e7G(p@>T>maWT7km8qzjM}l3i94d9PX$vnXb<~jkzEnpE#SI z`3uVst6;g;96(JsHYM9kr9(8GTXSal`f?^UA7;(K6s-2a1MeKXew-E}A%x5l^QqGN zy0lWKL;|sK=*_w2+-$W{HA2%(nvyuwOttYfJTCXkdR1!hQ~*9B6H_Z&KyGmN1j@oZ z{*=pro1`nVdg13)vSsb_;ubs_*(GKkz^6~kuoDfuozLK$M~O8{OtX{blPNITbY@$! zK$rxu39S_6<6C89bfYRm65n}V=fFfAtqYU|85_?)5KGBDmSYK8=iZL5LCaFV&IV&C%?X$ z80V4NBY5F+gznro09U6_m!Y)Q0wtovTtELaqegSB5VvxX75ZJd45p`Cp*Aa@0W;sa z)uzo$`$%3nJ%F=Dn1oIjTFDLWNRwEMFN*@<~q-FVO;@p=53Fv3U zOw2sxWa$3_h8y40ps9o>yu3gT4Eyg^`n3n%$qS1;0nfv@^F04(1}-g)F=$}sOlshC zuKYdJ^XAs&&)E(5@Xr>1*pKI7aK`=ro(KAPx%4v3VlDFialjf}S)q7+^BUZfAMgj` z&-43B$@^_>`k&&asXxy*uRUTZ@E@bid2WX$A7QME_5OcGkJi&Srsr7;-qpL_5*eCJ zg5JCAFHF1+Egzlj>wWju=#8ZF`tO=t4oo#?porH+o#k6?Q^}0m*oS;el0UksiO%0K zd4iqzYs1*asGCfPdiLtL_B1moQa|i|TacEy%`bCz@A0O-m%OXrbh%8n{pk7raM+*Y z(&qCT{c+EJG!-6PPqJ;c-drAQ{*>3#m1)XYpZh#@4f4`0C^# z2W~vtIF1o8d9@sRSKFb{-d+XXY%ciNOI;<^Lj6J>!z?y#H}}N`D;LqS1Uu89qOE;D za(ZJclOFf-i(t_8;&rHT=zlu>kyNM6K_4do%&N(*0)acY)tCyEtV@IjmgD;d9 z^@Cwd7`t! z1+DOl4HUdqW2L2FA1X8ReA6NEEKFyk1+G--nKABn^qL+`87wRXd(zi_$DwysYy~Zb z+Y}*9ejikaUC4!jE?5zOo-Wwt6DW_{prR<(8<1T%-0MJhat3eT_>5l|hqaIk*Yh2_ zFNiHw+}S1?J6o=@Xar;{wFuKDveG|BjF~Q=?VRTv+ka%R{-fi`(nFg?XR=fJ;V0_o z`Pn)~MO;gd?l}rmeBcFF4LHYQ3}4D~lF**Xb%(B)A2Jw)TYZ?Z5!BpZt)aTMtTyLh zdGYo8M8;%^&p}jR>XPXcL0d1yYm4-2VvcCX@lUuJduY<}bgq0??`+A8OS*7x@B)I! z92=Yisn7y}c{3cAbc}dEInS>;a%r;_^k`*;7;z;Eo?ogI(z}c3gaym!MWU?{v1Dy1 zX9aNW9De5^app6jaS!D%1L@*Y6x$@@*Vf-~9B!RB2cxuxmTQA${Fx6zx(cHSzeS4! zSaUnhQR;h|OrKF7T>DhGL-;gQ=+zT%nRqpv+FtMVex+*m2p-N`HGA)$Tr`XfvbI3s zpMpTA2{#`~8~*%*q3j2RcI%uu7v%D=dhDBNw1#M*f0tY!9Buq1m|T7HZ#(+U@86v4 zZ}CePJosOPqn(?S3-}J+H>^WFD4M1aB_sWahfkCsj3=#u$=w4 z*Xwd^c7I8m?ji~oUFEd@POmE2#nI47{17co2(hc&8i>L3iQrjNvBI2Xt)XfFHtyui ztgjl`0XzExQG9(P-TvS=TM_qo{*a7heoGzsXI#<7L<;sFB&U5}X%0v?m z2!719cmJFHz{Gi=Ww{f<=eLbhQ(tgZaA61H)=Mx-FT%k1yYgU~G5|@KI0Z7L{H<`v z!*<-m^7{_MF&ev4mgcC!$^kp#Lkv^m1n=c!l%#_ zy|VECIjNC9jBX#q=)M2Qc0>Mh_ro^@GdHbG2Dx<%s6^Nnvk`u*2vRPelFZtz zC@koMSfUGE13Lr-D+akjfh|EysiCR%#Y@CMVLKPDC64PSZbVA{gQszEq*MAT%4ekY z2)F3&uxTlsjutjTJ;!RuI+N}P4(>%5jbHPmsR}oUHHBBi03~V)r=k$n&h^~B%j6|C z$vvPeJH_ZJp~>}RSV2Ix*GRNBfa?G`PeU(}^dT)7N4_drE*50BMyDI z7D;J>zb~(4!yIi1W3Dp>>G$|uB`@oZCmEofE4d4}=Uj)1go;*jD7L1OB&HU$ibXQX z+qE)(+{Z(9WCx_v(9SaSm*A)5|8ZXXf*yWr=D{TiU10CoN!is+nR?8?=o9yjSeOQD zAS)gR>phP?y9$TQ_lZb&uU);2-~842T+E0*Q07NF;uPFk)r&ldFt4M?M9ttDXWdJN zQDY&`)EKG@5j{+RSIlZzEZ_*I49z^vYMJG|ZmsF@ni#D{0M_oCK{Uf3!Q8-(&-mNd zG%-NDEF@pQ81j|w@9FUQ$SWs>j@?d}QW;%R85(=rmr(e=jy5lIPsvO4T7Q2`!iL6L=?C`XbjH9RkMRCEI^EIGdp!4?J-l~Jp*7c-QAJ9jH?B;GM z&c##c_~AUanR&1pW0f!|qVUe6;vE~RfD|MWr zg`}6JbTBOS>VDXbqh*QtmfC7s%$n{L?x#5F{&4Ze*_dCF#OCA%G2&6vmgg5aNh`WQ zHj1LvR#~*k;}|Q8VnH6t#jsoT^Awq>*MhHU=&k9n8&85m>#@J+o}M-=HbL825blue zraxBcn4}qlwM*?+X zmk_TRr}m0`NH9>I*eCH5K0L6z5E2tZMm$Y(mKIkuI6g}rT7hR>e%cr(^0qDQvt;oR z!b!gvA>o$bhlp}7*eHn~%YB+mhY9+A?CF_Py!=p3&}mOp1t+gIu#; zzQQXfP@*X~l8@tIVamnz4PZUI7j?)%$6uVHkuwU)qF9K?$3XSV|CsCCgV1jA&L9Zt zB{!rUdQzqdzc#^L!vbBKL1uxor_u?-b?*8{EGdV`RBixbraE$jeo9~F$e zR6DX3*6u@yF$7POM?DETzl_j{D3ZxG%WfW&vg6u$YnDmJ6yz}x;SoHvC*H+sp~L6t z7CVcy_G==8R_~Khy#tB;pCh0DR=29H1@m7?J$JDK8t5U((>g#XYP&|DqNPOtuIP z);cj%Jv_qwsO*bd&1yod%h?}9zPjc}dadb_4QP2TzOXe~vJpX3_%2qgk&!>st4M&! zU6%K0^BkV9A%1cct$A3Z1~RhZ{=bEU6-}`;N-y0sSR+MZ6v4R~e0{Z^--0KDA=%^UG6BO6@jhH-x zT44WAZ+*r|l^=C6{awYJ=!1EFTvXEDnztu1DiH(6 z)X$5R0cxf>M--a{Rrki22z}j2UFnkNuB;~>ibb>@t|2hqT8dsD8wwo%%D(zBX}~3b zfLEP$bzr9(-MHAeK?rT2zob96hF^xFn5zCO98Ulc$uR+n&^w>gHrGEO-vO$Xflc-z`>Nz#mA5#w3jABaq~d9Dk684 ze6wI_SmG;vyHYL3!f$>dF-TarkO0)c*E_P>q|wABI6cX=+?c<~t=J|y7%!>8(&0%@ zQzBwK$Z^-7+GjQXrcXtHv!j3#f9sHvOXY+|(C9G_*kIP-b)n~tf@2@sZwtB!PXjKt zEU?S9F9uOYz{VBl75 zo6`SXDm*Os+JzZ5kdQnYa{X9^FwuyS-2+}sEH=2A@Z4eP{yc&m$l~*B1JDc0cSaD6 z%nH?YFuLFCS42M2=WeQ4lX5{eY}>Id%rH{<3At{N4{)00t0g01>L6Ucmb*xBE2I*d zCBv>ZH-wOPnv18!L8R)jTJgK-wcBPb{%{`(M#&VhG@#L9fw4%{?ZH}>yV!}K7R4}q>cpgyS~S0S1p5v#*wtXtN&9s`PTz%(6gH{ixXe?n(0+vIIY z9IYyk5^CDgVR`ccX`}f|crmv zLBdLTWFm7BOmJrG8KA{lW|%>a#0{UJ{SVQCV<_k~>g^Fb;Bx(W+M#vB*J)X1en#U~ zHF&PU@DclW~_YC4v8aDQ}KJPbvQX;8& zSF%Z{;kh(*B4yt=DkM~XZ{Ev=H1!)+Zs3*Pz1zol_DzS8K)X z?(Ow{PgT9B?_T&U*PY=K7Ef78U=6|l%PZ{xm{&NTs=5*-AqF>TBp}dAYVZ*QwO|TP zW|oVnTn4uk=)rqKB!@%fVfRS2{fI3Qnxp@_I*#tGg|9Ek!#j`*MacUUA_eC_Jy&Sd zO+a^0SD(T0LNl>a5@wnJTcl9I=mqPS8W#%OoSNv)taP zvGJVVjO^3Fcb?9j2~MyUACwPx-oEzxU-4bAbbT+evCEb5(v9(I-W9Y-qDDdTxB~rn zv;SxVpeY+A1@}Egy7{_hz3A%S0R?CBfK0exO^jcBeWNZp;0SF8sF?W`8t%7AvJ7>^ zqe_fTfgW#wcMWMaX%~O1j`{i|Q`ZW%DqRupR%He+?KIZx^rVd+Fs?2P&w()^`o~kM z%{ul*eaS4mZkiD8!mXv@b@}3Li?2NFpzxR1{4d$B`dP+(9lIczLC{hQ-$3%^AzfN- zMEUupuCxF~gM2RMC??z@ooEnfkl62WEgOv%Yk%9{v#m>Id3X+f{Ne+E&pcKlD3f&H zH4_yHl$5J+PbJ#J97S=M5iRtjb305?p*e9@RpxiwF`;7GA8 z)7FoA?7}Yxz zKAHOC_6*;!1jU~ib(WlnR)&dr10%-V|MHmYy#$)8?=+9tMjR@T> zm|n+QgD%R=Bf)V`?1wR=Lvw{?2O0f#c6r7{oz(%25-UetfXv`cFnUn^HiK4b#_Q@m z6v_CFXD{CTqdJWio@{V^>Ep|6{KVK$I*KWDSakg!Mkrmx2$*?*sWwTeakZ!31aZ$_ zA^-s|h#$nX-{{iMe8CU{cZ2bsnsz`j%?O@vWWjQF2pT_yo<5ywxDq97V~l!KDybUJ z{kBanrT#j2Ga+EB#&STPj-OGrDGnE4gVy`z!Euu@|Lo0!n6SR=8pt$hk<5Lkqo1PS zTiD6KxJtfylnO|@glouXR_!n`u~8QcsN7>m@yr~0BBx_uk2|!iM)U55Et;n%6ffrL zYB@Slmo2$iF`-q_>*3LB2yzPB@#aSXzu)ea$w7Wwd?wS$!Fvk0D_$ z_kcLGgqn3ec*Crb_MzySAT~{Tl(QH-8K|;^Kd^2_7OU${Lb+U)-Tn7nv)ggwQ3_yuqnQCE7KFu?`SH@8fyG}8qi^QnbINc(7uYqj@Hf(_Eu8k zyoNZrFrTw_1aiWjPZakhXxGoFE5`pzF8n1Kj4hS4mRgs;=9dJZj}&!h0N3^C1=qzs zl|R4ngtV&&1=^h%{q~b@yERkbp!FpV*okH9yV9P3!<>DCtOu|VqpR88<;o=eS7$e6 zw`wdoNiVtt{jihngHNdkg@7$`#`CXlI`Xfrs?T8Lw)3sFRtRhtNqjCN!Zh8tdk~)h z)F|8ii`>wRDkCs*L5w%?*a3$gA0EPEB^v6a3d=aY`8{*SoIb|XmfwjR0=Ia}w?2j8 zq@1Lq^4nhnXo_%L7NrMGWNniEv2y3&D|epKG4tTJ8uU?^=V+@JbTkrOXCdW@NwfUI zG%^OpEZyzA7~`Lho!Tk5w$qfE;khoUTXl{%21@R?Eaf1EBwfZdo#t9Ic%=c(hZx-Gw4}$qWxB${c z;~Sa|hL#d5*CygQGvD%y8J)u5A|C1I3Z6NaMG~!=uVrpUiRlN1%>^~Ngm3@3UwLWKHRWhp--u^mS>-2GA z?08!6nXT=xpnB_VFSExEt-^4$iMsn@m+Z0LX{i03R&40CyM{_DzgF^3;o68ONL+jk z?@0_CV#5xL0nj#jHrGuoBWP%||J3`xLPY$4=8sdu{WF@s*P8bfLfE3Ho_$H%6m%62 zw~6Tw>Ga3jm*)7-gac2p>*FXVCR>P+vh~#2XiFZ3zq@0XirX(wiq8;j5*pIawD+96 z>{~iAmrVG&*2r$l>&l@$6>!3}<6fI4BT75V!A*ytD@2wMlg=t$SLlljthQ-JAwzV% z9=9P}&xPDHwx3e@Gs1tkd_M-5s6X3e6lz`eI9Gpe;izwbO&&$qtFea3tx1#?F8?x@ z)k#g?mTYNWpikl5)fCriaW<3}&d?PTlrm5O-pe#^AS0KHwk&7NnqK;~(oHqGW-N=E zj|xlRRZjR-FUiDj{n>Fa&%WpPq@Ru04hxe9aYyE1y5oFB%rAkiVO?$e!7*3iWUMf3 zwHJL|@K;ArrZlIDDd%Qf~$~4hn@4hb(FRxf(3Olz*o762S z2zNbOUm(^RDdQCKJp`XTiEwx3DHQQT1i&Nrb2=*%?B=^Jh`yn^)FAOx+xS_QrsA7x zrDGKFy3m+{$qPx^N;-a}(8F>&MMGg~B;z|IBQtH$UU1~=(H@@*Cr`59ZwS#=yWyF= z(4b%5IeVL(6jEX@cIj7XvYeSC387K4BpjclJ6S;G);Ft$DQN#CA^mg?nN3UiR7Phn zHtE0B4dlEcO{V|Ru`)ShihRLWXvlrop&R9g!-8$3Iy;|Iv7goQZKMjf?5nU>1}7HE z0YUY7nO}dp-5C~EzT44_&YoeczsPOgVFAd4qTyIZxK|2cc$6p2Av@F3#0G>Z7%5NT+3cMhxD60B-LsagqB6ea=I;^e3V$lKU` zsr#R6V1YzfeK-mqeu52DpquApF0Br&^YNfCf(Oww-yd2)Tq7=(;mLuz8+2RcW1Br*90oKj(+iH7B}U(8KlZA0%O7nJht---9i z6v;=|XmSyrG~!h$$9U05HK4vqR{L;Qo?cw_E+I$Ny!3(UYxvHDP(OjUU-M{^Mgv56 zwT0MvPaHBQp8WXve84?m{fCLW?p}D*f$J4kw>((g~f>T)wN zMb{$QaqSS(hv;Q`NyoHr5oO1LVEZZi>1qfjt&wFZ^#;qCFi6k5G{?5qDWEpcD>n+D zEOz$N-MFg~&mW{%5if&oqI~z#YCd88H8#pm=dnR7b#ypizUGz>R;w%CGbtYLiO{sD zFd3|BLO_K^gQ*rbEn3%NF7m_8Vz}?b!q9vk5jDF*0oC-QXUe`=x5^DBR4|lc>c0)B z`KX};&g6v8^pdtejE2KtNzCFlvz)z->WxQhLYmPNYndQUGD&*F(FX~%n#@b!biLl59 z^JOXO3}_2A8xW-7zC+}ZturfUliu6?vryabH!2x^TPlwmwpy;XT5`-!@aLW?vF;D7 zxyWL&N7Rt?akSMit7OYFeky@_-gc22b{J~HO-95!gr&y>pw8Ln$~=}2P((sHPHq%a zuS!>a7+FJ{A0d_lw?m+ITFdTI9lMcrwX2Us6-gkHy~llVU7zQG4s-kV5PR^ZMn)#B zeNv>IpYZ(&WM8c}L+aPtAvt1!z_=kDq}0a-B0BA4!(7VQGq*2#>P z&|q3CFSW=$alZ1hq|_As^J^Kg4)h`c(cHO(+MUFYB)VawqCfu`x3`k`gs%rTzlHmc zTFXwyM8UV;mUP*6ugwNg+?(T%kULM=HwT1MdNi&x^s|}WE(Spef%O?01}c>}(O)3= zo!5mbA^0zwA2Fb~4E0}>Tuq(08T&(;xH%YJBT@9tRi?;sVJ79NzlR7QTEM(}1_Kqe zV-r}-1xahxUtqpvSNa)3C;n6692iwdy~e@01IMDpYl(yp2bImvv!~dto-lw_eF_Vh z*)}2Bp=~S^?*;~34MZAZ`=(A)&=c^}!oGw=&%-|7xLNmFu#L}?N>S)zBf}Q_QKRyP zggko)+Sc281AtL*b$b~5>Xsda4G$W2%w_%J5p zJ0nXR&+|(oXnkrMlAA>9EH#2~u|vJl&iS1AQ-Uqw-^wmJ@s_2otX=u|Uq4IZ73xYz znXY~x)w*=|hZXt!m|05nt)kQFR0NJlSD3`k;BsZGiP^hszozW>CpMx-jMSfyO$(n0 z@_Av9hhs4`!oKc~945W4$CQDBsb;=3AGz*$nA>&6|CHTz2C%cI-HJ;i_m(mPIa8e#~KZ)H<5XFnbb_p=2jJ<8T|2r zLETj_HoB$rKn)7jQiOF(gDU&P*qEiLjCa7%ix{Y$e$i>x2=-x(dHaojf*rk{%APMJ z=-h!_mUusVt8dXeSM0%zwzwigpEW6apJda8gxy|CK@!{eyCQgG#|mN|^AdWirYDz; zQ(o?z+(ZcYv$~;So@d34OZp&a-@y>L+k}dQ!dRhIhw6ZSbL5?#AhqQ72scKtT||#_YE*DO}IZE4$$^KM8Z9M#IT0Cfs{9PVm8Dzz=A&f`NW2 zRQ{>s?mYTN?ibK-GxF`bWlHVYpDWXn>df~$#q`?pKmkm10kIv{^`Yip5grS;kHoqX z%^*<%7V@OhlX>If-8g7F#ap&8-|CMSK@E_f-!+xs5}4B3Ls zyH$zC&C*P)bq;POZfxPdcyNA@vMvrmn>^hF%rFSnbxwFZ-tQ`|mtH&R8jNv-0F;#p zU%F!gy!QkTI%`v7UN7Ee46vHpick|!v4lmA%)}ADA{-zrIEpKdqU!h3Rh0H4XJ}7I zc5|75-G+xeuoN`zgYLsJO+DFB7QO(*k;j9qFC2)RU}x-E>0kbW$TUwYo$tbhdO~7v zO2nb)a6u?NWF|M0^{%xy2_)pb@YHVmB+8xrx?!TVQ31!iE9?~9c=+jeVDQ@3 zBTwXssK2ap_L$Z&y1^?Oj#+(P^bZ#pz*Oi;0=I(|zfzh{p^}Y^*BWz3Tw?-e$sPoG&ev7?N@)J6y->cf+4N@kfoS#L z-#!W#;4Xcb&wJm!5+9NbL_=Y|8qbLazeo~d*e9^#*}WpSI#rCTRvD?-2bISFsa36! z?*MQ5Q?I|w&2SW{xD*y@9>;g7Wn%3eAf2cGae}%sF*bQEzO8CDe`qJk&8?z&xCid< z@o+B##%zRS!!ynbHhgZG%I~4h#6s#UX>c_dU6v$p6fK1u*zZ32w_7ADydY&?o6Gef zQ8Lz(xUg99lC4j_rW1DS+%v`{KERBVqtV&w3e71OvHlZEV|)xX*&a2|^d2o%1e*Lh zw4JrfQk|CoTc_}fa3u57#Ho}kgN4`spULS|AP@JA&rY8L_Db8;R%RF~;SD+8&ug*m zW*XHuNd=l=#-aVdFX=Q0G?RwSQF4du&e#yA6Fo^kj)UOpNc}>RR3E! zT@}x38K^TR=FhzsO(0|mMh9l~i9>GWhXC@&EQQpg%8>@;ET_dzzAU~T(ZZYPv?f^q zW3tJl?>uMY&UhF;oM&wFO{@(^Z}^lq|7>NVz7NV*p&kRrF&Onji$_7`QrY@Zu}QSb zjzR0J1MrW8B(JYSs}JV?0Al^SDE{Wy!ccnZKLbhgA?uGL-sAfY-GU!+*T(kZ9&Fbd z4W4)#wp6AN#=2kXdKuc1gm5Ch&X!Y|3hpD~p*%tiNfba^eBla>gkkTjE{Xo?tzYz8 z)2cu=yTT^`-(=$(BpLfNmDvWqoM10L)dDhADV`jjhcsrq3>K!Ao~)ro+z1tylANHT z5|k`IE=*RZB;;3KI24^4@IEb9Nn={2x(*D$^6bDHdQ~lD<}NPxYIe}j^l$U^#Kd~u!>{sOVH8D; zuPaVek?z)qNkcnYyXqxUh%U8+s=zitn7xgEASY^ZVJjjV{`sR*y<1lG;KwDNK&%sJ zz?0B?J0R1H#nWf>Y1-qF*9@v4}157)e_kYHd-Px>t7z^5(2NK39;X8z(KvL z@;YiBN4bCW|mb1Y1nWct3%ScsC+eiCu(Z{-5d1edi}XD1QVSrG_b2ez%lhJCXH7zl_NQgBZ~d+w^XFF<$VfYk94;)bY{dDV zI+kpQcR>1G!EDDECr1hKo2alH?VKM(Z^XYNw_X8#9|m{S4l%nNRrL|YiT#yJ?K7ua z;)#w-CI`~|UfGU^VV+-qEP}%?QoCH<)j79zoioxt647U~!Q;zC?U)3?C6Q0`j6~pg z(y-p#qvbUmyQss-fL;7X_YWJ@|EcV&!=n1Ozg2or5EPIeP)d3jW@w~A1nCZmA(V0m zX^;jK|o46erFiG_kQnv-ap>+JoBu**IsMwwQHSc=CkIUeYxNE zZL%&GM((*-(jNXr7!H%XhWniAq}Nigyh~+^tP#MnkE@EOlnEDv{!m;is1e3{@a6$B zKD2%)Rj!m?s@xa&9`oY6Eli#XL2NahWK$i5AkZ&tg#-eRhSBVQ&*K+FxACIoVc2p- z(axvfR`}1FU{l-X-Hy5tTN65Js9ptKZ_V=-RX@GTZ3L^f-VX+_(erAGlDV^T5h z_dge#kHkI@T&jM}i8M;Wm#Q7hd|A6;y=k6X@O+k8ww6ipWlm~^lxFo_cumb4b~V}x zr2m7X9nVg-JA5neEEHxMTS@GqqDYKigu1%1PE2}s%Y&wu82jvKvXdVX;(EDI24QDW z;@==excilL|M2f(cA~WOYr6A>??}>M!%T@V^MHBYSEo5r!3&dx_HFRxPdOJXL8(~7 zEd*xe8sm*~)6@%Gq`yAJ@9`l5?HGK{tXqDYFHLg0;Mv7H<(?Za~0 zfj}qTyctL)#CkwA|4n4YU@GEv=Jikq-AsK|?>^>e?mz7qb+cb05gtl$2qH!73WujA zkt3V+ta%G^Tv2^&s!7wfOqMcJH2bHOzyrt{Lb1Hn)-pyo6115mtYLfYj55O~zO}%O z?neG#FqIjdZKXCUh<6X>9?+g=^yePjlX4vLyfxD97=0|MFDN|&=&?4|8KA&Je zGt@WVx-QSr0oL6=u9j+@d=uVURchr*>Ek_QLbtTXaU3nt&eS_C7s2Fxf~kJ_x)wH$ zhI(P#FF%B9na0wn%s!_rTJ83@nYj#O_gx93)?!J0>!spBp($Pv$Omzh9h=T-*>d>Fq_>nA$ra5k}S^pk!3}HxD4A0um7cU(LuR12rW= zLLkm32pe+;3vMts7dIRR=%MRiZrG&~Ct$(>fdpp9%E$pBi7>G>MF5sSS5xeqoTKfg zML80aCU_t3=IC|&+pzY~$VWo0sUSr-ck`p@n;zV^C^m6@B+eENU z&Z;B)V@fWg;$(KOTasy`S+o_cTwVBpRg%C&#gu7vWq;}Nx0_muwPz+|9z6C--)fdq zck7mu-8TInVTw85nZOGHhgJr&oU>RfT8t5D%9L2w=ONfiNmn@WjIC4Ktkz(n>P|6W z@tD5ckB@w3ybmerU$xXtLS`wV4fO5@90+5+UVyp9t^WGtCWiTOQ~S`<^a~Uwc`q2^ zgWK)<%C!&r?0l}-&9=o2N9@qy_T5Sx>IZ&))mzz0Sj6HrOn*fBC5rb+-+rdQ9(;jW z9W>fTd&k5djKI>9)JM=MED-I@`D|h2Qsmbo`|MpXb@cQe;EKK5Vi2OB1Iy0YJEz@# z;$3NgnE{H#mVAtnou(>7wy(sF{}i8bQ^6lE{r)zoSR|Q$lpn2_EaEynXH=X{){uqz zLfcqRRaotmnSG(uqg=}Y@u!OwuptS;kvZz;?-H4s(*t!Lyit<;nFf1A0)C4r@1x$N z!*3`Q+hvTrOh#FKVP zhA@8=t;m-3Q3k^v<4fnO^4qNS9r%)9QOA(SyxeBaDlWDj=Jr$ikXvNEkQY7Czt{TR zthRPi&~#^V@@;C!P8sARz4+~MZ&z5?+^34q=kUb2xxTL}^16j*mX<3kMitksQw4|n zW)<^?G#?t@{!qkUTG#dLee=Ex1~KO~Vi9j%m5gV>()fgLjs4*L0V}1Y_XZt%dvRC& zRm?Th!fIb0kZumu9O4*#Qj&l6T}6I78(E&M*rw`RS%o;N^q;K*kEI+aIT_IbX{c>cHXxIbu_)6jY595gg zc?KSfr!^ne{8|&o^zLlZtF$P&|9qcJ58Ja(k9=9wYTQ zyESYBr%1hhaIo|bGhKee{1?_U!nBdq4U8Cp6wj{)O7ffMXC?zNu6GNd8vObt=bK+D zGLQ!Y7I*B+OBx$#Niv(yMFvF(w8A7bMjtoG`;}>7vG*F-DS5MjV{Qoxxm}+REVLbr zi|Je}(C7(i3+{9g7NF*BJW)GzRe${QH1Y(iES;5w--=q?1#4u=tEGz9CvM?&9OlQW zC>@0dOagr`#*8XvNyhJf`@?T&DXv+PKKm-;oN-0F9+bM4jV%wj7+=~ z1&HM^ebt2r4{Nq>JhzDF|8qQ%_gzgL&YL3OvKryGpXuS2z|<~{4`O7(o34_JpWrRD zzAjWzk4QH6L6l3x6=C~AjbZ8o2C+$$6c4i#;!~JmRrPbq2mEC&Yzma*F-hjHE@I+X zCh?qETlb>Xls@E68X}wzcIuW!Ei8n z#FDIjcP9K1rvYJ~Uw4vs`6J=H6wmdk2qy&+GRjaK!rq3@Cq%tDegVh&Og+Nf+{_I5 z)vwl-BFG!^PLKK+)eJB8qTw?q)4>l-s*eJ>BS;o9%0jk-Q^=~UdcWltv{`&vdq8!~ z?`&-J=Pu5@aPaItnC?eAHWoux59c=?K}GI5aDwuWCmRc=q4?-G$j z@@`WQDLx>=0+YH)-!;chLLONt1@U3Dy-w!Uxye}-0n&pg_0|zRgfZoSO;>C73fmIj z4f3bPy^(JB+!_CB;VEWfHr!s-bMsxw)MRW-PVVYyJt$8xa4&{KMhfews{WJRyh%## z<|amwztql3KzlGg0hu^Q$6{xI>$}O+sDuQAnaF5=2;{}2x%|!!q70PMqMkpp+VqRz zS)Vp6tJp7@0<3<2ow4lN!)sCMo7G>B^+$?(A!hGh`hC!j7i_K%J|`b9xe>ekWe2A9 zg4w2sA1*3NSab{g+h>a|v{Z|Iao}xeugp012iIRq>{y+w-=h*%eXDwJjPwlW8(u6O zJZ<#ciH?}@Fc(eDVEO7_@>=Ax-pxl&fi>Hp_{94MGcPYfdXAEVFKQy4A|ipGp*Y+X zXfDX32^=M6=DzzGf_PgcO2=iIbu^mInLMTK_UOH}>36hhORdO{N#kHUx>jd740Y9N znj?eZ;p7E<<5u70dBxWTJthV}xUu>Pzj~UviILJR)H@=Aky@1BE#w_Sp(Kn) zgOk7lk)>!`JUH3BldTn!xrq4kMxe$n@n$A#*MSG-Hx(_Rm4_U{%c4-{T^U~tTz`31 zhdA1ZaJ-a+&ORv2YSba8I?vHWOiZqG$(u|-LF1QPmVu$>D`$E|avTH5H>!+OUp8WK zNsKagn(ZeMvuh#O)<@WE>UHJ=Rd;tMIwr>75-WYE?G{o>iK9JJl5#N=HSI>~m$WIh zQ4w`jy_4#)x2O6x6{z3B&o9fU&eN&Zgnvr`w-VNfY{;o;X?4T?L+>0AxqH*{_F?PY z!tf;Xt&zu{-^yW}Rh<6l)nwM;7(85=2hnqsyJE6dr6XY#rC%1f?sYv}$Me5_F49oR zt39@{!CzBg-cmTMddA)>Cx^G)TYM^1ftX;8qb3-nsDKONtCI(8AP@z4a$)V$xEYC2 zyu?nVjDmFiT0>ffdQ_A;)kZr%Mw!d@IEpg)yjqFL>#N3}d5Wu&)N`!8$EjG*i~s(e zSR}*Yd(c3|Es|B88leZ63`0W+seWvH0`AsjGl{uF&#kH?m=sbLoV>@e!}AM9F?BMt z)qOi7UzifDTBcAhkq}E56H`zhb?V-kfB(rDd6GsJPE`OMv;})LlvLGz-0ru=_H7Cx z8pde;E@s*-e0!LK!P@`cbCnQ%-PpcC(fb?^H}4h0=w;O>Zr1Sc%ttSH9>8;22n{Ky z#Tud;-8}~w2R}Wxw;_1`+}YhQhNu&<`g&n3y64~p_@v>`H{~hV9zN@MyR7P-naIuC zEiQti_B#)Z$w}IVCL!9_+)blQ@zZ=wJ7b2;imGb<09P0?+OzJHJCg z!}8E?9491AB2-Q`E9h&x*~EERE-%r~98xoEtk8v}!du%UHMWtB(k~>Si9)iUy~PQ) z>Hbtbdb6wgz*OGynr2kitMrH?Ob8DTEqyolsZe|JwR4Hc?>+KIR{2hG*wmTDoTo9T zHG%;l&421V0$Ad&Z#q;&gwn&FP$gr?X;lG@ z2tGLer2tvzy|ZaZ?M~8A&uQ-QUPX4M!9SOrsfKhcb#tbQ#8hGu*Tx&Oz8r7%jXAek zl0_7ehxhxk(&!?L+3c~8dOZPo{)bLKpeP@Bt zim-?MQ-pVj6LBqyKK*6Z1p*RV#i&c;$x=e>QOn0IPCpO^@9T>YaQ zg>(s93LNPkzeB8C>s0Es*2n0k5xX_{;qm-7&m!W(y9kj&q(z0cwnVs$7Z*%JFZ5f{ zT34?+^Kgl3L0S2oJI%iDUWR0cl*JYY93MIdb;W8dcd@&;kdqJP;BCvvAsrnnD@$_N z)v?G&jIY0VODEp&#kf<&9a;6oloKcGxPqA>heeb{tlpJFp!RbU@5ql3J+Y1oON%qt zm@csmgV7@gdwUgQO{VZ8%P)5CiiDa!>sQO?%vQO3d>Q&>xWTr{%&a7t_1SZYz#ePJ zfSLXEjS?=;_?m~=n#Ua-BZKiAeEOS$3qzAu<_cy3ql6WoF^$GCO_cNk>Y;%R-ZQ)n zm>Z>{fs1MkOVtXc$40-!rdMd9FTQ*IDAF{$-yae0;IMpu>8P~wrSX!>&JF%{y~^yk zdrC2)BFd(^5Gq_KMUOh8WbqvhL)k)AWTi`f)kf6GJ;wh)=hSM7*}=;rptb?77x zeegT1>OU3orx$L>@TAI_jqQ7h*+r2lACRui5&d}?{dH*}YDco;bw6>!VzgwTJ%T~E8b zWw=dEcO`Nz(kzN^x3{&M1{3uottJ3m|vRYKtkMMFwbd<%v9WsVF^(Lgh z%igaF#%nO(aKp{~I>L0?tn+dpnO^{=<@4;t$h3|!=|}8azgjH)9{w?DBL{%qA=WdW zoF619+~XEfPb2x^g7i0v@ZYE|b?jf9YG}kVk_)pMmOuZqhO2GmSx6kRrJ^93&IMYs z#_n$Iu6y>rsD8BkM*S|eaJIseCnd%5-Ccc>9mNDWON37HEm3Rt?&AJ*(D5KF2c0}V zoHOjx6bE%Y&-OEXKX@Hd`M3yi@Z>as=-5A7b|CUynSh;xgOk(ngrE|eq1)C+b!Ijw z-T7^n8P|?hp~j&zv0%Qh9fa36@2m$i^`exHdmLU#K1>xJF} z4<)yjnqX=?PVG*JGJ5aIW@_?SMPi^=lY&CY4BI01mdUr4`0IXmp}Whu$=&PD7$qda zT3hC{G>!Ym!|dZw`I*>iCRmz;diyr_`(L5zS@TNXo<8-ACw&Xy6P#K_9jPv8Kqxw|Sh_ zDTXn)QEUmnxnMpvrKk8=#`)%Mx+dLw+Ki}rcEq5`06-J zgi=!DqzWHHX8z6-{RsWF%FUv_u?+$JoF=n@)thfY0wN;CjH^8wP>?GO1R*{OegM6&bgbmMd0e=3Y{%f^$9|g`%Re*TOYoJrJOhpBE3oqJpyg7QeaC%6EOOe$x-|jv z-{)j4H% zW|VRmpzD*Cckz8f2V$HN86;+`Fo2^&UpB!$tl8Eb%*iyLum!ga<+*S$;P z>O%D?X}gZGFXq=Lyp;H@eRY)a-7^=ZSMCp9)0j(tD>X6~o!MRZ;CmzTr}Uit8qGGT zUW*UG+&$zSCT|YXdMRgoS|$Pi$Ht<11AV!H_{4Z$-IFbvE4CVcst~hpO=A2ev6GuU z;dh2Tx?oWj>?f?C*lp&;(q!P2Av_}h5eL!C3Q9T=uliJzlBfHpOHc{Dc%LN+5y}&r zW+=pu#qkIaGGOo-`%LYZTw8spYXQ%6dY=_eYzZ!*G$r${S6(3t55IG#&j8zc%x=+m z>ZdlYCSyfl(}9r%{xzK&5Uj0a@6S%|ADyV$Om3TatAdH1R@_mXQ=a4T5yKt~OOHT5|1_;IQ7mfx&uQ<@(`v-VG0Nu+Y@dyPT5aXNwfwQCI9 zUAstU>s{A&-C63jqJz{ekvZYIW?QM15O!7?L*Qk(KTWo{k9rQ>XDMeXHz(#!3V*ZD zaGte#ZhJaZ-KQ4_7Tp0}H{v%RoTGXBM2X~S$pg+zL-t>}r0a8* zUv+#ag%pSleBxXZ>4~vyw<^B)%E%t#iTt!A+VbihFqpl6;5?p$OC;1az#3#6|1{hn z7={afZTcYn8JlQ-5*s__xZxfr8@us@ZFvRR#JNoDzL{k}j^@@qBs7pt#vV=Zg`D|r z@+Y=LX7T?Axji64b9`bvRnO-s8nLe)zpwNC$GH}~L@SmQqhXviEC)mQ&{vC}gpGQ= z|8u~`M9+^5wg~^679rMP$cyVAt&= z@>H`~n%8b{yCn>3|Ie^}UCzQin6tgRdEre$#be!ia!Fyf55iRK`zwg$h$(7jNnu+Ht#!3w)ZH#X{ZO5UpwDS!&EA zi)LJty;6bslI_Aur!6(s7YVP=j9-&l)kQ0b>yik@`komh983I9xVB#He(Lj<7Kp;O zAIB69{7F3lCHQXSBvM*QI=xS$p-DDj7U%|_5NQpEe>3)7{Lwe}%&|FH-<_7{!p4~4 z*2gTuYjJPR0^A(SAbLVRu<3722=-4j@~(VB&Vi%+>)2s+quhK#(%KE&^jxQq>$YEpxM}=U(Ize>zbJ{2AyVkJU_VE`l z`By2*2iEU#zhYVtEM(LTt9dnQhGhHG^^DT;GjG=Px}CU}PD*65q>QFc9hFmFLkCF< zQhoSkj|P) z*J07Ba+(Qx1TW%-Bu5ehaO%X!1o(y7b2>$y5XQH!8s#N8X+PVeIZ1!xG`4C}VE+}H z_KEM*USta>On!#kJWwrLr{K4H+Z{7^xEcU zHrD7$=5bKgryh)ZPXbA#H>x5C#@BN+A`bn?s9JmUIO($H(eZo+#Mz_A#ZmtzVdgO=W3%fd%Pf z{$%Q{hl|h31wyw)O>JIP{10w=XX5Hq3b3R3y zB9N9g=3r(yQviUpbZ`Nfs*ZMcRtRepAjt&=fWZHPO~IGIstSNl%1Nr(%E(D77};-5HO6N8w`V@KYwLV?I=E~4u^7Gwxj5O zwqM!;^Z}^#U;MxAe<{i?FE<~U7m$PS^IlR^8RY|tLZN^^s5YR#OFmFW>G1LJT~d_X z-+s8^KtCvXz#fW+vWL>+<_E?C@c;JtcWjq+fRAdw@9H32AGhif{m%S=yK)5%#u@ND~Cu7+78#5U@I? zmL?8YwM(9fwUOQBa{Yho2XzV6|J@2;Q-m2{2v~45YJRzm*#9m)JD~G#iA%&C@CDG| zMmvK7mhJ6~Ob|#T8*>Cmhzqzxz(Uf%bvX(D)eb>x8Jqo6{7UPRT-x9UY;bWw`9#3F z0Odhf;k;-r?2d@}LR2 z#)EF-L0f^K)p@RZ5Wkd!pk3mDT-M-Mrms9h8{^@>Y~w*o@}eanXmu!>3AySWJxpG- z0x!B--Yb{HF0Jrh^>8JEb`XlTiJC27D7*wvg}O~_RZ-4X1{=9L0^3Sj8|;8z4K0=t?zw7U?r0uS0xIGVr!C-hNg z=X3H(1!<>j8f42S;_ zjZsXl|92RcvH|urD#ZRPM6%2N&G;}ivT;C#ZhNpUH!A9@0Qn+nw(2&PsG|VpMg;_w ze+LLuj>q4W4zwpqM&<~65U0GQsXZ8^3qt2}05&9S9f9D@4f(R(g;Y_L0+chs9L%L w3k(O+gHShTpi>}whf~GY)&Y#lT0&*=072gf>2SFsP#%6L1jN7~^;8=4f0vG6uK)l5 literal 0 HcmV?d00001 diff --git a/smartmic/SM1000-C/SM1000-C.brd b/smartmic/SM1000-C/SM1000-C.brd new file mode 100644 index 00000000..0868f7c2 --- /dev/null +++ b/smartmic/SM1000-C/SM1000-C.brd @@ -0,0 +1,5887 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +SELECT +SW2 +BACK +SW4 + + + + +LED1 +POWER +LED2 +PTT +LED3 +SYNC +LED4 +CLIP/ +ERROR + + + + + + +USB CONN +SPKR VOL +BACK +FRONT +BACK +FRONT +BACK +FRONT +BACK +FRONT +SM1000-65X85 +POWER IN +RJ-45 +RIG +PTT +RIG +MIC +RIG +SPKR +EXT +MIC +SPKR +OUT +EXT +PTT + + +MOLDED +CABLE + + + + + + + + +POWER +SWITCH + + +MOLDED +CONNECTORS + + + +MOLDED +CONNECTOR + + + + + + +KNOB + + + + + + + + + +SM1000-REV-B- DRILL TABLE +Copyright 2014 +Richard Barnich and David Rowe +Licensed under TAPR Open Hardware License +c + + + + + +SM1000 Rev B1 +SM1000 Rev B1 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +TOP DIMENSION +1 +2 + + + + + + + + + +3 +4 +5 +6 +7 +8 +9 + + + + + + + + + + +BOARD DIMENSIONS +9 +10 +11 +12 +13 +14 +15 +16 +17 +TOP DIMENSION + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + +BOARD EDGE +>NAME + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + ++ +- + + +>NAME + + + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + +<b>Diode Package</b> Reflow soldering<p> +INFINEON, www.infineon.com/cmc_upload/0/000/010/257/eh_db_5b.pdf + + + + + + +>NAME + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +BOARD EDGE +>NAME + + + + + + + + + + + + + + + + + +BOARD EDGE +>NAME + + + + + + +<b>SMD 4</b><p> +Source: http://www.vishay.com/docs/83673/sfh618.pdf + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + +BOARD EDGE + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + +>NAME + + + + + + + + + +>NAME + + +<b>Small Outline Package 8</b><br> +NS Package M08A + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + + + + + + +>NAME + + + + + +>NAME + + + +<b>RESISTOR</b><p> +chip + + + + + + + + +>NAME + + + + + + + +.1uF,50V + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + +>NAME + + + + + +>VALUE + + + + + + + + + + + + + + + + +>NAME + + + +>NAME + + + + +Generated from <b>SM1000.sch</b><p> +by exp-lbrs.ulp + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + +BOARD EDGE + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +Generated from <b>SM1000.sch</b><p> +by exp-lbrs.ulp + + + + + + + + + + + + + + +>NAME + + + + + +<b>IPC-7351 compliant SMT capacitors</b><br> +<br> +Symbols copied from CadSoft rcl.lbr<br> +Packages generated using genpkg_chp.ulp, genpkg_cae.ulp and genpkg_mld.ulp<br> +Devices are Vishay chip types, generic AEC types and Kemet T491 series molded body types.<br> +<br> +Weartronics 2006<br> +http://www.weartronics.com/ + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + +<b>IPC-7351 compliant SMT resistors</b><br> +<br> +Symbols copied from CadSoft rcl.lbr.<br> +Packages generated using genpkg_chp.ulp.<br> +Devices are Panasonic ERJ series types.<br> +<br> +Weartronics 2006<br> +http://www.weartronics.com/ + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + +<b>IPC-7351 compliant SMT capacitors</b><br> +<br> +Symbols copied from CadSoft rcl.lbr<br> +Packages generated using genpkg_chp.ulp, genpkg_cae.ulp and genpkg_mld.ulp<br> +Devices are Vishay chip types, generic AEC types and Kemet T491 series molded body types.<br> +<br> +Weartronics 2006<br> +http://www.weartronics.com/ + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + +Sym + + +N° + + +MM + + +Mils + + +Qty + + +Plated + + + + + + +1 + + +12.80 + + +504 + + +181 + + +YES + + + + + + +2 + + +16.00 + + +630 + + +8 + + +YES + + + + + + + + + + +3 + + +19.20 + + +756 + + +25 + + +YES + + + + + + + + + +4 + + +22.40 + + +882 + + +16 + + +YES + + + + + + + + +5 + + +25.60 + + +1008 + + +36 + + +YES + + + + + + + + +6 + + +28.80 + + +1134 + + +2 + + +NOT + + + + + + + + +7 + + +38.40 + + +1512 + + +2 + + +YES + + + + + + + + +8 + + +64.00 + + +2520 + + +1 + + +NOT + + + + + + + +9 + + +102.40 + + +4031 + + +4 + + +YES + + + + + + + + + + + + + + + + + + + + + + + + +<b>EAGLE Design Rules</b> +<p> +The default Design Rules have been set to cover +a wide range of applications. Your particular design +may have different requirements, so please make the +necessary adjustments and save your customized +design rules under a new name. + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +Since Version 6.2.2 text objects can contain more than one line, +which will not be processed correctly with this version. + + + diff --git a/smartmic/SM1000-C/SM1000-C.lbr b/smartmic/SM1000-C/SM1000-C.lbr new file mode 100644 index 00000000..098df3a8 --- /dev/null +++ b/smartmic/SM1000-C/SM1000-C.lbr @@ -0,0 +1,1199 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +Generated from <b>SM1000-REV-B1.brd</b><p> +by exp-project-lbr.ulp + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + + + + + + + +BOARD EDGE +>NAME + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + +>NAME + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + + ++ +- +>NAME + + + + + + +>NAME + + + + + + + + + + +>NAME + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + + + + + + + +BOARD EDGE +>NAME + + + + + + + + + + + + + + +BOARD EDGE +>NAME + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +BOARD EDGE +>NAME + + + + + + + + + + + + + + + + + + + + +>NAME + + +<b>SMD 4</b><p> +Source: http://www.vishay.com/docs/83673/sfh618.pdf + + + + + + + + + + + + + + + + + + + +>NAME + + +<b>Small Outline Package 8</b><br> +NS Package M08A + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME + + +<b>Diode Package</b> Reflow soldering<p> +INFINEON, www.infineon.com/cmc_upload/0/000/010/257/eh_db_5b.pdf + + + + + + + + + + + +>NAME + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + + + + + +BOARD EDGE +>NAME + + + + + + + +>NAME + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +Sym +N° +MM +Mils +Qty +Plated +1 +12.80 +504 +181 +YES +2 +16.00 +630 +8 +YES +3 +19.20 +756 +25 +YES +4 +22.40 +882 +16 +YES +5 +25.60 +1008 +36 +YES +6 +28.80 +1134 +2 +NOT +7 +38.40 +1512 +2 +YES +8 +64.00 +2520 +1 +NOT +9 +102.40 +4031 +4 +YES + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + diff --git a/smartmic/SM1000-C/SM1000-C.net b/smartmic/SM1000-C/SM1000-C.net new file mode 100644 index 00000000..0bdec408 --- /dev/null +++ b/smartmic/SM1000-C/SM1000-C.net @@ -0,0 +1,588 @@ +Netlist + +Exported from SM1000-REV-B2.sch at 7/27/2014 10:42:46 PM + +EAGLE Version 6.5.0 Copyright (c) 1988-2013 CadSoft + +Net Part Pad Pin Sheet + +!CPPT! LD2 2 C 2 + U1 57 PD10 1 + +!EXT-PTT! C51 1 1 2 + D3 A A 2 + R37 2 2 2 + U1 55 PD8 1 + +!TEST! J1 1 1 1 + R4 2 2 1 + U1 56 PD9 1 + ++3.3V C4 1 1 1 + C5 1 1 1 + R17 2 2 1 + R18 1 1 1 + U2 5 VOUT 1 + ++5V C27 1 1 2 + C28 1 1 2 + C29 1 1 2 + C6 1 1 1 + C7 1 1 1 + L2 2 2 2 + P19 1 1 1 + R33 1 1 2 + R35 1 1 2 + U2 1 VIN 1 + U2 3 INH 1 + U3 5 IN 1 + ++VEXT D2 C C 2 + SW3 2 2 2 + SW3 5 5 2 + ++VIN C1 2 2 2 + C23 1 1 2 + C25 1 1 2 + C46 1 1 2 + P20 1 1 1 + R21 2 2 2 + R34 1 1 2 + U5 2 VIN 2 + U8 6 VS 2 + +AIN1 P1 1 1 2 + R43 1 1 2 + U1 24 PA1 1 + U6 3 -IN 2 + U6 4 OUT 2 + +AIN2 P4 1 1 2 + R42 3 3 2 + U1 25 PA2 1 + U9 4 OUT 2 + +APTT C45 1 1 2 + CN12 14 14 2 + CN9 10 10 2 + +BACK R8 2 2 1 + SW4 1 P$1 1 + SW4 2 P$2 1 + U1 82 PD1 1 + +BOOT0 R1 2 2 1 + SW1 1 P$1 1 + SW1 2 P$2 1 + U1 88 PD7 1 + U1 94 BOOT0 1 + +BOOT1 R6 2 2 1 + U1 37 PB2 1 + +DAC1_OUT P2 1 1 2 + U1 29 PA4 1 + U7 1 +IN 2 + +DAC2_OUT C37 1 1 2 + P3 1 1 2 + U1 30 PA5 1 + +GND C1 1 1 2 + C10 2 2 1 + C11 2 2 1 + C12 2 2 1 + C13 2 2 1 + C14 2 2 1 + C15 2 2 1 + C16 2 2 1 + C17 2 2 1 + C18 2 2 1 + C19 2 2 1 + C2 2 2 1 + C20 2 2 1 + C21 2 2 1 + C22 2 2 2 + C23 2 2 2 + C24 2 2 1 + C25 2 2 2 + C28 2 2 2 + C29 2 2 2 + C3 2 2 1 + C30 2 2 2 + C31 2 2 2 + C32 2 2 2 + C34 2 2 2 + C35 2 2 2 + C4 2 2 1 + C41 2 2 2 + C42 2 2 2 + C46 2 2 2 + C48 2 2 2 + C49 2 2 1 + C5 2 2 1 + C50 2 2 2 + C51 2 2 2 + C52 2 2 1 + C53 2 2 1 + C6 2 2 1 + C7 2 2 1 + C8 2 2 1 + C9 2 2 1 + CN1 2 2 1 + CN10 1 1 2 + CN11 2 2 1 + CN2 5 GND 1 + CN2 6 SHIELD1 1 + CN2 7 SHIELD2 1 + CN2 8 SHIELD3 1 + CN2 9 SHIELD4 1 + CN3 1 1 2 + CN3 3 3 2 + CN5 1 1 2 + CN8 1 1 2 + J1 2 2 1 + J4 2 2 2 + J5 1 1 1 + LED1 C C 1 + LED2 C C 1 + LED3 C C 1 + LED4 C C 1 + LED5 C C 1 + LED8 C C 2 + MIC1 2 2 2 + P14 1 1 1 + P16 1 1 1 + P18 1 1 1 + R1 1 1 1 + R36 2 2 2 + R40 2 2 2 + R45 2 2 2 + R46 1 1 2 + R50 2 2 2 + R52 1 1 2 + R6 1 1 1 + R7 1 1 1 + R8 1 1 1 + T1 3 3 2 + T2 3 3 2 + U1 10 VSS5 1 + U1 20 VSSA 1 + U1 27 VSS4 1 + U1 74 VSS2 1 + U1 99 VSS 1 + U2 2 GND 1 + U3 2 GND 1 + U4 D2 GND 1 + U5 4 GND 2 + U5 EP EP 2 + U6 2 GND 2 + U7 2 GND 2 + U8 2 -IN 2 + U8 4 GND 2 + U9 2 GND 2 + XTAL1 2 2 1 + XTAL1 4 4 1 + +LED-ERR R16 1 1 1 + U1 62 PD15 1 + +LED-PTT R13 1 1 1 + U1 60 PD13 1 + +LED-PWR R12 1 1 1 + U1 59 PD12 1 + +LED-RT R15 1 1 1 + U1 61 PD14 1 + +MIC C44 1 1 2 + CN12 15 15 2 + CN7 10 10 2 + +MISO P11 1 1 1 + U1 79 PC11 1 + +MOSI P12 1 1 1 + U1 80 PC12 1 + +N$1 C14 1 1 1 + U1 73 VCAP2 1 + +N$2 C24 1 1 1 + R31 2 2 1 + U1 6 VBAT 1 + +N$3 C15 1 1 1 + U1 49 VCAP1 1 + +N$4 C10 1 1 1 + C11 1 1 1 + R10 2 2 1 + U1 21 VREF+ 1 + +N$5 C12 1 1 1 + C13 1 1 1 + L1 2 2 1 + R10 1 1 1 + U1 22 VDDA 1 + +N$6 CN1 3 3 1 + R20 2 2 1 + +N$7 CN1 1 1 1 + R19 2 2 1 + +N$8 C39 1 1 2 + C40 1 1 2 + U8 5 OUT 2 + +N$9 C36 2 2 2 + R52 2 2 2 + +N$10 C2 1 1 1 + R14 2 2 1 + XTAL1 1 1 1 + +N$12 C8 1 1 1 + U2 4 BYBASS 1 + +N$16 LED1 A A 1 + R12 2 2 1 + +N$17 LED2 A A 1 + R13 2 2 1 + +N$18 LED3 A A 1 + R15 2 2 1 + +N$19 LED5 A A 1 + R17 1 1 1 + +N$20 LED4 A A 1 + R16 2 2 1 + +N$21 C26 1 1 2 + U5 1 VBST 2 + +N$22 CN2 3 DP 1 + R28 1 1 1 + U4 C1 D+IN 1 + +N$23 CN2 2 DM 1 + R27 1 1 1 + U4 D1 D-IN 1 + +N$24 D2 A A 2 + R9 2 2 2 + +N$25 C33 1 1 2 + C34 1 1 2 + R11 2 2 2 + R39 1 1 2 + +N$26 FB4 2 2 2 + R11 1 1 2 + +N$28 CN2 4 ID 1 + R30 1 1 1 + U4 A3 ID 1 + +N$30 C27 2 2 2 + R35 2 2 2 + R36 1 1 2 + U5 5 VFB 2 + +N$31 R25 1 1 1 + R26 2 2 1 + U3 4 !EN! 1 + +N$33 FB1 1 1 2 + T1 6 6 2 + +N$34 C37 2 2 2 + R46 3 3 2 + +N$35 C33 2 2 2 + R41 1 1 2 + +N$38 C31 1 1 2 + U5 8 SS 2 + +N$39 R46 2 2 2 + U8 3 +IN 2 + +N$40 C48 1 1 2 + U5 6 VREG5 2 + +N$41 R42 1 1 2 + R42 2 2 2 + R53 2 2 2 + +N$42 R34 2 2 2 + U5 7 EN 2 + +N$43 C40 2 2 2 + R50 1 1 2 + +N$44 C39 2 2 2 + FB3 1 1 2 + +N$45 J3 1 1 2 + R39 2 2 2 + +N$46 R38 1 1 2 + R40 1 1 2 + U9 1 +IN 2 + +N$47 LED8 A A 2 + R33 2 2 2 + +N$49 LD2 1 A 2 + R51 1 1 2 + +N$50 C36 1 1 2 + R43 2 2 2 + +N$51 CN12 8 8 2 + CN4 8 8 2 + +N$52 CN12 7 7 2 + CN4 7 7 2 + +N$53 CN12 6 6 2 + CN4 6 6 2 + +N$54 CN12 5 5 2 + CN4 5 5 2 + +N$55 CN12 4 4 2 + CN4 4 4 2 + +N$56 CN12 3 3 2 + CN4 3 3 2 + +N$57 CN12 2 2 2 + CN4 2 2 2 + +N$58 CN12 1 1 2 + CN4 1 1 2 + +N$59 R44 1 1 2 + R45 1 1 2 + U6 1 +IN 2 + +N$60 CN5 10 10 2 + MIC1 1 1 2 + +N$61 C47 1 1 2 + R47 2 2 2 + +N$62 CN8 10 10 2 + J4 1 1 2 + +N$63 R52 3 3 2 + T1 1 1 2 + +N$64 CN6 2 2 2 + FB1 2 2 2 + +N$65 C38 2 2 2 + T2 1 1 2 + +N$70 R21 1 1 2 + SW3 3 3 2 + SW3 6 6 2 + +N$71 C38 1 1 2 + U7 3 -IN 2 + U7 4 OUT 2 + +N$72 CN7 2 2 2 + FB2 2 2 2 + +N$73 CN9 2 2 2 + FB6 2 2 2 + +N$74 FB6 1 1 2 + LD2 4 COL 2 + +N$75 CN8 2 2 2 + FB3 2 2 2 + +N$76 CN5 2 2 2 + FB4 1 1 2 + +N$77 C30 1 1 2 + CN3 2 2 2 + R9 1 1 2 + +N$78 R48 2 2 2 + T2 6 6 2 + +N$79 C47 2 2 2 + FB2 1 1 2 + +N$81 R47 3 3 2 + R49 2 2 2 + +N$82 R47 1 1 2 + R48 1 1 2 + +N$85 CN10 2 2 2 + R37 1 1 2 + +N$87 R41 2 2 2 + R53 1 1 2 + U9 3 -IN 2 + +NRST C49 1 1 1 + J5 2 2 1 + R3 2 2 1 + U1 14 NRST 1 + +NSS P9 1 1 1 + U1 77 PA15 1 + +OSC_IN C3 1 1 1 + U1 12 PH0 1 + XTAL1 3 3 1 + +OSC_OUT R14 1 1 1 + U1 13 PH1 1 + +OTG_DM R27 2 2 1 + U1 70 PA11 1 + U4 D3 D-OUT 1 + +OTG_DP R28 2 2 1 + U1 71 PA12 1 + U4 C3 D+OUT 1 + +OTG_ID R30 2 2 1 + U1 69 PA10 1 + +OTG_OVR_CUR R24 2 2 1 + U1 86 PD5 1 + U3 3 !FAULT! 1 + +OTG_PWR_ON R26 1 1 1 + U1 15 PC0 1 + +PE0 P5 1 1 1 + U1 97 PE0 1 + +PE1 P6 1 1 1 + U1 98 PE1 1 + +PE2 P7 1 1 1 + U1 1 PE2 1 + +PE3 P8 1 1 1 + U1 2 PE3 1 + +RF C43 2 2 2 + C44 2 2 2 + C45 2 2 2 + CN12 12 12 2 + CN12 13 13 2 + CN4 10 10 2 + CN4 9 9 2 + CN6 1 1 2 + CN7 1 1 2 + CN9 1 1 2 + LD2 3 EMIT 2 + R49 1 1 2 + T1 4 4 2 + T2 4 4 2 + +SCK P10 1 1 1 + U1 78 PC10 1 + +SCL R2 2 2 1 + U1 92 PB6 1 + +SDA R5 2 2 1 + U1 96 PB9 1 + +SELECT R7 2 2 1 + SW2 1 P$1 1 + SW2 2 P$2 1 + U1 81 PD0 1 + +SPKR C43 1 1 2 + CN12 16 16 2 + CN6 10 10 2 + +SW C26 2 2 2 + L2 1 1 2 + U5 3 SW 2 + +SWCLK R19 1 1 1 + U1 76 PA14 1 + +SWDIO R20 1 1 1 + U1 72 PA13 1 + +USART3_RX CN11 3 3 1 + U1 48 PB11 1 + +USART3_TX CN11 1 1 1 + U1 47 PB10 1 + +VBUS C9 1 1 1 + CN2 1 VBUS 1 + U1 68 PA9 1 + U3 1 OUT 1 + U4 B3 VBUS 1 + +VDD C16 1 1 1 + C17 1 1 1 + C18 1 1 1 + C19 1 1 1 + C20 1 1 1 + C21 1 1 1 + C22 1 1 2 + C32 1 1 2 + C35 1 1 2 + C41 1 1 2 + C42 1 1 2 + C50 1 1 2 + C52 1 1 1 + C53 1 1 1 + D3 C C 2 + J3 2 2 2 + L1 1 1 1 + P13 1 1 1 + P15 1 1 1 + P17 1 1 1 + R18 2 2 1 + R2 1 1 1 + R24 1 1 1 + R25 2 2 1 + R3 1 1 1 + R31 1 1 1 + R38 2 2 2 + R4 1 1 1 + R44 2 2 2 + R5 1 1 1 + R51 2 2 2 + SW1 3 P$3 1 + SW1 4 P$4 1 + SW2 3 P$3 1 + SW2 4 P$4 1 + SW4 3 P$3 1 + SW4 4 P$4 1 + U1 100 VDD3 1 + U1 11 VDD5 1 + U1 19 VDD12 1 + U1 28 VDD4 1 + U1 50 VDD1 1 + U1 75 VDD2 1 + U6 5 !SHDN! 2 + U6 6 V+ 2 + U7 5 !SHDN! 2 + U7 6 V+ 2 + U9 5 !SHDN! 2 + U9 6 V+ 2 + diff --git a/smartmic/SM1000-C/SM1000-C.pro b/smartmic/SM1000-C/SM1000-C.pro new file mode 100644 index 00000000..747106a0 --- /dev/null +++ b/smartmic/SM1000-C/SM1000-C.pro @@ -0,0 +1,25 @@ +EAGLE AutoRouter Statistics: + +Job : C:/Users/rbarnich/smartmic/SM1000-REV-B2/SM1000-REV-B2.brd + +Start at : 10:13:59 (7/15/2014) +End at : 10:13:59 (7/15/2014) +Elapsed time : 00:00:00 + +Signals : 122 RoutingGrid: 50 mil Layers: 3 +Connections : 342 predefined: 339 ( 198 Vias ) + +Router memory : 110880 + +Passname : Busses Route Optimize1 Optimize2 Optimize3 Optimize4 + +Time per pass : 00:00:00 00:00:00 00:00:00 00:00:00 00:00:00 00:00:00 +Number of Ripups : 0 0 0 0 0 0 +max. Level : 0 0 0 0 0 0 +max. Total : 0 0 0 0 0 0 + +Routed : 0 3 3 3 3 3 +Vias : 0 12 3 3 3 3 +Resolution : 99.1 % 100.0 % 100.0 % 100.0 % 100.0 % 100.0 % + +Final : 99.1% finished. Polygons may have fallen apart. diff --git a/smartmic/SM1000-C/SM1000-C.rep b/smartmic/SM1000-C/SM1000-C.rep new file mode 100644 index 00000000..fe1c7a0d --- /dev/null +++ b/smartmic/SM1000-C/SM1000-C.rep @@ -0,0 +1,525 @@ +Data Exported from: C:/users/rbarnich/smartmic/SM1000-REV-B2/SM1000-REV-B2.brd +with: C:/Program Files (x86)/EAGLE-6.5.0/ulp/statistic-brd.ulp Version 1.3.8 +at: 7/18/2014 12:31:18 PM +EAGLE Version 6.5.0 Copyright (c) 1988-2013 CadSoft + +all Values in mm +max. Board length (Layer 20) +X = 65.00 +Y = 90.00 +Outline contour = 310.00 + +used layers 4 + + 1 Top + 2 GND +15 VDD +16 Bottom +_________________________ + +1450 Wire(s) incl. Arc(s) +24 Polygon(s) +_________________________ +419 SMD(s) top +35 SMD(s) bottom +=================== +454 SMD(s) total + +70 PAD(s) +_________________________ +192 Via +15 Hole +=================== +277 Drills total +_________________________ +442 tCream +35 bCream +_________________________ +Routing Info: +118 Signal(s) +524 PAD/SMD total +=================== +462 PAD/SMD on Signal +_________________________ +Packages used area: +~ 4388.45 mm² (0.439 dm²) +_________________________ + + +============================ +28 Unroutet airwires *** + +============================ +175 Elements: 123 locked / 52 unlocked +0 Testpoints (TP) + +---------------------------- +LAYER +Nb. Name Used + 1 Top 1 + 2 GND 1 + 3 Route3 0 + 4 Route4 0 + 5 Route5 0 + 6 Route6 0 + 7 Route7 0 + 8 Route8 0 + 9 Route9 0 + 10 Route10 0 + 11 Route11 0 + 12 Route12 0 + 13 Route13 0 + 14 Route14 0 + 15 VDD 1 + 16 Bottom 1 + 17 Pads 1 + 18 Vias 1 + 19 Unrouted 1 + 20 Dimension 1 + 21 tPlace 1 + 22 bPlace 1 + 23 tOrigins 1 + 24 bOrigins 1 + 25 tNames 1 + 26 bNames 1 + 27 tValues 1 + 28 bValues 0 + 29 tStop 1 + 30 bStop 1 + 31 tCream 1 + 32 bCream 1 + 33 tFinish 0 + 34 bFinish 0 + 35 tGlue 1 + 36 bGlue 0 + 37 tTest 0 + 38 bTest 0 + 39 tKeepout 1 + 40 bKeepout 1 + 41 tRestrict 0 + 42 bRestrict 0 + 43 vRestrict 0 + 44 Drills 1 + 45 Holes 1 + 46 Milling 0 + 47 Measures 0 + 48 Document 1 + 49 Reference 0 + 50 dxf 0 + 51 tDocu 1 + 52 bDocu 1 + 56 wert 0 +100 Mechanical 1 +101 Gehäuse 0 +102 Mittellin 0 +103 fp3 0 +104 Name 0 +105 Beschreib 0 +106 BGA-Top 0 +107 BD-Top 0 +108 fp8 0 +109 fp9 0 +110 fp0 0 +116 Patch_BOT 0 +121 tTestdril 0 +122 bTestdril 0 +123 tTestmark 0 +124 bTestmark 0 +131 tAdjust 0 +132 bAdjust 0 +144 DrillLegend 1 +151 HeatSink 0 +199 Contour 0 +200 200bmp 0 +201 Construction 1 +202 TDIM 1 +203 BDIM 1 +204 BRD-DIM 1 +205 205bmp 0 +206 206bmp 0 +207 207bmp 0 +208 208bmp 0 +209 209bmp 0 +210 210bmp 0 +250 Descript 0 +251 SMDround 0 +254 OrgLBR 0 + +---------------------------- +CLASS +# Name min. Width Clearance min. Drill Used +0 default 0.2000 0.2000 0.4000 118 + +---------------------------- +WIDTH +WIRE Q. +0.2000 1198 +0.4000 138 +0.6000 22 +0.5000 25 +0.7000 2 +1.2700 33 +0.2500 19 +0.3000 11 +1.0000 2 + +ARC Q. + * Wire width are saved in 0.2 micron resolution. + +POLY. width Q. +0.2000 23 +0.2500 1 + +POLY. Isol. Q. +0.3000 24 + +Polygon +Type Name Layer Rank Width +Package LED-LTST.PAC 21 0 0.0000 +Package LED-LTST.PAC 21 0 0.0000 +Signal +5V 1 1 0.200 +Signal +5V 1 1 0.200 +Signal +5V 16 1 0.200 +Signal +VIN 1 1 0.200 +Signal GND 2 1 0.200 +Signal GND 1 1 0.200 +Signal GND 1 1 0.200 +Signal GND 1 1 0.200 +Signal GND 1 1 0.200 +Signal GND 1 1 0.200 +Signal GND 1 1 0.250 +Signal GND 1 1 0.200 +Signal GND 16 5 0.200 +Signal GND 2 1 0.200 +Signal GND 1 1 0.200 +Signal N$77 1 1 0.200 +Signal RF 16 1 0.200 +Signal RF 1 1 0.200 +Signal RF 2 1 0.200 +Signal SW 1 1 0.200 +Signal SW 1 1 0.200 +Signal SW 16 1 0.200 +Signal VDD 15 1 0.200 +Signal VDD 15 1 0.200 +! *** Do not use WIRE WIDTH 0 for Polygon if generate Gerber-Data *** ! + * Wire width are saved in 0.2 micron resolution. + +---------------------------- +CIRCLE (width) Q. + +CIRCLE diam. Q. + +---------------------------- +TEXT (w) Q. +0.1270 1 + +TEXT (s) Q. +0.8128 1 + +---------------------------- +SMD x SMD y Roundn. Q. +0.9500 0.9000 25% 90 +1.6500 1.1500 25% 6 +2.7000 1.1500 25% 8 +4.2000 3.5000 25% 2 +2.2500 0.5000 25% 5 +3.5000 2.0500 25% 2 +4.0000 2.0500 25% 2 +6.3500 3.3000 25% 2 +4.0600 3.3000 25% 1 +2.5400 0.6400 25% 8 +5.2100 2.5400 25% 2 +2.2000 3.0000 25% 6 +2.8000 3.0000 25% 12 +0.8000 0.6000 25% 4 +1.4700 1.2800 25% 10 +1.0000 0.8000 25% 2 +6.0000 2.0000 25% 2 +1.5000 1.3000 25% 4 +1.5000 1.0000 25% 12 +1.0000 0.9000 25% 84 +4.9000 1.1000 25% 2 +0.7000 1.3000 25% 4 +1.3000 1.2500 25% 12 +2.5000 1.5000 25% 12 +1.2000 0.3000 25% 100 +1.2000 0.6000 25% 10 +0.2500 0.2500 100% 11 +2.2000 0.5000 25% 8 +4.9000 2.9500 25% 1 +1.2000 0.7000 25% 18 +0.6000 2.2000 25% 8 +1.6000 1.4000 25% 4 + +PAD tDiam Q. +1.4224 17 +1.3000 16 +1.8000 1 +1.9304 1 +1.2700 29 +1.7780 6 + +PAD bDiam Q. +1.4224 17 +1.3000 16 +1.8000 1 +1.9304 1 +1.2700 29 +1.7780 6 + +PAD tRestring Q. +0.3112 14 +0.2500 16 +0.3000 1 +0.3652 1 +0.3350 20 +0.2850 9 +0.4112 3 +0.3890 6 + +PAD bRestring Q. +0.3112 14 +0.2500 16 +0.3000 1 +0.3652 1 +0.3350 20 +0.2850 9 +0.4112 3 +0.3890 6 + +PAD iDiam Q. +1.3000 30 +1.8000 2 +1.1000 23 +1.2000 9 +1.5000 6 + +PAD iRestring Q. +0.2500 68 +0.3000 2 + +VIA Outer-Diam Q. +0.8000 175 +1.4200 2 +1.2700 8 +0.9000 2 +1.0000 1 +6.4516 4 + +VIA Outer-Restring Q. +0.2000 178 +0.3100 2 +0.3350 1 +0.2850 7 +1.6258 4 + +VIA Inner-Diam. Q. +0.8000 175 +1.2000 2 +1.0000 2 +1.1000 7 +0.9000 2 +4.2000 4 + +VIA Inner-Restring Q. +0.2000 188 +0.5000 4 + +VIA drill Q. +0.4000 175 +0.8000 2 +0.6000 2 +0.7000 7 +0.5000 2 +3.2000 4 + +VIA Stack Q. +01-16 192 +01-16-PAD 70 + +PAD drill Q. +0.8000 30 +1.2000 2 +0.6000 23 +0.7000 9 +1.0000 6 + +---------------------------- +HOLE drill Q. +0.9000 2 +2.0000 1 +1.7000 12 + +RACK +T01 0.4 +T02 0.5 +T03 0.6 +T04 0.7 +T05 0.8 +T06 0.9 +T07 1.0 +T08 1.2 +T09 1.7 +T10 2.0 +T11 3.2 + +---------------------------- +LIBRARY Q. +AA-ipc-7351-capacitor 40 +_RoweTel 40 +ipc-7351-capacitor 8 +SM1000 7 +_Coherix 32 +drilegend 1 +AA-ipc-7351-resistor 42 +SM1000-2L-70x90 3 +AA-IC 2 + +PACKAGE Q. +CAPC1608X92N 45 +C1206-TANT 1 +CAPC3225X168N 1 +CAPC3215X168N 2 +C1210 3 +CAP-CHEMI-HA0 1 +HDR100-3 2 +MOLEX-MINI-B-SMT 1 +RASM712PX 1 +CONN-RJ45-MOLEX-44144-003 1 +MJ-3523-SMT 6 +HRS-DF11-16DS 1 +SOD323R_INFINEON 2 +DRILEGEND 1 +FE0805 5 +JMPR-2PIN 4 +FCM1608 1 +SRN6045 1 +SMD4 1 +LTST-S2200K 4 +LED-LTST 2 +CMA-4544PF-W 1 +TPAD50 20 +NOPACKAGE 3 +RESC1608X55N 42 +RCL1218 1 +RR0805 2 +TRIMPOT91 3 +POT-3310C 1 +SW-BOURNS-7914S 3 +SW-PWR 1 +SM-LP-5001 2 +LQFP100-14 1 +SOT23-5L 2 +ECOPACK-11 1 +PSO-DDA 1 +SOT23-6 3 +SO08 1 +XTAL-FY0800035 1 + +VALUE PAC Q. Top Bot +1nF,25V CAPC1608X92N 2 2 0 +20pF,16V CAPC1608X92N 3 3 0 +100nF,16V CAPC1608X92N 15 15 0 +1uF,16V CAPC1608X92N 5 5 0 +10nF,16V CAPC1608X92N 5 5 0 +4.7uF,16V,TANT C1206-TANT 1 1 0 +2.2uF,16V CAPC1608X92N 5 5 0 +100nF,25V CAPC1608X92N 4 4 0 +22uF,25V,1210 CAPC3225X168N 1 1 0 +10uF,25V,1206 CAPC3215X168N 2 2 0 +10nF,25V CAPC1608X92N 1 1 0 +8.2nF,25V CAPC1608X92N 1 1 0 +100uF,10V C1210 3 3 0 +220uF,25V CAP-CHEMI-HA0 1 1 0 +100pF,50V CAPC1608X92N 3 3 0 +470nF,25V CAPC1608X92N 1 1 0 +HDR100-3 HDR100-3 2 2 0 +MOLEX-MINI-B-SMT MOLEX-MINI-B-SMT 1 0 1 +RASM712PX RASM712PX 1 1 0 +RJ-45 CONN-RJ45-MOLEX-44144-003 1 1 0 +MJ-3523-SMT MJ-3523-SMT 6 0 6 +DF11-16DS HRS-DF11-16DS 1 1 0 +BAT60J SOD323R_INFINEON 2 2 0 +~/-empty-/~DRILEGEND DRILEGEND 1 1 0 +FB0805 FE0805 5 5 0 +JMP-2PIN JMPR-2PIN 4 4 0 +FCM1608 FCM1608 1 1 0 +4.7uH SRN6045 1 1 0 +LTV-817S SMD4 1 1 0 +LED-RED,RA LTST-S2200K 2 2 0 +LED-GRN,RA LTST-S2200K 1 1 0 +LED-YEL,RA LTST-S2200K 1 1 0 +LED-RED,V LED-LTST 1 1 0 +LED-RED,RA LED-LTST 1 1 0 +CMA-4544PF-W CMA-4544PF-W 1 1 0 +TPAD50 TPAD50 20 8 12 +SM1000A NOPACKAGE 1 1 0 +10K,1% RESC1608X55N 8 8 0 +4.7K,1% RESC1608X55N 5 5 0 +1K,1% RESC1608X55N 2 2 0 +10,5%,1218 RCL1218 1 1 0 +47,1% RESC1608X55N 1 1 0 +100,1% RESC1608X55N 1 1 0 +680,1% RESC1608X55N 4 4 0 +220,1% RESC1608X55N 1 1 0 +0 Ohm RR0805 2 2 0 +22,1% RESC1608X55N 4 4 0 +47K,1% RESC1608X55N 1 1 0 +0 Ohm RESC1608X55N 3 3 0 +2.2K,1% RESC1608X55N 6 6 0 +124.0K,1% RESC1608X55N 1 1 0 +22.1K,1% RESC1608X55N 2 2 0 +500K TRIMPOT91 1 1 0 +10K POT-3310C 1 1 0 +500 TRIMPOT91 1 1 0 +4.7,1% RESC1608X55N 1 1 0 +10,1% RESC1608X55N 1 1 0 +390,1% RESC1608X55N 1 1 0 +1K TRIMPOT91 1 1 0 +SHUNT,0.1IN NOPACKAGE 2 2 0 +SW-BOURNS-7914S SW-BOURNS-7914S 3 1 2 +DPDT SW-PWR 1 1 0 +SM-LP-5001 SM-LP-5001 2 2 0 +STM32F405 LQFP100-14 1 1 0 +LD3985M33R SOT23-5L 1 1 0 +STMPS2141 SOT23-5L 1 1 0 +EMIF02-USB03F2 ECOPACK-11 1 1 0 +TPS54329E PSO-DDA 1 1 0 +LMV341 SOT23-6 3 3 0 +LM386M-1 SO08 1 1 0 +XTAL-FY0800035 XTAL-FY0800035 1 1 0 + +---------------------------- +RECT x RECT y Q. +0 RECT (copper) + +---------------------------- +RECT Layer Q. + +---------------------------- +TEXT (s) Q. +0.8128 1 +1 TEXT size (copper) + +TEXT (w) Q. +0.1270 1 +1 TEXT wire width (copper) + +TEXT Q. +1.4224 2 +0.8128 1 +1.7780 1 +1.9304 1 +1.0000 4 +5 TEXT size (place) +5 TEXT wire width (place) + +CIRCLE diam. Q. +0 CIRCLE (copper) + +False signals: +Signal-Name Vias Coordinate Layer +N$66 1 (4.5000 22.0000) L 1-16 +N$67 1 (60.5000 22.0000) L 1-16 +N$68 1 (12.5000 77.5000) L 1-16 +End report diff --git a/smartmic/SM1000-C/SM1000-C.sch b/smartmic/SM1000-C/SM1000-C.sch new file mode 100644 index 00000000..0513849a --- /dev/null +++ b/smartmic/SM1000-C/SM1000-C.sch @@ -0,0 +1,7419 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +BOARD EDGE +>NAME + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + ++ +- + + +>NAME + + + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + +<b>Diode Package</b> Reflow soldering<p> +INFINEON, www.infineon.com/cmc_upload/0/000/010/257/eh_db_5b.pdf + + + + + + +>NAME + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +BOARD EDGE +>NAME + + + + + + + + + + + + + + + + + +BOARD EDGE +>NAME + + + + + + +<b>SMD 4</b><p> +Source: http://www.vishay.com/docs/83673/sfh618.pdf + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + +BOARD EDGE + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + +>NAME + + + + + + + + + +>NAME + + +<b>Dual In Line Package</b> + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>Small Outline Package 8</b><br> +NS Package M08A + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + + + + + +<b>Molded Mini Small Outline Package</b> 8 - Lead (0.118" Wide)<p> +NS Package Number MUA08A<br> +Source: http://cache.national.com/ds/LM/LM386.pdf + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + + + + + + +>NAME + + + + + +>NAME + + + +<b>RESISTOR</b><p> +chip + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + +>NAME +>VALUE + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +G +G +>NAME +>VALUE + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + +PUSH + + + + + +>NAME + + + + +>VALUE +1 +2 +3 +4 +5 +6 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +Date: +>LAST_DATE_TIME +Sheet: +>SHEET +REV: +TITLE: +Document +>DRAWING_NAME +Number: + + + +Licensed Under the TAPR Open Hardware License(www.tapr.org/OHL) +Copyright 2014 Richard Barnich and David Rowe + + + +ROWETEL + + + + + + + + + +DATE +REVISION +BY +REV +ECN + + + + + + + + +FB +>NAME +>VALUE + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Low Voltage Audio Power Amplifier</b><p> +Source: http://cache.national.com/ds/LM/LM386.pdf + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +.1uF,50V + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + +>NAME + + + + + +>VALUE + + + + + + + + + + + + + + + + +>NAME + + + +>NAME + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +PRINTED CIRCUIT BOARD +>NAME +>VALUE + + + + + + +>NAME +>VALUE + + + + + + +>NAME + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Supply Symbols</b><p> +GND, VCC, 0V, +5V, -5V, etc.<p> +Please keep in mind, that these devices are necessary for the +automatic wiring of the supply signals.<p> +The pin name defined in the symbol is identical to the net which is to be wired automatically.<p> +In this library the device names are the same as the pin names of the symbols, therefore the correct signal names appear next to the supply symbols in the schematic.<p> +<author>Created by librarian@cadsoft.de</author> + + + + + +>VALUE + + + + + + +>VALUE + + + + +>VALUE + + + + +>VALUE + + + + +>VALUE + + + + +>VALUE + + + + + + + + +>VALUE + + + + + +<b>SUPPLY SYMBOL</b> + + + + + + + + + + + + +<b>SUPPLY SYMBOL</b> + + + + + + + + + + + + +SUPPLY SYMBOL + + + + + + + + + + + + +<b>SUPPLY SYMBOL</b> + + + + + + + + + + + + + + + + + + + + + + + + +<b>SUPPLY SYMBOL</b> + + + + + + + + + + + + + + + + + + + + + + + + + + +Generated from <b>SM1000.sch</b><p> +by exp-lbrs.ulp + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + +BOARD EDGE + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +Generated from <b>SM1000.sch</b><p> +by exp-lbrs.ulp + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + + + +<b>IPC-7351 compliant SMT capacitors</b><br> +<br> +Symbols copied from CadSoft rcl.lbr<br> +Packages generated using genpkg_chp.ulp, genpkg_cae.ulp and genpkg_mld.ulp<br> +Devices are Vishay chip types, generic AEC types and Kemet T491 series molded body types.<br> +<br> +Weartronics 2006<br> +http://www.weartronics.com/ + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>IPC-7351 compliant SMT resistors</b><br> +<br> +Symbols copied from CadSoft rcl.lbr.<br> +Packages generated using genpkg_chp.ulp.<br> +Devices are Panasonic ERJ series types.<br> +<br> +Weartronics 2006<br> +http://www.weartronics.com/ + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>IPC-7351 compliant SMT capacitors</b><br> +<br> +Symbols copied from CadSoft rcl.lbr<br> +Packages generated using genpkg_chp.ulp, genpkg_cae.ulp and genpkg_mld.ulp<br> +Devices are Vishay chip types, generic AEC types and Kemet T491 series molded body types.<br> +<br> +Weartronics 2006<br> +http://www.weartronics.com/ + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +PULLUPS & PULLDOWNS +LED's +STLINK +OSCILLATOR CRYSTAL +5.0V -> 3.3V PS +OTG USB INTERFACE +STM32F405 CPU +STM32F407 PWR CIRCUIT +SmartMic Circuit Board +B +04/21/14 +Added to SourceForge Repository +RGB +04/25/14 +Pre-Release to Prototype +A +RGB +05/28/14 +Release for Review +B +RGB +Push To Talk (PTT) +PTT FUNCTION +NOTE: SW1 HELD ON POWER UP + FORCES BOOT TO SYSTEM + MEMORY (STLINK) +SELF TEST +RESET +SELECT +BACK + + +Power +PTT +Sync +Clip/Error + + +UART +3.3V TTL Levels +Note: All resistor packages are 0603 unless otherwise noted. +Note: All non-polarized capacitors packages are 0603 unless otherwise noted. +8.000MHz +06/13/14 +Release for Prototype +B +RGB + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +SPKR +MIC + + + + + + +RIG +RIG +SPEAKER OUT +PTT + + + + +RIG +EXT MIC INPUT +EXTERNAL POWER +12 - 15VDC -> 5.0VDC + + + +INTERNAL / EXTERNAL +MIC PREAMP + + + +SPEAKER AMP + +RIG INTERFACE +Smart Mic Circuit Board +B +NORMAL - 1-2 +OPT MIC - 1 ONLY, +OPT MIC - 2-3 +04/25/14 +Pre-Release to Prototype +A +RGB +04/21/14 +Added to SourceForge Repository +RGB +05/28/14 +Release for Review +B +RGB +EXT. PTT INPUT +INTERNAL SPEAKER +06/13/14 +Release for Prototype +B +RGB + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +Since Version 6.2.2 text objects can contain more than one line, +which will not be processed correctly with this version. + + + diff --git a/smartmic/SM1000-C/TDIM-SM1000-C.pdf b/smartmic/SM1000-C/TDIM-SM1000-C.pdf new file mode 100644 index 0000000000000000000000000000000000000000..26ce1ad464154d5d524333d8575b9581120334ab GIT binary patch literal 127435 zcmb@tWmr`08ZZi?G!h~m10w=TcMjc13OF>v07J(B(o%v-DlOeex3o!jN{I>#3`h@M zXASQ6-TOP=`FSobX4ZPv{oK#pwSY}WQJI^cM~ILg#0PSH>Od$ZMacUYj&Op3I4-ZS z^sydc@ni8_|8QgJVJTr5VsT^1V+mmKU_Hh1z_Q^ay$G;J82IG_t`&eaH!NS^IULIl%N`2>teJ9PS!0=U0$2Q4;#gw9 z4>xcQA8;ply~7I22B60k%N7d(oT}sDYU5=M`+KbJH3A_3xft*(^cR`JUu1t#@B?dF zz-C9_K&xwXuE61Lz={WOkNB5JD}YoREZ*yN5U`2>7ytpkUI4ooR&1{KdjO;Z zIl0;aTv^${JVAm0fZqRX5cn%*7)R9}k}ZA+NO+h@XcK zP>kH)Z#gx6kgb)I=QWI#rz410%hd(;UwVZ9gWd!<9)W;)xPW*SVcu|Sn4XHf**`>! z{9iO+XvI+M0dqn8hh$y_R~H0u>j@IOW`S1^=IQF?VGZ!a&-Zsl&c($Qc+QWpH$6h$ zM=%?>mAvaSkO}6w2)_VGR9wL9e|W#%_m5#JxH`Fd=(|~2!$252)ltxQ17WBK5MeI= zOZN4>-9HN|9#*~>l)V3n?RvY4z7+@~+G|n&%R7J=1F8&gat);{3KIPn=!y1I2blHs z0|4m1BD`h?L!}AmUx{Hv@DJgdFc&+7JxKg7t;%pGK+GUsWhX#`iZE+e8yE(cCj#&! zXToP0_3au}h3z#=BHI?D);RFpptMtB;%8(ZM?hg9ocudt`1Pa#c_CLpPmUs8tKO&EK;M0xZi<8C6%YELfz3HpV{ie&S&hrD6(tnK$$i?Vs~g=}{`@DRES|Z+S20&N;3sdd_Pek4n1e1i zeAvGHRPA3*YrN^P_>Dr=_!5`$q>0(~ZsVt_tUV@p<>CHz=hZ>C#a9oRtnU_AE5VS? zi@5BQ)nLfgxrNNt^wsA1r>o2S#>wEyq{9>a+FvPGZ-OR;9Z#WiJe}&iD&4|97f|i= z&OOK#`Q=fZ#O$f?Qc7p0#p${5oF)|3^BZphFC2c!T;g3cPawM<6rM|Xc{yy@j0Gj} z+eviViWpuAE0X-4d9up;n;LWD$a(sjl4|T`zD{LR+B!bYWLogS(xZL$BB;^j%VvsU zT#vyuYLWPjApuhnRsCb~A{OTXjynB)6O-}tS_|Zu5NT(FqUYQ8)dBg;U7MME5eb?>P#8Tm0fO zf8HVXt#b3bq>HDIe(un}Gtlr_7<|7n8O%*JZ9BPF%3^OAb2maLDGryd(^7xTVt$kV zkpop~nGoBAW;(_CU-F*Wt84q4+P*0&sBgXK6|vTLC{1eRWHhKV3>PWXPYr#fMo0uF z9F9@7heOm`%d90PDEZjokdhc7=7|*82dVg?9q<75U~y8z7Bau{!(3A>yGXX-cq4M$ zcylVi*b(QQwqu|v3ZHZ^GPpTC?((+_Dcqy(=Xw1}HPdW>tjdYx1DqnTlu))^^B_a} zw#xpf#r)(MjnO`;P9}X=YBESP+t&gA-Eg^2dY{7nFN;bfxHG&=A$^__WaJFVNfTin z$%K7y0?7Ctz;yUwpkPpC&Fug(H5qU^ABPm&_Y=y79!>^)s0JX$0#c`tV8jQBf-jG- zJ;snYv-bPgfzTKIIB-Il&kWxK3{i0y^mA3SmB_l;9b}!|F0#(3J$)Dw8;Zh@8;lHU zeiwI6;ld18ugf_vKZ$3W3XuPY1(9r(=1GP?hH=xu?jU}n?R=OMBoK`d&DNLOcYy>J zh71-nvsD4=0FJP{W)n~cA)pRsKpmw2ssnPZ4rG3P>uGPn(|vJ=`qmQ$gN=m%Eh{<^ zW=^gGPAO65+`c*57V2H@Px8E#;tdX0A(;=(zRut zyd?dh>;QR2UuqH7l+bl>SDz`J^vXk+`QqCG)7Y}@rxW zKCzX|wiktO!FF`deABsiX});Evc}v7kEzwacp0S~s@9n`UtWG;a=Fh5NmmPc$(mU@ z11pkdvZeEt84yzM7!c|Mgk^dEbVcOtKdh?QLxd7YvFZW5A}ONa+Ca(7TWhUt8lAhEJUnf(gBLA3Tco z_6I!s873N%)b!8Rs)@>shAjq;S4w<3$~*T8c#C@;SLUngKO0UO%kdjr#hFS^R||%A z&Yn=kWXId~A5ijpz_L4oW_W^P&{L^ePw}ni`)?|H>f7QyRfA+8JysGYAd6aT3|7UloY1`BW!hhVem3Pgv z`0CG!5&s?$z~C;cRr+g{?u4>*0wI0DkS(YCJoKq*&xFRmFgX;}&RKigc7E4<|0ig0 zs1Nm)S<+CvxI5NhX!=h%(xcG#c-NzwFsReJ{0WnH3MlYL;0+R+q8G+}nk4;lovPyC zM=MrEhxLOnfwWT2&ARt*dxiBS9i(g>l2(iC>0^-;ke$b1(1V6BwCC~3H-@kdDMr49S50_W~mdJT+aJwWO~ zd;&a+@!{#pkD50YPm4Ln5;HmahP}&GN#|+^8CSMTh*M*CK3E@cG^K&x7Fn}7H(DGL zRhVaAUZJ1(HZJABN4$R*(50UlF^)|SaJ{Oq$UosN_(8kN6kM(WQ#Ucfh%*uQLcnqQ z_KNGFhDN8Mg&iUSuC<;DGWy*+Cogy!(Z>CsAk(&rF$hyzV+i)?p2aMYU_}OxAbIe+ zmqE>gUj4glZ#t)$-V zKB`$d5ScbcM=Dq-$S*5cD7_^Vfd@FAJ;r&$;d%-DM*Mdi?%T>QK<_UW88Ho@tGi)E zWuv{kqq3lfF0wh%A`&`J=ou;1Yz{w++c42H0&!O2gpCfrpqB*SV^SF}9iES~pmcwc z1p3SgfiY*l;Onq);Qp>Ot~gEEHyu7PrgI^6#! z*VzM($0KMKqZJJ29r#LupE#uV=9z6B*t6#}V}!5;g~!OpDVYZDb>^g@sd*`i z)X0zzY~=^PYL-a$7tEpm`VR&>hAW$I|8w+9Vk4P?UMUfY%M}O2o`w*a>RWoU<@bQM zj4R`{yw+@?uig2+#&oD7*e+q0(*HWlJ7Ri!dO2TrReun@7<7JDOkTu!D47kvC(-wE z7#0e)$s!0`&7Dtxhj|mv{f2uR%ip13(EbS5c7sDov$2nt!(Pf)6}1T0#<14U4j$z%Mfkt*ttTO{M>4mv0J#?H#>!B!DZqLY?jz~wTfZ`rD#tZn~@ka z%Yw(t3G>OFXYPr6np^fM_oyL3A6p^K=dGLryPs9`3Q;C@XMEDHXSzS|W>H<5<_pW& zYcIWl241}^Z^U-ziT7hkO8B8$OK2N@#QlU)ANU!Oj!MZf2+1(V7)TH)DDvOq|X82T#N z?a6yRdei8c?453myLy>mYH=VMxcqj**7Z->z1(IJhVEl=JzI-BINNw=#!W`(op}9~ z*!p=g@r6n-H(0v7 zHb!+fYUDR+bQ~Q{UJOJV57QZER}ry->$7kgvLx%X=o`$%>&glQclul|gmj zT7`{FoeeW((A|cjj_!n5rdmLZ|QICDmpAaD;Hm;yz zPlJztyjE!+MgnQ!WnTE1PalXV+8I?KRs5_%YDP}LoJkOfj#e@Tt>p_w#tlV7Bs`DT z2G;V&=e$*x$zn%P!?If2+p1j?CE7ya%3{x>X?sedCPpj`CoGX8KNFebYvjY+lOmP9 zV-XXUi6fR7OcG@2hV)}`R@iB|ax#Nh@rF0V^XX(gi0-k=&eFx!N6^)G+(4Dcm2t+0 z(v8gb#JA{%?Gq5$jYMCl-E*%lSSI!qZHgD}6Fbu>TFXp#XmOa=DJ=8$6e`6_ z;z_Z^6x=e?8(JLVH4Dqcor0%$(LE_Pn<}-;^n(_Mdac74R~g_q33@o3910g*DdTq& zYH(;%>Aa``i_>VH$N6Nyu!Yx|u@x1~5EYA?^wtxkZl^d#d{0<*kC1*@oE&8p7BCYt z6eX@Vf(wr%8B?Y&pcPTYah6qyXMo#>C4Rx>3?u3K;SwhJ+c+wks;4Jvf>zZzYMD~? z27QKZ{@l+If&|D7)ZK(y98R2sWf8F@>grFab$9~q!rF!hG0OA<6e2rGp2)7-^ckO7 z1U}2w>_W4kAxl_Dze7uG;(J)XRsQ3upA4Z zZ)ya$BAmn?ms)kf3Mc&lTi^?>kp4};f9$;pRBzKyM2qCitf!3IhY7GYjE&+JDmw_h zxp7a#~l*&E*65?a8^S;#C3T$O2IT^vKb z@O9mec0_x}#Y$!_>H1<9?1d~oW>D+x%IuPc(HU%8E$3{y_x?b1(9g>a-MmS*`YgM! zpPNOwL{JQF)QI9_8h@(4w023&C?IlAO#aUhdH=K7MAAjA+KG&_P^n)glHcl1Or$G> zcYI6}gs~G`J3#{X-NL(xUhRl|CTFtd5D;ON;?cDsm9^mlM@73c{MwCUl?Ds=gFLZW zm$>9?&R0|kDqsUh{C|zhF4PMN%OsdeOYyq@#Lj#w%`y`(!w|12Smv!MXo?rr6Fal1 z8OzK-s9l)XAuN+*Y9_@? zvg+_E#|sg}9yM^rK(Mi9I+{=&JH*QfTxLwf6Hb+;cs+PhY(C{aln_z3n)UQ>Ua%5` zrt`d9yOT!Gud!{-T5FyBL$va7G{eKY^#eGnuP2|o4=&;b4@Y+yM5aAevbk^S_599l zPeU%$AKkH+?4-!>$(ZKq0wP0E!>)s<$-IIxE43B+67<(Mm5gi)1R58q zqrJ5!P zN?C9c$WtTq1(u_;4c~}=cL}H5<|crL>VVR1tbb?X(_R#B;a3%a%k&GE-2UW86iG&k z*yvyp(I$=emMYMH&gHfVf287)yh(RAeW!drJ&@Ya<;6XT9iFy^xVsQLMHk%b>&dOh zA`WK2qmdFXfC>X#2QvqIvj+}l9`^QAuBa`wXOD6pc{^@-2obc7=jfAdF zhO++r8U;&FrNk+8Gh)qx1`JlPVy z8ugnSxg`0v{$rm|1opDg`JQ(W#?wbNnM>p3l=x#)f7YZjGMQ{8HlCr;P=(7a4ft@k zxC-JXEkpN)c&UL&+J1c}2sXO;81Xir)^E-qZyTv+_;Abns z5jOEt+|!ilFx&U;jS}usHxz9SNA1_Bf_4&1=Y~G_KwYzFg^i;srY41;txQcJrZ1* zEJx$}Lp7bcVycY4@a#72W>LH`WxWrH>S5n*QGOMJC`@3x$4>R?BKuaSxZML3vv5Sx z(*?M_=uU*v4J0Y%se6c?a+1~hB(jTj+^WHH--6@pLN*l&sKyP7)f^-(RBzkpfXG!c zz~Q0tLJM%hSm7LGZP@PxCSNBt%JtC`fHx@$-q^y#(3l@%A|6 z#?v9_uw754vCEUWE}%_d?IIW8O(PIUnJV=7YEjAjlETSHCIeg{{{ z^&v@5V`kKoJtvOz2I(mnSiEEq`)@=DWyXp5W8>9>SITnAwT#&3J~x;k ziEDI?-ICJ>XHz%)DBfJYw^*H*$nf0oE&_`^s+e2v%QXJo6aRvy7+)pOD_mUVTq@ID zy8^bYBV=FC?*2mK%WSBJsi%1S&+k4w_weMW=kuh7MhU*X!FJbbPw}D2Z-lk0uTPLM zZ4)y_>coizQ0j0vMQ`cqY2OhTLZ$Qy#DL3_$Ey^^HqmD{PGoG9T|i42u?g~` zv(`?prxztAlbRxa+Hb!z1`7T#ledYHdXpWSVPdP0E zstp10k~gQZp5bm+$S8NT^a2jB6|wzeUv9zvQv#eb&Rt^t&rFvpI<09}ZmGoK2VG45 zW%{b{3$gA%sTiqpWCr+N;qlX(#YfRNawHOg;DCblj6uR1{6k$VAnN5>s)cqd!S26etR|4TKkX!PXcK8dwJ;P&LY2-a0%61Wk zZwcMf!!Es>P>-WVLS}5p7al+yKa<^~tT;uGnDxBJrDPN*A^k=vBf0n$8(Nm6sJsf_ zc|&Z7{|z}@kgO&DF8%_J&^>M0wa`~A0n}XakV>bnH(*7EU>5}UPJI2-lRCt}n+Hx#=}m9-U@%QMFq$t7bH z>Nhj^n_#P&YteLTaLrunyB5v7+@Biuw(*lrXSv3+K(=L!EMb~IwGY1b4x@_ zx_M64&r5b4r)K~D=U&2$F%GcfCuJYSXBQgq)&zFbmq^5)*{-h1rNYkK^Qs#K`?1!xjn$Mf{Ce4|G%U2b|Rmv1nP1~=JpwA|s!x*{hk+*q)y;;aAkvWVY&xXIA4|=L~S8=n~un;t3LnMEBd}eV?wa z%PcBYzSuSs#ve)uU=fcuB!jozANIVHji+4M78ej-;nN>__B&}?9Secu^;wI0VvJF@$)00r;T^V4Tf=U9|! zZ%_BM@UZ45TSYs078Hp_+g#Xd=PGrHDbGgK%dXu_%dBs1NFEoTT@)`adln+rt=bw- z)dORkFm}~0#T`oUTFZDcofcG_7IAWWd`ciRzlSF=t_nPM`EAS~uq9(z)vblSVYXgO zq6^NzC~FHsXmaRzZjq931SL&2I({*(8d~M}(4^l2{_JS4{*ENoBt33~fV|@i9HA6$ z*QIO?d8h)JxF+&0?Vw*0$0|!+i9OKQ%34F#g zs+9;gP$+QBl7cK5UyD6d)-j4&*HWWFNE38>^g244fUaqnZ_K#lMJTDkY=lLe)alg0n;6#yF(T||O zSy7#|;Q5rZ^YrNmV?r7ZEm6YM4LCtU^-!*^qr?rD9@CNohTMZcCBod5VtTrcgxRaA zp%Tv45mWU!II2}4mT_Mz*#+j{58p#*nj0v*VvKJz- z3pQ6&>n@*61~g-rX_cveL>9dT9*r7r6d#YvxT}+Ns#3^ZoZJiTnL&N zEICnemu7$ErD~S84U2#}2pHl?#%E%q+|ywP(WM7er3Wuc56DZs7Vhf1#K|7w+U%t9 z0;&tp8d!UUpUtg58xYx?#wu)5@wigiq2ms>Y1{5kh5SL#bd$)>z)A zUbaioW>r??d(JrL9R-ixsCp((>hP9rFaC@>5><_KbR)-n8^!&NW$ z$SN#-6u038iGvcoj*yHB?yRhKAyMrpuHT!$-jX}cAHfC+bhFP@nqFwuW5-32%-G{F zDLot`n$7tVQ0Y@Imu(f+F@o!-Z`q5_?3~j?bhFeR=hY`vk1YL2v#1KytR=Gg(|~;# z6x=W~f-6NYV3R}g3>Vg;Outj!kF3At{86yMzmX`x)-C~RU#4VVdTZ(NeX`lpsld%o z>~c?sGxgq@>=j>(UUaxf9(M)4Ji`0U;LEEt>G8Fhw|Cri3h#)Go#cl5)@uu7p=Y0= zOc%NQ5wd7H!~MmRuSq{YP*GG&-Ya8X8IXt->)xKqs!j7c&8mMj^UZ~53MWke>oht{ zKRmxkJdb~3E8y9Ik#=qNC!;rB^m5U4ldK97+}%~I!tC`kAH}m+_Hi<8MVBbk=3M3_ zyPaKLY1=y+9mV6-7CC-wFZ`B`AG<2U9j`q1=>ZtgD0p*d=83q`+uZLq6bsL%RW9yt z%P`2L-A*3-+R7Oq0GlnX2^KrNF)VGc-B&p0{c2E*o-OHe_?u6qv|dT3bBmML@CRNq zN1BdHwDR5 zqQT)E>mf8ph527nacGa&R+Dkuw={-!Z~o3WDXjwe1mT!ywe6+Rz4l_x$TImwI-p;K z)MbHmc(#zP2wauKRWHU3?T5?R#iU)@NrhNH(-t580Vnxry?M(nuns%~?XTv4b$&ThYhMatGo7@^QR1p#Rz`wq(}G|pV^8>BBhf( zUwjYT_(@4ir6&D>_r&;i+?`>6ZwiwLZFYgdgF!IVd9=;Yu3gEktzTB#n`9BDGc@bh z;Y~~Y%dU;)zG?ypat|FLb&nZMEAn5lnneL`G%LrinyCvi;!1Dr@@L=Gr_@(jQ!^YVv ziSAL+#`b4tMn605{ZMYtbLIG4MJh(Yke%;zIJRcN_PJxLhsc>64@k=P7lR#NK8cU< z7U1adC~76T^>vW0XRrj>4K?!ywUKkFXa7t-p643(s-ngBjGc&8-3*?c9lxwid?X6g z$lAM^((TCbSh1d6`S`2Jt4QL_-|wcD{k@K8vwAYJz7l*D*KoF*ko|p6O6X^b<)2Et zlM8>gDVtLu5yct6ajs2LmUy-f!i|z_?JsuhL(|Rr(@Sqxa>Ti0V}C zu_|^%SslrcCAe=3Z>7;?%Z=U{4+sx>GEAMpa{0(xYx=g-8;eLIejBzgw!c2jpqQ`r zB(PYHpKw)jnFTc$NXEV;cQ4Y>2d`P(anwC6 zdU1ZX9elBya)qA0I^FNQxahu<@4EjQ^b&0>81bs0o%?k0>LU33I4&y)c?Hp%tlv{B z%c$=YJ}6WHFIOjwpz~acH5Q8vXvO#Pw#m$RSl2}v#risJHxTF~{ua%!+Rvmx)LLsU zH&UGXlUky0Duwh(a~jWC1gTE7 z0k@9^DYIqt2CYrRw1;439}%+{TdfE4`XTnGC+zXUft`zZLryF+Kh;oE4HTyZJ{yJV zoUGqb8_7DI@ZMHv1HSUBJg)u1okiQA)}T_XK0QlowG@uixt_N*Y;ooVM+XH0#xFK%1uTn@6r=aKMJH{?W`AoVZ&C=iS0I5DHj`s?b`H2&Q z_gN{9ez=Tj&NPKoN6}(G#BhHFhkM#2*|+A_xK13X|6l<1o>Vp~boVEN&7(!pz??z4 z6XlJ%f|DkPzP60`nD;1$l)=(omMkI~wgT7%GZ%HaWNhk+&dwsoN&#^m zIs?iJruQYBVyYb+zX)oLePVgXZ_A>7be>}|_ntO`7r%?+$$HhevX~ozHHyXY7jKZ` zg6>mRw_Zc-roKf$mNAikb`Xnjlc(dfpE%;Sssf%TuNicnps_#gDTo|#h>l+*k&Y;8 zk2`BKla))`m;^(&T=%if)bE}WpI)M|TOJhkS5`;`$X27{AEj5Ge4M?AARY0DDA1VL z#0mY2{0RG@=r~*ZP6&4Z#rk2$rdMojvr2QC)&T(dJ2TmTIFb|}V4$Lt>&WLA%VK8~ zqPzCnIj!rKF>`*VNH0;P;zd!J)8M*p^=16#%$Z`**b0g5Jb^(Y$qJsH7Zn3)_fkek+kiJ-QKqIG~@hg|+ecX#Tdi1L=;un^z7jF>o z34g}Rk%>J0d1)?UeK?MHbAxmyt6iJ2rF{>3YnyH16ph`bSnPfFQ8a+)-m^)3=I56T z>tSd9qppxZ+3MZ+hUa=noDm?D?jz=a-E*Wf(vU;$=PLC{v3~()u->|2Pvl!(u*}sR zy`=cSc1=ds_@pcLaxcvW#wvY|pF6V)FkXmHbW=gjny}X0{WJ6b(C7m8D1!&x+AaiG zc`kM98utPBPYpf~$nK`_pjI3gqS>+nP1lk$p;5O|szqHZspJ3yB8FxI+x>6PeiPLW z4EF{1BNp#JuSM(|j5)u%qS~{G_PPNbKf1I3ovxAWG+;P@C*9ij)o+tgcj>&KeR8Np z*37NpxY?Mx%B5K=qvnmc^x(Jh_iBfAv| z!80BtCq~jm+wab9QDS}Umv&*Mxj4k;Y&!nP(L@>@aQ1x1cq`xx2v5=)Ex&P6*{zoj zv8Rvj_$#A#s%A0qrFm=x2azxmU^(S`^3f(67mEBwC9fhKTAo3(HW!GsNBLK(3)0}m z#$>?DyM4Q8)^PM(c0=^pQb>2g#yR@iFzYIbg?`D1>j0CAQ3DP{_UQ8f2Gthg3`^#y z0#bdtiBq-!0kwSx&Q*y|@}v_Cz}lPGXJ{h*(BXQDC2hX6qSZuN9(eXV(Imh!W)6=d zbdqiE3to7vpCa_VotjYq)_&rxr8zv)#qFaI;UeFU$5{tpiK_=G?}&Mr7;O{P`3`Dikk7YZ@zMZF@m z%<@1AEY@-d58(9?Gm`;vRkNCxnL{`rXL$~9PdZu0+$mw(Rie*B+OuUHC%)KnAEUZQ z%s*9D%jcI4L&jXMgK(n9nQU(pDL(HCmBczZ>}0^4g!!WsEj>o6%sV0Dx~<%R0Htt} z`tcq#$?b+1&#mAHFD{b*`m1NIX~E($2$nBlmqf^)t;OFsUWGtt)Q$7{(~nm${~M

y4xffNtSA}t;4koZ8xInaO*A)L)$KDRSoCj0YW+@#mK0=rXM zmlb93=V(1rQF z+2KAsZ4| z&?ktZ%FqLvzY(`M3(I!jcN)Gw!V{G*c}xKp2u0tut_=BkH?%6`XT)C#{D_`k=wrPR zA6$*j)W$oK=HI(pwUgyf9wqB~IC_dx9pU|*ZS<5+#!A8#jpi_|2_KsqoKk$&|Iz^- zH|0=-UlT5*;y?AsX?NE9;3XGOaU?)suU2z)Lr&`ki0zLLrp*}cIbss;Xi`yZ6eT* z#{JzAh+TfM@JJ~37~5U>H^4{OlG#O1vB3X7)ze&YN7$fi$`ueLuHV@JTSsKzG;qrr zkYt^PsafDZybsvU^7WO2_Q0t;**N~ClUkz zL9m!t$X@zM&GnOp5!Z*o#cQmSS??`pX0hS^7OGI0&RR>T=QHhz`(9r#9*;dCe8Eor z5pr;evdllma@c>XjCAW=knj|?+@tkPmWuVE6V{{q{P|*GF)Msgg9bE`JtG3C83<&H z(*)oTiUpYM0R0W*A6roF+u`pkm-1xv$pJ>x{v}z~Q8N0&9#Uq40De390Pr1Xr37Ul z+nB6_Hy7_%zR|f}YGurEsqpe@C#D^l(zUexvDYZu7)_0;&|Y6Cq>vI;JjS-$Z>w|4 z3;kqoqhnD5K(*F5$v&lco1fU(opz_F0jCkg_U9UK+YKB@;*KX#>gel$7&I0_>_!Ux z6Xd8wLicNfBV1n^YPen9vkxFW4Y(p1 zC>WB>>8uCeFla+6109%B@_Ru6sM>CIk6K7cKrJU1Z=K-Nni_}q8|4mU%?xq*rrXAu z&!)e^bAhXLxsOtnAZxIlzWv8EZo=Aqr|vhH^360kEU38;vryT*&RtM*q0xH*1&#-` z-|K*$Vq@MIA;4h$Iot_AdUhS1f4Xj!*&8zR9(m=xJ_~YUm9QZtxX;N|cK@tIVpZK5 z^z9xKbPZcR^%4CIxlsij^r^GoSWX?O&G9poD%@RbZcGU{Yd%?_2pPBYqJB2?g_tlCA1wqxGisK>ChPr4SDSJ5n3ns9FmaOk{c6eGP6=rIT`|o!~ z2KnEZPa=h?Z!Nc0-J~Ue+X0j`EHTj)kgf&c`TMc?^>4MHwss})Yz-!SHnw)@!g_@8 z=Ptk*b7)T_FsYO&>v&p$f_DG}A0liZZPR$Vt2*1r3)fv#qAH~WMb!d)awzr#TcaE` zbYbW@qP6714W;~i=fje44UldBWaocCL=q8TI%D&N{CxVlL9W;n5H!5mf_U%=@Pwey z0$xf**x#&=pK7b9Fpa4J_Y7?RkUzTlub5xB;Shz+(tz*X&69xs2`VoIWl=5a_NXcJ zJ%u8w1f7or-%w6n!M0}C8`%x)o4~ef{As2Dl6Y{2^2-jV{tOsPMOYRkQ2taXr1s9@ zA0?0){;?lI_c|INX!S}TguwC8m1MTGh{y&m$j^_c+pU5SBjGI7WnbZWNBH^LvuO*e zoy4WLZyZzU&TLapMdv5cBOAAlU8{iLg=9p7tTnr-*48`n4_#r!wZLBhUQLMku(X%) zmiDOt=v*VlAyB@F;D#7INDKFOeEzQ!5o(BEL12C>U#gE0$c?Zr3J77uec?PL?B5XP zt0oVCc!3D|dQMI&-AMoHVmfG1t|_R-kQZ)fi~}hJK3}>v4lrtCvapW)`(n;Q@D9OT zPQa+$Ao6`V^fw%oYc-@O-2Hatg8QAcky<>Ov06M}zOKJX3ihebU4X4OF0&TTIk6T` zD!%p~({U5_bCj@C4RA99IDzYb$9=1Bg^uEXjf8*TwrV_YDo{fP>dItqQ7mu{Qc$3g zg$+j{o6Qs8_1dz@PQoF=Hx1WuyuJr?piVZZ6k?Rfw)+H%`Iq_>r46xNLUbsHL|;jo zPPbZQ!3EsBuVEi(BiHg^lCEYM%cj>lRx|F+IR~)x)z0QA415j2X;J$KqeYVkqy6e}Xd#PO3*A1@$D#p}0*)4Q*53+cMJ^WD%rG(~K z&k4T~-TA$Mr;PL(0a=~Pqf@rC!j}MfmIiX7;(P(5;{KcbNS>7;H>KnMs;wSs@8|(x zy~u_{&}eox^G^R<&MStJOQ`Yj&E!(P{St@n?^}^{wxmV`NG;@lzWNb5FeuFQtRa$2 zD!xy2#6u&VFZB!wKq0^|0X_qbT)ziVu<)=#>W%!|jV;c}26sZ`QV#(5OVt7p8rN$P zNMPWyd|72lL`F{~7hs+CEd}XseDeIBKedCeSBYNKyK?yDVQbBDfmvC>dO~5TiiB#d zAMYcb{vRK;6r4QP^R+YN(ID4YN{7m=hDriduiedW19FoG`=$w6^+6(*L)3mxg}We=5C4?8VuTGcL@Wj85(a=O ztvGX3gErq$RON7Hg6esa#s$+{LU3}cR7wA4AIi*(A2lBe&+UA=GLDmm|?6`#{hXikL<*%Q=&l)&y zR!XsRAdQfcEQWM6g#O#d?W>zUiWPjwPdlf8J9FVcK9;|g4HY!KdZz>=SqlL6YEVGD zmOkW5b^!v z?V$ZvJ0Ym9{~<=UWZrBwcz*0Rk`_)QGd=)_>#-a07h|9W;HH12lTm6t@@c9fpq;4x zqup*ko26!bH@$2)wz2^df1rde7OoKzvr!0Q6^aTb_OtkKbY%n0GdJx1A^>T-NLMP! z=Df7v|He8n2+$hmAl3(vGLj@+p#k}@oPqw&229VyKE-78$oU=qmB~ns9V?Y0R4Y20LkOGpX+)MtC*heP-Ul3yV zE?-guetFqAQtjPnlT*AG%NAV*Oq|Ma#Xx{ON8ARU(-B*Yx}CuZ`S(r)z<5m9p&%ci zVU*y0^dP0@+_}(S-Xn@~^Rf92Hy{|$2;iH1ZxbK5qEIFegc6j!qprCCSi2jH8$IP( z3&~kGwT-Wa?4?4dZ?^%n&TgMNRrDwhVE&#th))41Rf1GFFFo9-)Zy+>iNoDFR)RzV zhe}_7^w6s~h}&pGuC;;K@1}A>cAL0vj)u3~pzLB{fMfsDZM)iC!{wYC>~}Mp2%uc| z=0RGBq{a85qoP z!qv?fl^PSshCgNn{~gSBx7l4(5YVu${(Mt?!-^sxmL*r*bLOe+0^I0``LtblcM|n8Q1P zs1U3KD2OKOA2t*ib)FrXZ_IgMb$Y6bItADeG%W#&etUVCpp1)`s{Pt#%JFqtrK^gD zF63#_ATpe14o3E(jhVgnt+IywVr1IH`3W*SONNQv**nY`_gL&MlV=u;tX(}S4R<*f zv>utpArLaySTDvQ!NeZHmO~@2PlHX8Hi8WQS)r^E1Xw;NWsTdm(a3NxtFp%ZUgloL z-z+qp^}we9{0td>igtm!Dr*3)E1?B`3H#M|`-!=FN{s!zQJTzOux?6pbc3kbl+@Y8 z`}+Ls-EfV)LnNI`b*j^DvpvcD-%#413&qT)XmvY26ee7#yI!&gYuvn-dDNEDtvLi8 zz2}x`c5IxfK!xYa&zbEn?-4-qpRRsN#(x8U zJEco`hrFZ*`wn?dp)~HT)CLqEaYI}`nZhqhsLdzpe|%}fmoEzoSWsK)j+CM( zVmIG6L5p~!W%j=ZZ>aQDLPV?jF-Gs$vSh(|qwU}@V0aRlzIiqv_@e^b1O3RDSjL`^ z5JleLy(63SMP~efZHJ>fmSC#D57xw2Klg*d(g7`iqkU{Mm0GFt0w$0|)cf4!X+Bh0 z+95)cuNQ^(N>9kEZhM;KEX|>=DRTOE-u8~B#64D`V+S76>2K(g;_OSQM8-F$Ag%7VaF_4*oBbb#{xwmQ$I z8(mF_&*4f{Qto$i^!D+2^TG z-?rQ|WS6~qa0NKyTujQjMeFIOL24G}gqJ4#xWG<7Nrck^)=C8)LSp&is zMEy0830%D2!M`)F+nwKd1ND1~NvYE#Anc2s^Ej48;R_=k)KAC88aXygfhB>pguUYQ zDR`+|Se7|*y}(?CnfhN{#9cPhI8}YF+{^o#us36iuebL=r-d{3DtRq#Yf^F5a)^yQ z2K{EQ{RYr!>1XZ)##h3}DSB-SaV+oe98z0m%Wy6plBzOn6&vyOcJwWNljIYTqdj)4sz88-Bt^`5XTcvt@}Ght9zHzL^>%d7xyZkzFX;Zp;aTqSEidsows**zQIjWl$EE+L@#EVYUz|L(|MZ9sNL z(lCa2fqt*}83UkUHyBEc_e0~^W4Kx|1>mZfYJe)$gC#`a7NC{@Yqx!~=m-idI*PYx z2EUB|fd8+b{Ch0rjhQa3(`6k0tY858tkA$~Io)uhd}EGh^48N|P3N}4 z1(V4GeoJb?!gE#_5(#=_P2rs@Ni$s%>)_aB@z zrFOkNt4yNBS>ULNvBwE1+Vb${Dy8Krs+?;XUgj_?7aJ%8Om(hs_?g<(e;X zUCfLKPVPkit7UD`fYd`9UF3u-7`NDXOYafE+2-coiuQZd(<|kGw3jLh_gigpR72wP z^)`WCrT?GWDzG9LsI3Bz+p7{T?D9XWk2A2nLz^UJr(?8bU&C4Ub_{e;*?`sqoa-N! zNF>}~C0k~g`bDtx#&j1Z55UX*1$Cp5d?2U)s%@rOnF@O}{(*c5_<1l}8D;DZzj|Uv z_BWaU3^ewguy!$QW;yc>(!8=KCr}FQUxq4Wl9-%{>1b5{FgSI8e2=DQ|GMb*;Nk=GZ8qN3)KIgttZ*S5 zpdfw&b#4|lomOD9uNXVZ-sr@bW>|isPn(UJ7qGY*MF=OIX$bsY75Qfl0@hvt%eMwM z5qMH$@L>-W_-{QDsD8UPyB6(H0APRuH|=vWFHLPSZv=wRc5?MYzd);NiI|D_$d`Mh z!pqpbo4)JAf>Cn#tuFXxye}qnDNPzviLUWYl%mgl$jYcvuf3O6R&NXT^$p?mPOj)z zWs)US4V1deu!Et7z|#6e^W;EVgX$i z8;^2dbOEt`R&pkR0G*+nStvEuC>Brv)0YZf@fnyN>~oL>x#>;)i_06AJjoL3`k!u8F@wD0g|=tADW7 zk6tCR8Gk>N?l^#aXR`d38qrGeKN|i1R6AbjEPDee>)3Os9bO1s3 z&)LA25zr){5cC=jzHxMHb2pCji?bUZ+v2^w97^;!S+ZlP|9OzgZ0lKug<(Zmt6V0i znRc#j*M(}zK1Nt6!|D4AkYKl#BYNVqeYKb%t!9{!YW~2_c}l40>AQ=+xAwB=hTnnH z@OSGro1D@`N4Pk_=9iDWxu$RG`_?G_flkYBM?m}aHaU=OM|cL|#s5$iMn?N0NnU_o z<%JVwuRyF_r(zB-cVw=oulHmcE>Hdam*}rA4(6^87OyYc=(ew~WD+|+=xi0nNXN*x zjr*@H|9osF2})cQqU0nU;U@T%`RbR4hivyJt|!D*el zdq1Adb1EBNFIyjjMr!gRb`MI{>uTFR58pLjc=QNabw(>@Q0BrUPs&)$Xj^;a!P=|G zoI9eX^lRP&>=8Z)`kJd4c})bC%+9>!6iz%v5bk z*>OHmE@t%7o!uHp7Tz7Jmbq?G%Zn1T9NE#!_`d&y+Ztvf-6n>^F>;#YQ$f z0k7kk*eEN#Jm&qsyfl=`UhWt##x2qcaJDhB>ClVA6VQ6~Mq zNP}7`>yQPTGi#51-PG@Bvh(!|n=M)yb^NxuHz|C=A1_HlluaEY zh(-PsUc-5&*w)c^N9;T$78mL(hTQS_QZAIji@z=5QS9%xeU{8j-YS83Lic%CZ|AVT ztw>!w$;+ht_gtH)-}g5EHYycX8`OCf5zj{twyW{ky?XVA+|G>4ejzLasaP5RgSGTt zsf)FUjJcX$X9bC`!c;>}`Cx_gW5*epsYkBW?B|8A`!$Ooz{!Rai$~AIF|v(Nf97r` z;hou0TT-KoB$3hp2Q3AMsRr#r!Q1BY&MXfUwP8vy(&e)QaqFW<|0Y^DUWAOTX4_HI z_c<9lw@G67^2aOpaN^0bg8qgjsnRP#-Wk8$%$O)-X1}Sb1A=v^t?F?%MQw)FYBx$c zgsR-KMHA}!;XOmJyC(JmDdT+spK2>pZ=Tpt2e3IReMPfx>Oe=Nz*oifJU`_)m;|NL zikn{(@QBAS`1Bb9#bR1yh}B)h@z=ldG(Oz7l2owQZT8NJ}*Ig${c627rGU$6S*(`bWWADy?-ZTcJ`fqtc; z+Ri6&`v<6=Mq7d4}OI}_`8#BryX9MY5JCQXWG)%aoREA7KcLI|SMGm+fiaB>`h zv`gXP6pM`~O5o`4Z8RUW!mSpPO2@3fi^kV_C6KU<01ThJD7QJd)iAh-`VrpwMM@oJIl_B(|ehjQg#W;daS}ni_>ISCKr5 zB0+M5eSZMn4qF1Am{iUlQ@=&ok`HB9@m4~Fj91|+zDxfs!hkhFN!CKg(@cjWksCC= z__6>?;_9aH%Njr2TLLSUXKJ3w61r1Wp#L=D-ZSsg^@lgDX%@gz6NQ4!WjGQKCO&H! zgXs&)-wm@AFly2g1#Pr39-7>emy5vnnq`&EIO!)jPjU*Hg($40V3CcHf$7*=C6OjNFBXB5 zDD-n)dTEUzvH8*(OQI=joO)uCpe6r!3>HXhn=3QCh{WAm$G27$z+8Ozy{F4c3P=7* zje(|I2d0Nv7|uIOvg9=z_MHlx9=1zS$&|}jsm~yRC4Vp;GW-QQRv#0J1*1G$G9Z~m z!{SI8SSP$P_%lhjz~$87icWKDS^WmsU2u{Zx8Liq!~0=%;75t1VMq5Kv941rd1d1$ zRx*o6=oKEzZe4&8AgpjFaDWq1vWt;lI_nIRCiDw#9>SbP;3ZAm^|TZ2v4D>l@lIYG%Mv}o^yLt!N+XvIT%OsiXI7AJcc}e)$uyja_jJb4^qI8p) zw62kgvb>_zts~0)kDNZzLoO;oD(>e$Dxx&aZwrtyHDOos(;M(tTKBWpA=ytNkZA#r z`U?=q?&+^dXX7g(#Uu6hhI131&)zXfG0F>eg*+)En_@fGRXj&NVrCG+)|O!M!|7o5 zP#MFwppXsm9B{y$dH8kceKk3FIxKAbeLo)Z$t3LK9VTE`YNnaFVDWEues!x<0bBUU z-Wix4DH9uZCGjLHvE3^J!+qnNAsiIOIu1MRi)rY&5n&Ko zlIKq1l(~0-Y0kh0-uqQD8l?#RYHvXam3l>+3A+w$}GH+JOWy??$3KL5}$7f zSkT}a&&#$kx4Arct7G`aIp}??7)sw6;p9cLKIvl(pQn-Ln^VJMp$}6HV3Dm>8DEVI zJ6tBsB;Ut+}nyD;`Te79ILuEdyqH_^3p`mR(mhU)g>S2 zEtC53Cxqi-e<{({#|t^*lW_R`>;P(cLVEyZT=(5gxp4G&{dDbTkGZK|Ev-D0(~F`Z z@ngO4?$+ZpV%U}Pc^U>KYJA;AF6d*`Y6N&Tz-ERpJQhZr?G(pohao?bfIkm^09FsE zjzEU_!nZU;EKX!C*qfMI6s22UO1G#;S8HP+jetvTjX+wn^jibNO3A$|%-1HKk%4=k zvgwvU6p5k2K+2FkY{))k2&C*vM9FleEX>s0-JU_gboqMn;I>8YbFEAq-~#8kBy;U? z1xgbgI&=++_*=`L+e~6Y_mUpd2Q1NsRa-F>*j@7<3-&le>z9(z7)VZU?(1lc)%ae5me$HebeR#GeK^?}wA27CF zx4oP^T5p3V`m~cdHIxt8ibdZ=+$8i_cPUB*-Q{ zZa$AfR#3tAo@Lc`0l67S%T6WU_Ro(dx@{GsO<&P(*}t?tF&{PWDC!O}4VYewkr7e6 zTjFiAdEMRg_}bD<`dg6~q`Txa$Y9T@KVlrLvjJmkmptyO`F;KN7qubMujKcyyHyLz zTTwYtCKqci%GF=(XsQ;53CmoteHAiYs%KqfhfpJ%1~2ojQuydA2Db~?72gYc(>NS* z%pY-dPo0LCn7#6u_Bq6Eq4y&!X+JS1Xytj1(RLnl>Sw4>{vsrUHFx}h{?w-ASXVaD zRjvt>p<~gj;e~OVTy?4Q7?N%AQH!m6S;m?NZQJ)MYKjG?-g%c))Z%Y@OHUn;Sx%#QG1L|?0V%8SQ9m7L6j{`k+$B;W7X~4 zNm=tqkmmdc$s|8ok906PkyOw&@8_emH0Fxcw+ssyb36-S>0CceG0@d%w9p1dc?>Lk zC?#wJi}D^xD+3rdgOR=Y3V(g57$0{)iyF8x(sm4h2;pp|!)u{qZO$L)eRsGEr=peB z_Z;XJ#aO2>0}OLv_<8fKLf}6ghsa<_SkNn3P$mJgf7VXw?|U1EXj)`RnEg&buNo!s z6mkPBM`U9-2aBc~)_%vV;$;)i8yhvJV5vg?++BglrmzbZKsVg#trA^cf7{fl@Mj%W z`j{)iJX0eXC0_XjYf|DRb5J!&;bV8vE)1_A9Iq@KuV5T6dS;lhBMW1k3PY`3L|)D! zyBBZR+Jr_1HAbKgh$J zqoau*6Dk<%&Ok}rD)TdlA#*Y0X+DwUx@Z&F_VBcgCB#oI)f!+Tn?hyeFK@Ih2sB= zXf|{NZ-ymstRtVJT5}P8uT(F>;*JAYw}l>VKcL(eQ|sMy3_v(BKLFH;L)h+kvoyOR z>Mn~x8xLtr_)!Bb7=tB7_Izc>*Utn;;MV%J^WE(})nD*azXUG{uiCvr^&sN5Wz#OW zsb=&~Jlu2qPr@sA!w1E-?u4lm$&+!7TJipN7)f+{UL@7B{X=4@PJHGYO+T=nWo2|0w<>j?|Nai{L)@L zbFY?c>eAjiOEbv1MrbaUE=c*)_1in=bp?G?nT=3iRARf$p_R;*>1f5kBlXo|oON8*#`Ip?zDE+r*Zm`J`Nh%OE7qcoGI z9{mDrq{q&1q|5l_4+oQuyqGjANB;pLZ4N~?&cOE$ro=y%zLKSgKDW(iIW7n!h>WOAX9bR%@!Rl2mW9 zAZ2u5MlnEmob)9SsaUh$@@aI>u~vClY}b(? z{kxP%N31kuPk;3oFZuEfm3$g!qy$1LdN(}1tH9bP_l%}dWpLh_mMqv}EaySii*KnV zT)kCJKT%g1w0==(-qnH$ye)$YW_;hzUJG78jF}L7%kKV^QDM3JYlA|qgbvd`vefC% z-nuLeodmk=h!h>-^qdTNn=tYqB>|>w1wp2Vw73H7N z_~tS+Dp?z(?p@h9De@8=G69{4?T7npp!_9{Z4VPiY!mMdo*G!|%A=l|4QPfoDIyQv zVwsUL>aG?=%Qo;hRQ3)!@Xv(O@d&C%uZhTmm!^N-Tlvk)i|?;6!h`u^{LRvDGoO!7 zP%|p;QY^x@j|jqBG1rfc=CHSp&T?CH7+}f^5X$}TML+x0ZqKO?liL&m8Y!9QCzmt_ zW0KQrbH`y%b$d_WJN3l+f6(1?ptsD1Hx{LN|FnJ)g}aW$etaFmli^ZYS=r@MHaaHg zIXDUv!pIhTrVxfLo8f4pVkj46#z`fQ5rdWOLy4d5`7Qb|jt1B+Yv>7aDSOwy3tut9 zy4l}`or(cSmLjCF(wOUOLP}U~EV9Q1L&rfEcwmBZYlU)Xd?vFwV`Zn^lsyeDZ6AM7 zboDJ%hn+YI6Nz8G9))jFunTW|Evx3)VyBD<9dF7VcQqV_VVfL4<&-=L@ngj?3#nmR zSd>_>bj-=8WZblIf`coOd&QN|w38`4$Zuv5RiB1^nB(YY;P5OMafAimH*}@BFIZ@v2vl zG(p>iv^AkpgNK!*-jMFQG@NTfv_(#c+;O{*al3iPMjg)}OXWyBaJl$sq$1+h!Jz2;-QF!lv zwO+I6U2i4{w>uJUOcIw067RRZQ*M3tst|Pl$NB!zcKZe(dOj zAH?gN#4orX6fViym+H0_)$KSfXx(PWy<@gWoGEr-0Qzvf7RM}nuYd2m&>)M-)Ujwh zualiB1?u9rT)gWTh&ahMEoa&K+T?MN`=ys}XRP!_l!`QhU{W}jdq|kMgI`E!Uqt5O z%+)Yyqmo>g6T~E=E?pfz)M~jVW=1-L-1UoKYbkjpElIHvre5b5wP>;FJ+DW%05SJQ zQBJ+CN@kqgG3VxEq;!m~5Hcu?MfTQ)ki-qzUU=JsL+($*7UK+D=8Vo|@erlnCbUsc z3~XYji__!X)|ssnXz_YB<#?Z}SjtQqXot7XdS>Y7ED5K#OG##t1-(mgEr5ynhd)z) z=Bj-8J=R|6i-$miMOZmQ{UJ=}jPA1(i-IpfU=e(P4@FTp#251Qpc!<};L$8XwYlpf z)aqGj!;)4%L3SdAargYp?bZ%5fIAQMt$sCT3UVhkq(R2o2eT8$-wz*UpPF0Q6g|W@ zO_-ijHfh=N^Q@Qw{wsFS%SCk(?<*^Jp%-l& z^F#mUb(4G12V#ELjjHEkb?ZJw5ES5!#qqalisVZd2s`u}mL@kpshX&`2{E%&t#A+X z`Y~wApC*~lDz%S@`fPn7=8QRSlRp&>c@?G>^8ADAk`CLi<2S0~FKFkJ$InUM)^hAJ zlE|0pP}izG8Hu!k;os@a`s{Hm` zj^nv6_UcPP^@nt?9FEmo<*x_N0{|F5S!Gk&tV+)@WcpdwX-)aFj@XNg$y#;#x!ec| ztq&P!(UjsZX)Z?|mk-(L4L%dRH9EJsM98usvOVSa8wGn{?hGgyXCuEC4fv`)gR(}I zrX1eWiWw-Ieibwk~PgGuxA7h;} zc9!@fcWf|S35X^;F!$Yea$OcO zsHXN-_I6sxa5FZ;*J#GWi#zf#B7*F>apDXKEydhd;@Wl$ZcGBs41b_n)2+CJH!R6P zU?5orBOe9yMr34Wbwk?^QM5 z(`@~d^6Yd#R7%PVO=WobV%XO6+Z^_w8(CMx+-Z-!DFc_}#tuRC!gfz`Fl&}^K` z3@RYdZ|ewvpx5DqucT%G;nfS zEoIrR?nVs1r(rnceux^Tf*gp&u`{^pe<3!U#t8D3Quri-A2iFRV5`7VAd9*cG}b|o zE#xfgosiI~hQX<&Z8(G%H0FYzeMBQ`g=g_d(J&!lffXYz7jd7DlQ<&T0(YAO)8cln z-xB%InWM;WKbd6X(Cq$Y*QeDQ7hY(4z!VXk-8$WTpJYyoEa=(Y{qZd1J=&1h_GCVFWH za&V0AX^2oADIDw)X+vh`o0 zsU`x%@aJEjbR`|}>cvE*mFK6Kz{-z889 zqcfF0u^7Q7K;eLQ%tHGxbVW3R9NghsPpca=-7Pyshtk+N`~WMn49MEo=zD)`pjE9k+;FO_>FCkl%==wbZv10P&qm}C7d_ME z7CVoTwgsES%r8{tVU6kHrrF$%-}&6(SSjkkMx}7UkUPAcHQmWS9I1r$>-wlrz( z*l-wdxbR|YDt2{UL?5nbvWf|{sj!8fdMR(=Ce}YQfrXT3R_WAT(yaD8IY%1$q#Zh` z!Wwx(PVNw$P7YxZL-e)tgQJSqT({oNzIxBC?N}(za z9id!2wPQ0|TN$cBx>G#n2j{%&5he^|Fjod(;Lz##>7iLwnh~^~xvRFTdB*2pJa{Ib zo9Z)YYMTKsrI}Wgyz|#wva*#ncjF38)noo?D3W;~;OX|!fg7*iQokbu@4C3s?h0uO zt1ICbl4R+X0{#sYjvBEWj(ftX?Jo7_#JE`L%CTSU%klzWRUo{S3w6u~>%`%@td>+Wq(f#hlwcnqC)Rah=e>J&b~NQ5b8x0ae9r+IQvmHEpfTx{a= zwcKFV#&d9n!%ly|Q>y7{MA+$yKkFiUwA!#`H>Q-xH0u%y2lYW{G2(55s+N2|Ts_3| zdXZEmDrGHIm2WQXTe8quO={Zfne#_T!XST=m~V zfG{=zf(v;@?)c49(_*GlB52`Q@!n?K*jHJq$ojR)Z?+!cL5DDjVXT`f`;eVTM6e?6 zwyJv&`OZZqe_qV@x=zW;iec2>13AJ$h?m_p)4=OQxZl&dD zyq}aq!xNkkDz!`d4(KcEGF5qVkBdyKX!C>KL z`ps6i(Tc^*qI6C*eD2Y}shYX1{)6=wwbRKbbt)+a;^Z)_sd>qg@uimIT1Qw0Gd$#q zHqYzr;p;l7?zzcmojI)?{}YBoV>HieH-ILb+h|rzNgwcj_V=C3QH=mK1wTT>ARLb$ zP{lFU#)z>fY#qnP;_p!{ka|6S7AXu2+bP=UIMz8CQkTBANF#ySh^`F$9^x045~bUb zW3`#VHt8NM)BwA}I&YFWd(p)b^yQTkyKziXZ`>IlFINy?u?A2nZ81ltN%Fn zkTpV$lxF6JqqII$Qzd-QBTAT3`RaX~uXWflD@7Hp`jvXr$?gnDLEax@@jp`mu&en> z^1--CvBc$;X((_@^J5fddP~ozj(!~zD$)nyosPjMmb17SYXX&gcJQT}7ucsjT@*O5 zcr8~%*@$4aOs~7^-Ki%xvDA-H$-x6InPmGV@5rUTsA$QQ__c zh$tR++dVRy71;r22Dl!|9?x@Y4`WR>@r7-z1KTdR1%|Q2n6tnNL`y~iOn`!{!ZjXZ z&BAeho87HZEt@5|A1U%k($8#*OX9WV&*?^)-;qA^sK}N#-P=ThH4`mK(djmL?m2mp zCqi4x?77{w5A zKsp2zlqv`)y{I%1484aY2%_}fK|v7dU3%}mh8B7cp#}nkz&pWv@9+7)UvjTua%Se7 zefC~^t(6J0unclP=?nUgli`x1i$~lpDqy?ivB`H3EHsmmDIEmEWHQ(Z2hGB%=mx||I&P4! zNs{|bUXuCs@lr;X^siMilYD7PH)&KP!*u@@bv=3j5<3k5to_TW^$sX#2x>zAquP7< zSYE)K-szj9k{+}Oz8Was>!W?gDICCVCbDk|n#vP}OwgRdAJ_J!GukX-M>rUnRH`%L zZ%%NBkr^VtOr=mWek2+?H?3c-P)nO0Ku8X8NS(jf?htrU_sx`hym2EUf1oWdg@>I& z_*b>8Jny`!d_`8-VBgKk;Hn{(SDyBk@>OG0UzGfh5F0$}Nz*%muvo|gNq&h(>x4o6 z)?zW4NMGY9R7Bs{F?SmS760N@N3bLbNGSgXdzcs1GVI%Yf4N+A$tM`buB_w}tA|Ox zYtUgV*5gQ%<~Sv~R&V#G(rhNb(vSW+elx(-61AuVXF2JE)!b-W94D+;x@9UG>9DSdS@Sr3CyJVDgnSSO@WggoA! ztY~5QZk`%wvrag31^(bT@dv%^l65SE0#wnyQKjJj2hQX1ct+vKETUKTsGy;QnIcCz z1vf(w>mbyol`gX|Yu?69&Y&g>HSBfr)2jSUf2S5+$M%%pWaCs*-c9RCiMYFroryf!?)6DoxceFk;$Ft72rA$`ON1g8b*on znJ{qYcp*(0a;hNv>6k5agwy(hjK zM#=KCM8&)3A$xM@b-(S24co)(;hs!3hRAiF(;)c1#7ztKu(2B%$J%^1Q<&8JR#j-i z^)e4M_dj3jZ++Ng|Jc9dG?<>hU{PKb*p@F=0`KUMg^%)NEF`sb@Uii2j`YX4Nz&|A z)5&h|=?yxXD*r6L%r3$?v~&aF0R=JEsS#hEH>mjfGMjK-)b!aGcDcA#v&HUD*Z8cj z&Dw9sBYZ}Hj{51?bCV!x7;|q#3OZ)>)0Y;KA)tlr!emNJ(aQ4xUV`}v`)5ax*D|aH z5-PRv`AkFh^dA}3KhV1p@;f=3YzB3F5%MHOd;g9FT1xLfB&N*hw1S81&puztkW_deo^sPc=tcaU*{fibiMWkSk zXXWRN^vAQ`?nE3+h5P}~PHW*UEqQRQQI{5vfgSVIQNxMzp0|_1@q;v_rGsH7&{Iq0 z7j5zs%Pg9AK)Db1_C>-7a>DMjwdL-L`K&Gup&Dm<$Gh(v_05j2No9ogjZZl%&hyer zdUf`DiI+?sn|um6IhwHkweUyx>rM$%jrr5PJ?8)RUoSk%kkk2Jr#>}pYPH^MW%bQA^HN|?l! z$QI0vBpo}$tu0)BP8ur$Xjt^-ZZM`^_Zw{lL!D6ri_GZ@$4-$QahSeaQL~Y`Tm8${ zsjp9mgh%UjfI6r7RHpdoWw|&r^Fl(M|LM0zmZxho}x9+ZWTU8E9Z}%ZV$QxQe#oJP70Xf7gEm_ zA{bIam3+o0uIoM-fE~G7h~F8KQ~BQWrPTc5FG13fXk&>r9v9D)a?S}B$)9t_ekNa* zZ&NUl?0=8G(H)S&1dep5FkV{xXCNv9U86zR(S!?$!fHGt7=W2D{RP_GIP!KwVEl=V zC-POXy~ro>{w@$6qIcNYOt@vdoJ5}oO~-GNn-TA?eq-DwofWr;V4~aqy!dd9b`QO| z`3KNfppg3LUfKkcFVFJH6vAIi4&^8$^zX^*KbG5fnOpTT3$B!jCM}vuoi(gjDv3=V zB9;5y4(D5s_K)8dm3Z$-(F?fa*pCNJbYeYbPGF352UaRmEE^GhhJB+bIPl1|XaK-( zG!~D4W?1)J&Pt(v7r}6g{KW!P9hjs0myads#})lTIa?9YePL3eL0YLs zuU8&&;!3QPkAtY4=+o)jgjF`B-$3s0iXW6t z$nPm1!QSKBAr9yS9+17s5BOuH57%n%c#rHP2&rEhYPS54PM|X{$Q^OzsrdxicY!VZ zU;0`J`J0e}1D0au+a1pfoQVtAizVo}YcgF)rOjMk;PRP*8Tr}wB!p$Vt^<^}8+nGc ztR|U>4?H;EM2H!%-X!~!`gOebAw`RA?}%BWtCn`E?w}Js4T=m6SXONe0_w^z+fN3; z?{M@pyphkMW>a3SU_C06iKI5O^MOplDASVMPGDgA4nG^)1HLtjKbE$ZjMEdN3KO(8`C_g@>wNqVQnqXS>ATjD!p#!<&%d1Hkyijbrs#y>4N}A8;n!b4a1} zroc(tDsZEN!iN(BNYN&w=m2sxhdC_RaG2ZMpUVnYU0)`)Pav-y zo;DVu%Xazu$-8T#Omcrh*qa=AZ~l(;4+^<9YAUnw6mP~9C2ZObVm##`;%~C_JinYh zFIZpVld+R9YcKbQ?4{q7zqFf6FG>NB6IX;fZb4J;%sX+0Q5o9n-ILGpd4*1xQjy_z z>qX@;PTv3lLqMwufgvg|_#v>@jc0PbU(Plf`Bd6Zl|>axYWpcna7ioAN5j97%TOR}(A z?`(!YDLSFvm@Iou&kxHJr*Ly{GXC1@Jm`MZFoRGiaFt z;%EL2mvGMLXc((M(Zde9{*wN25L?M9=Y|gEpS>#&yvkAHUkfPZZxpycFK|s!2`|To zvy|BCJwOkpJ`83MeJ60v*!ds;!X`bocSP8IxRsqD%+hTylaVRCS$;EI-Q|(6+aq(A zIiv(*8C8c`O1v{YmE^z|>b;r)!peA+RP2RZ`JDMPsCnC)LE#WPVK0{v0IGcPsIMhJCCM&D`R>c z%tFUJ{-v|un+p8nT$hCd8!I#7W&0M(9O-x6pY_$gqg?3R$&VRwW8xGEPh#Itw&B>t zur%VBKRW!h^8yL_dzUuqpgpW?#>70~hFkJ$>}z4zhvrnQd@3wHZ8%f@${gAJZ`RsbP zXlzdeOUcpE0uGg=csh1%=7oi(z|a}AOEi25CeXO9ly$#72|IYieyButW7V3XqRl9p z%ACSI@lKLr--r5FtFnxodKK-WkuF!1R;^~c7t+oLuOc6u>t{x~chqZH8whB1K%Qcf zDBI#QlFoNg%N5%s^GciIKlham7ZU`Sj}Nf5@|FypROWr^IFAvXy{WtsS+hMXR=32Y zH!N2`i81!*#j4wfn&zr?eN|eueoEiD-0=F9T)F(L=wDenG`H+f*A@B?N~sP?Ul%V0 zxowW!7JWX;=LV%HZ{*NnoP8CUMCDs69Q}q(lDXK(oMOU@IB>H!&cr~65i81CsTuKx zchE4cJe;Li;9Z1H$x z(eg1-btH`*k|x_RB+s#LL~M0T%=|;Ly=AgMSzl#YU$pKjaJXWg{l`4}pJSEfW0mSi z{Ypo-z_E3f3Kd=)sRA_F@P{Mxq$}cmvY{Q4UMpSU1C(~@gM-3*&| zVztkZ=or1MiucJgoc+x4Z?kLmlp|c+eRW<$-0>puiGL{{1|DKY_C(xz zKrdw?|KYv&X&BEF8tz2b)Cu*L!O4{+Zj_GBD}#aVbi$eXNsEH+Tin9!lkwB{s_LIo z^cTB4=Uvu+m;MuWwX`%OHp+7HEK*j~V4swXtE%4qJR2GjV8!!|c8SJ}T1(!Etc#Uz z>+bN<4V(l|FO5@}=C!X5jVHH_`A zn3tU>k*q2&Si|@zk=Zw#imGdc9Qs(MpcQrZV_c@?%EX=fDqD;!y3UG|={h?W4@6ce za@s{V2br2Hw%&@GQxt$Cdy?rkZ$3sZl=jygdWn^}uak^-BC*Ws zdSiUckFKlco)(o(z5;>EI@kIR871qAfV{2EFv#fk;g(uSvOr+Hma~dGPjbk8wg_9< zk=Z%rcfi*mIdo#e#YFPj%gzEY{HB(@Dyh<1-RJX3sDtM%=EwO+o=0or?E-xm5_>Dw zDXfeIk8R)M`#CIR_vZsj+apzZPj32R@7JAMBq_npheaZ-)P88yO8j{BRn_qs?6+ek z4MF2Xep+o7$yPx1!mY(d(dj+qUA9ldyR8B@t_0`}u_#qYMU>pB~7iVg-C+Y*~YDof@0P4zN+{S7p8?DAG}4F^L^|&0_F8L!O!6_ zIJUV_8C?#PGKI-ag_8I?+g|cq#K9c~W+v;b77l6StXwwkK%)DS&QxqHLlCoaQO=v+pG!G7R_v0 z_6rS^v!X<4f;46WJC6G*O>(*3>R+#96XxcXv*9V)ywSHlkrev7vC>3ehkvcsY)VQ% zYN;obkMO~4FxIVK1d-kXZI==}=_2m8IKCNzB2^i4@udQOo8ks~j&!j?+=eN`C`Fvh za8Jyf!mIOJ?53LrA%$1(=CmruaV<0;*-Mm-LMQrIC&r#N(8aT@w){zKY6l8XBv`0u zzse=@tFSb$ZuVIyv)3oyO3Dw^rx*yM_hz^kyuzj5v6p@8(If%( zVdzku(fcF?t~xvOGtycXRy9{EW-=A0FlOTfrKDlp!%*j;B`3Mnkhq~Ng~`H)+|{yz z13lr6t*4LwfO{tw!)3!Y)JuH$D}K}-IBm%x9}^#nD-%+kOMW8dS4Q&GqH6LwzblUzD!AB$Upuqns;!4hfJ(6`3Zp>1`0)w;k`@ZSTiMp#Db-(nYd zM@qFyp_erm+ZajIcB5DzV9p^kj@ew^hLd}NhzgUgmIxDENK)GH8WBT`835;!JWuBx zpPEYhW6oFkBcj%RfNM%5^3=RSdv=y!^OiGdsT|I(4}A!K)Q5XSAuEpu3EAO-8((wP zo@TkWMz7q>S>@6$RvJGD@!2#wnQReF#OWdY%AbZk?@)G23Pi{6^Acg2JD7&;xy3Ne+uvtZN&A>H9c}*f@|Ju^4ZNSl zdub(QIW&i9dN!4=x<$0vh3@r8di<)iyT3TFf6AEl#zom8_)1zt;zi6jF zB!%3RIa&Utm4e#Pj-Pol7N}I_iN|wX*)J>V7C32=-l|lyplBu^Am#f_dGeZ##uzHu zP^gbKYad~)sIdxL)=G(|ZX9F+>b$*`QV!{o4?Zi_v8FUniiYcJl~OxHR6=;tK2~6j z&XD!E)OxS;>Y&4^@$>EabBxjX-s~Bw9{7^>Ij%q}9(H%;Bb?XDoj&I4DdzkvwEk?g zyWpr_Df{qqW%mbX9d6K0Y*>cl`VIry_AJ7Z54Y`}@?q)sswCL|$x~bm*cj(XPVAUX z%JA}b%&EcFc70UJ)$zg#xJ9Kf%W@|03~Ci(%agM+q!;d>;Cwj;$1EN@P7pqC;^ilpq49SkCxeQ>6^wl8)!tSF+*Z}~cl7q#P4 z{HG6aJ)q3#P@!rC%n9=8!kXv{<}}NWLQJOiqicMX_gud{@gPu zpHcA}exzb7n?*B|I5M!d{N4OUqbG7wGoF8rFA=s5fmSAj!Eu&Q?L8}!fo$+?N?efL zC`kREoAX>F=|D`DS$ihS9ckC0+}N$ zww+U8mIjU|+35wvnS6rS@PdWGVS=mvME&KLl27>F4)#CP@}M78h+m5;%G?fs&=VI= zLk9*!je~nZ?}a_yOtYGS!vqq@ra}v;9ni*Yl-8;z()qXf*P;sLyt+U(RL1lqvf<~R z{QXb&u9B7HI^@((vL|-GsM}|@)gM0c%kP|isxEz6RUb@Yl2m;1DNh$40z5JVRGho_ zwew%@Rj9FyqL&Y%Bhjfm7(=y@{Ui62jYev-uQC~Y+jX|J@)3( zFPq-oAOwCkY%|Upb(TPGgH>F2AHrmjcbMIEr2K#(=(-qsg>Y4H_Q=~RyGZ}L6FAMP z_DyUowh|vrdajFv_l}=_!1#1yC5(}z)lXv2+CV15MyR@wd%%%3Z`xs&7-85Y>Pj)z z2*V~=o%w& z$}6Ns!&V9JZ|iK~-^stXSw@GYe6)6{T^6{Itg{9e^5g@(u#@oO<4?(>?Xr?h$=0w- zi;`WV)=z$4L_q$wFiaZ7O1tQ~5&8{wVEtsH9X~(t>(x)%T~Z3~Z(9i06J@Jh44ra9 z+4Gfi=1b4sddfK&=Is5`_iSVHG`iEcAN5aaJM3D)zmvE zni|37tba81iVZ(eFJ{@siXdM?W$%Mg?4pS9!n;70F&>lQb4BB;#aQA6(B8mu@_sJmWgii>(Hoip6-o_b98(6md|Tduyt3_J!qw->x=cb4I2}=m-84|p4<3zrK;qt7!X+5Z zFQKHMy+`Zbnt=nAy)|X>UVcY2d9lfJ*Rj&oJW6GI#^@R;8iO0YC%UgYWoGIuA4K}D zG8~+;R4DUADs;sL0eg|&AmB2;rrWDXhX!Z+`+FmDI+VnQ|f0Q98c zLp6DB750*AS@jkqtZ1m8D;5%l^s_=i=1w5CW+oOMofqOQ=lpX@lb92A^+eViH5t zRa#u!f9D{5)s#?2e$?=~86+$1nkVtgLm<24=|?jj4F^CT z4tlrVlv=)Wca0`gl|{V$aeG7qVJ zAGM|U;t8@z?C#iiC`7t?oe(Z61a2r8 zf-%MpN75+sLxLCLAn^TXF){Om=`^cbQUT|N(JHw6zD})q16O*5Sm8)%- zfqAn7x^mtYq-xt5CjHJk8zI8hfuBsE+yTJW%EFj@d(x8gRz^n(;z>mwQ9RWHv5me)SI z)I8n{>=SgT+t!&zzxB~2jDF8nF)n7`lk6lO*j7Uja#Q=QanWYzz&s%_2{;Y0yJzZ1B@)28ikhhS(hl=z!xCIXF*tJRBnF@HWu3B>IFF>vAiPAhJr0zYy`X!TC9 z&w#Dk^dnU*AHO!m#^)ixgo_C9SHmGGS^Lyz0U*(2*n_*IS*#4+;ujZK^uOy^T!x=P z5bRB+_wD`VL{I8UAd}UpO5Q_wc&}FZ{BJ4$LY9ec{daxaN0<8E^==hmrN!F+jw5#d znRK<8SjiAo=LF2(-}8yFQ(x?!9^&O+UXL_TSr~&hA8#-SOv``N{&qjtMM-c>GKqZV zR(oyzE$hOEk;1xEN_5tPQHHITsKmcTix;IvBJs%WBB^&J{%L&tN|C&}gnvO25wbn<346Ip#0{v&RPqFQWes-kY=ZNwzV(hms@S;tAu#jN&6AS=OnGIqm zB?0i@O^Qn@TpEQAEW0fd${02dZ6V!u3A)lWfQ+kJGoSb%Wv6|O&(k2QW-tXqqtltr zGjA$!Q*kB9?<{L0fLg%V$D&t{C_$(`lPu6v2tpsGY&Y=9s!mUBK2DUW7nLnwZGvcS_L zFUnSe<-QMKlNX?@nIyyKvwsuLL?t#9iS+<$|8&uNNQ-kp7&{#j_^bRR*DtYx(05)J z;&Lg+pZMRTkahZPV`+Qj;QwS_=vlo;+j|C!9;NQ{`29(j- zNezg1kt1Enc*Pq!x#NAkM=ag^Z9FfhD!hvcy&R)Xxuq*lxZ~ui&rT`nSCeDD31sJ~ zbfjs#F_`6rkpNIQ=M2DN+`ltt)iBlsru;L1r%LMll=90nO=$I@wa?$RBKIK4Ge+}3Vc1L2<5RBE!OW6dIF=>BsU6lS=dUuR;CM=Di;_%`fpzA z(C+l>u>0H;_zNfg`70X9_(cNHSuM&&{~~PaCNI`>KyMb77K`xe7>53z-18R&s{B#8 zvYb3SKFATV=0}_*kD*`-Xja{2eljWvP0FxoTFvr`iY_w#Y;DCkB$sqXRGyc=T;3Yl z{0pcRu|Tc3oz)I9pMOs>FkBJURSJLAj%fT{^0HJ_IDUtBGqr(Z)|G_H;oxJgA9rlo zvq6m!{O9mBCM&DG$5EBGtdG8+n-LT|(t+{IO)SS3c-sE22NliWuUpz{!FZ^m-{bUw* z)XrhXpdp5OE?Zto@m*Pyg9^xJR}m|zIrob?y7DZIT7UgbMgtsec%WgN`*6HpIbIt4 zCHhO3+eA^Vi{3ww>;lR>ef~Gg z7<88i8}HO_4_u*D46S%GMnO)>%*sIXKa7zhxlD*k*|AMKhNXO#wcVGX`g|E}$|m(O z>Fk5S(-tA(a`KIejF5f!R#b1faihTR>+8T@sW|P8V!B;zf!1^5jy4?Q=Dh{7weXy6-BU32pcVi4g&9 z`{V0G>V4V3I>HtS+x5+ap-fAj8CK=Ac-U*uFATBKGg*$=Zw1k(~>KEzWQL8ZOSHW}@JDeoM2-}t@E=r*7U z^evr5-?s@ZWis-@I}bw#QH753>vXSvkbWe2S=#Rj-s1%*jn{PHmnWRzI-8hVJ1Y9)<4uAUUjIbLAbI z&+l|@5=JScf`rf>U7)zG=j)3=McGSxGCcE0?DZbO@x4RWH_@wcvQ-sQ9&Z#^jJPX8 z5TI9AlWC|=Jexs1(19~%7OZqx-Q_Z@_bCZhqOeO9n><}AY-E~4Y|-o)ln<#Zhb&Y$PL1fY9Oy+Tfp@2>Ob^6_xQ;)SQ=FXrJi1Rc z&LEns#`BOJj(kP6ppGx2vVO4-K0c|MI8DcJ1{YKqVbUW{xU=hqk2s1Aoq^QnUmUPI8coBcmVw(HCB3>7{I-fJoBNQ^a>hNS^tf6HH4Qp@A^`{Ci) zscM3!q(VhghwAQkXe*HQ{!{QTJ|#8n^(-*~c);Yl6zt+oo!GcmHkiu$^@-@)&K%%f zzObT-XJ*1H833NXC^=cMIax;OpXLDFfKhyXK}~cF^b;%5so|n{aKYp>tEEDaRREO# zZA~s#Exl&f=e7^!TTCu5;f$Jw89Ttn*Qbb+XpiJUX|6 z<;g#69nn!Vdawc;+22W9j|j}40aLuRqpMLNhuxUq5O=aTVLifbb|fzoBc!eb|+6CO^BT>TYF(QomTI0bLyXs$w zFQ^xA29gSgPrkNW(5a3HDPK4Jh~mf=&NG;DwL(oY4{A0=nB(8?)V2_hsYWZqKB5#a zc&yQUqX(e0tPny)BkRe#^^W5Oi_#ycyTW?73cK!E!_ferayq7#9|BGVcCVN^`Bxg%Vg@J&qLIrKn%kV3n zp!At%|1{sJAK+v4^93W3#6rP!Go?8hnqww@lbVA@qNqu!@@VR--{6=K zF=yq5;FHBhts#H}8{h@_jjYK7rL*gjqejudNjYH=3iRs*|4iy$>y+TJep?>^B#i7Y z%BG-4>K{43sE~HyHn`eS@nCCdS4K{7csf_fu3_e!Vb8Pc&hK+SzG};e^daiErg`6K zli}?rS@2^y<+Sam7`u1~ttCJlY?E0eg%%tk1qI`mLWj5P?QU$NzFx5ka^m%535=;R zcs6zt!qVUIE$tgtzbQp)*iO}KLCI0Q%_PGIxPUJ)HeHNIM}0?P*CTz-?jzm>p_yM7 z=bq`^4iE|{NO`Yls;$q)b=0+c*CCLPzmV<6OM21l=idQDd_h7$_`uo+mS=7w&A8X= zN13tq`&z7uKkK`kULL|fZ(j9zUAJS6T(Ku6NtLbKD9G-M)L{uF1E@_qUKz-pYl9~i z)k2*L!J&FpzQ`c%6uk2ih;61C@-60%oIG^8f)Xv@2LhB!pH_cYV zL!4b)H(!uLx|`7CZjmPGxX6KXm<|87{@FM82pJ*&YQ;Wmw92?~vG3M;^YAmXO$M#4 zms>uYEx;ykD>A-V;|H^raAc5EZN4x{OmWbOsH`lf>jR=_uKzt8=>pG(7dzFCS>nSg zq}HH7ZmY3hDWbWjsBR!%z0_bgf(M)Dnp6TUkGNPXtVvFBhX21Zd9t@ml zJ}bVk!icDV<-S&|9}rnbIRKjk1ax|DK^bR>F1tsmFv>0IHA<@QWGFvMVak;2XtdTK zbYiv?>7&*CP|N6XmKZ~`5k5)Fw+L7ARRgz+QB~er+M~O*KDik1o=P45J}zBVo!<-7 z^P2%`?Y!Xp4h=Zexs3axN~K)Z z?MmNId@JmCx~u*r(+v2fkn%BqmVQ?$3onzBx8Z|y%R^xMWcff+eBSVM30P|R=>cFH zaQztKZXA@0CF=^g2JR|`z5gs{c5%g`cyYQ2U@C@Q6Hrs4yzMwKX&T9ektd>K2SDF5 z`T5n-mjNQTcf&kOzTdcHGEE3HrN9B~E?(32;=|;tdbQl!a$WL7Ko9hyN?Xb-*W~O?WzY2pq+iep z5x|Qu3KU4}-L&+KG8}DUDPNR8fD(3GUXH}My1d2^bO0+`qFc@Gq5GvR=&rjC&lKao zCmZgmQI=D!4mYd=^ozuT-NaloVc7W?E@-y6LvyyMDG`y8c$wen9V`IBCb0iJIZCa* z0q84}Yz^;*cI}iKtThkA5k_FF4wGHudt~9StLZPW7Qd~~wBlmj(e*?q_I-ZMPrSwY z-)&~r0S*U;mrNep9oqsRn3uXgKms#*)=M^g(!A z4#+dQtJO6-H%X9D{K9c>pCKLeMTQskjinLx$|RJsEa zAZi;XZ5#vdh)}%%?7s_ybC~4S@4nz)?g$nt2NVzR|9Wi^soHFjbgfdCh^M|qPf>kj z{kKT2Cu)c?@d3*xrG9=%+bgItX1(39hPihvmDPk_;-dLOqjnA#RmTT&ryIXK0ZNYU zETEj+#uEN{uSGqEPD?~Yrrdhrt{<^;*n&Ijs~DpDtY_+C99cLl&>b1GFXn##{`l?i z%8cpATdn|Cx_inEwCP#a{k;8(AJG;#my|=-dhQ2i!c6JO0UkeImxs_Qr8xQ4tzMrD zrTUf?0_vaIsmNA=jOL01ank@YS@?;mkE(mF+>>neER&bN!g4$y$?ia}`g5_ zA!98~SfL}G?mt=!u%$vVnEEpvaM-hesTY%=#cB#BvQ#^*3Wb{zF;dYz(A-jzS-pCqG&us|bJDdq(bLB$TqBy4?7@?UPpZlZs{n z;_emQj5p3B#8l@TK#C%ScoQcpzwrt(JbR*|QDeInb!8;aJ|R<$_4c12qEM@KMd#Ej zz)!Ae^7P%T_(!q?c;UE#ctqN2P+;0QtLaAmNuFXgE=wU^d5-^Lm$%A3D#R7q-O_rO z1N1ELa^f}W>Uz6?4Aofy7FujApWLcvVUO|00+E%&s27_hS7IbE$_nkC)@@y$kGhu) z@tw{ZzS9}zqRb0Gq#4%>udGdzXL?R^hEz(L-o}3L0YtyZ!vll1rWjq10ugv;&^?cK zVB(IX!|%OMPv@5IU&gIz)D&&8Zy{o%qsCW#_0f92T^=|B7mP^I6VRYj7a5ChDM!du|02!B3I~a|HQcdMVPvxAc)cgnP zR%1l5k`rO&^av}7?XnZ>)Wm0A#ZGGU{#T2-8sAutT!4fX?@ME$4y;ur&$T)(oc3|H zyjX&Cl!`uxZc|R;3=k+fSpKA7Q`PXs4_p+-xmaaRt0i3MDluJsHOtHr>)C{KT zsQw&B0|0i| z_r&-apPOqEDY=>UzBvTCe4{S(#lJ*b6Nvdi z!j*L#-^reD^@8m!P2Q89DOfgZ8&7azj^3k_&_s9KLxz(Y~HR8aHIVb`J zry1wNXdBoI9f$=_BNk&Y?T&Gt_e;!my0N#4Ujv;~88vzyn2&FTln?JAb1&Gf3pK~v zGHgC15bospm)4V!L$j;LJxIfOUPdW zq!qROzK>8>$p4?T`pfh;fVMtoT?iF?PT>2Jus0(J(DMloZ;2^9UGYDDxDxA)#C|6a zx`k)-Y|#kNFR+Jn({nTM{f`)ey${Y)9`yfX495Yf$YY`AO~=p0qC)E%lfoNY#PxZ@ zl!p$cj5Q9b&TcT4E))L5qNSpW%u?$c(DAYVlNr!8(!h_10F76=N}q79)K~6H(t)0% zW6m6rf3m4aQh?pm+epSa&!PhbyJar?yD|%B$PI@XaJnSoUA@^ih9@Z%9aJ4}lsQBh zOM#+LqerDZleeTNG?22uXS^*|rvH5>rWcvwm_lR1;Ha|MYSC$Vnr_Fc$k_(ZTlZpH`=R9Q0ZH zv@7j;?2gHL9dF>YtuCC8xlufT_%(}i zkWX!fMH6_0RAbFql>17m&PyI!8}?R8=#lW$L!cCW z?7~iYGWsYJ0raK zdgv_g)=HZiY1?m8**bd-?ZQKELFj*Fj^HzP$8$NAzomwZ>$uJRFwL^&mb^CcXrrC2 z*P9#-lM%e)kafJENL)>_&3fD-kwQXBeEtM?b@pCMv{zTiU%a*$EZy{jR^{iC^wkxnuFNa>oGH=SIeC^D;D$s zjs5S+J%(0FyaBKwIk>;t=&qdCFVD4{8C+FGm*NB^K;p=b6w<}R~@(APDCGhZ_*J0e9xUj;dV;-{7&FtG@MKAW= z{h0QLLH?_cfGiR6eS+-dP=Gc10mc4HjaLYWxzplSk2d_RMJ9dZs?h~CZ15HE52W;D zBfc!g;*$?Rb9yk=If>Tdx!SHMW~{egp#WH<9Z=LKrtsR$|Lgw2Yrg=}kO7MnY&b;% z9)kX=3tla0e;Ouz)%T)i{grwEUhP5{r#So#Jo-Ment1hr@9eYhT3!3bO9=LFvo0_9 za2qk@a;9IVBMRq0_RHSTaHfZEt+A!kP1A}1SiUGFsePa=>!K+#x92I~`YD*=^{1oO ze7qsEftnLIEU?P}HB8d(3X2sd0Jtvw+X+B+xx;K;=`)3g93Z~n5@g1_WDXzS$S0HA z-2b(CR!_z$0q0l5p70o(8n)Vru6F&~uAucEg%;|qY0n0a7l@kRvYd=Rio8peGp*g$ zo(C*9hcEiI2c5U)gQ?ut}(IHHxVGy|b#i)ENeLz;$F0U_GQCi;?3r6ZR}9N$JKGS;U>- zr;*jb!}wt#sCn609q)WH`JHME&{Z>W9iLxzChQqIRG5?)%ik9WGuXRvqir}5X3dnn zxErXcBjwCxOuUiEeC229l?tlrz8}l^k=sE(F6I+BYn?z<6LhH8coAB38L_2OZ6EZ+ z!=&u3c`>!a?)V=ny&h<=Sty3<@6q zT>lx7B>u0H1+qkV=uS^tzr03%HulV%8uSCqK5W$61$k2s+TW7$@c!={gX{qR!h7UB zMJDFiJqSL{8;15~E#ZFg2PqL#FWy=IOC?$ex8r5*9~x}{nr>wn)F#bf3X%Ov{7K~Y zm&EUU57oJDb+9t2h{s46^Y!eHFUT`aNN)Y260n*Ju`j@xH^ovj)9IG@YaYvZ&|#Q{4Vh5Kss1( zNU?=sm(w$<(?rM^@H9!UiZJ__(nM%7-cT4(pt_odw+98w4C#%(5c}s7m#YPD1RCvX z#4}&-(%uV_xh&R7p`hLJ;7R3!0A_r5i-(He%f7FSXioATWjQ|BGc)E+7cCn;Q8Bgb z&dxivDi@*&zvtduKHSwBKd$*tPr#c?hJX&OrULrK%~!aa!rXb=uUNOA3&g-m%H}0I z`laCh5taR2w5CSX>d!COS{pNF>$%t~eaC#0zRd@so5GbQImJpe1TXhg$|tRLOiclN zaq^t16qGSlXy+BNyrGBqS1(hYrNy^{hjTU+ki$fM3V!*6MT5zS&5GP&HvpA>5VnxQ zbZXb=Jg+=S5e4q)qS=>)-mE0_`as7q;0fMLNlTe0ELM)isI0b%5S(qwnC|6s03uQW zxkWvB`P=qlJJ9TWmKZ3r4epOu9c37;=|-SGah^weY)r_d%cc>Z5Lp-|lRZN&s>oTB;>2?>ZR0+RuM5VUGr3}FG{ zLO7HmtjJ|J#LktM6VCou=VAxXP0O!~G^pYj_8}WRqHnk4x-wF4$G|>r5%LvuYsX`X z^!tea^1*<7i1*{H?+252)TpA&NP9;@qZFjfxsF#LH}|W^IJHQ$c~<=Nk{K^_t<)U? z*Jg{41Y*b1HRrNYi@_Nu(r&_vI^uZsg=lR$)W=jxsd9x7VDj200g59FLT@bsWXze+ zbsXDY>q)g**OGxnTSOKjP2;a3h_`h8MO5G_Ig<;o&lb8cb@^!0W6o{Y2N2czmIwg_ zL%*6l?XOG80|WSANHAZfx5}~7)9Y_9%plW5>N*o1(#MAtGfZ9oj}Z;9t^j7YWx^8! zX4+Zw2>574#ypuk!_yCNQLIwTxjTb9X3>CZ8{K^@{ci(J4SC}fSvPZZsqT0rbl>OS zIRQL$b?a19zyCe-!b3EBIguLhWM$aK<0K-<(f7dbc_9N42L$#gnGI;z6u*_QkO=VS9|oa=hwIFx-&y z>-dW%PA-VB5`5cfT;vU4IHD1{VFIMrVA}@#z`&6j_4|%AY092RDkVsp&vYnpwH3`v zz;nPu4dMr)`~w6qYMsD@!O~KTSW}2i?NP(=0-^aHeg%O!(B5)MCVx5R4BTBkAJAA& zZ4s_d1$kzAt|DRH#l=|fJwC8Jux?ITvoLyir4`^;L|K4;F~QPkw_#~hrv|@(8O=Iy zebXrrLc6XG*s^P^JSC|5xkrJf7B5!a1-}HCn!P?yLS6vnp1n2h8eZCJgx`X^3~`3g z$6nLsG5-G;d+WHUw(wtAMMMr#iXajrpdeBbN;eWxg3{d$($azmC@r0XQYszNDc#*A zF*FQ4z%Y2%42Sc3?|t9<`MiIeb9B#Md(K{auV+2aH=db={fos29kJ+ZMJS*uTp)kO zGllx~Jkx5AMP!Bgbv@JILhmmm)EKFq-U;dV87qXZ04w(tnG&RZk=GbJwLMG3`2Vxa zoJ5zI)(YRj+Bh^yggQ_4y@s^!^R+*P<1xGak0xc`_j{Dkm6R`pK+lnI=jTisP(z*N zPMm)~6-m8Nl%e4!Kz}nE>U~3NOYi)9w&F>{;~_5*zW~7Jrca!CJ;m`{|94p@Y-6E* z0v!SLx$w4`*X~tx!c#?gH7mUS4je;mNnxW+Wz4I&1%U1e(lkfmtnq;Cp70(VWx3{(^>HXz*8iKv z&Q(yi4*No52d?fYd?OZh>p~2N(fffn*%Bix*ylWOEa?qz>dbn)KP*WXuKP0i*g*4ep@wbXUMYk<-D{VVw@Lzn*zDavkjTzq?8 zU#L@aoN_D1o-M5>3HV)m1ZP|nx@WwvNkQrED(V@#)REPR8?u?W_SltJyV^=NzVmvw7{0?U|73to-C zKP>cmQj2*!jb{&BiQ!!$*ov0Aivu5f8Sn0Kj-4P-*si|wkyp`Qm-;=uQCxGiWaUQx zGz73mn7jbG%ua4LEgzJQjIewR&5G4$gJJ=Az#wC+^zT>$7zcq& zeo08Z3R*`CZKWylq!X5uVvalpKP32Ry^ZJL?$8_Zy+8Du>;PLJmIbEzVh8fThhw-C zsaTJ|4kek{Tx+BY$rNNzf;2VZzYJ;?dN@nHPkx9rGDk^k@VYR++eSqmB}-7KF0d3% zXW3JwUuNd5-zfz*Z*1{?dYF}U80a7Q22}y&P7J)2A!o=2D4JhMr7K_C)jt*eb|5dH z1w5g{ss0!bY-14sKzom!*`L z5M6mSJ8s+@t{krvk^}Y5j&|kAR`5wMM=Vf@VFp;0IJk{n=u*Md{is>FtvOUaQMm{(E%qL$r**3~*`04^cS%A_P8*SVh#6?(Eb{5q4h^7h$uj*v1fj90A0z@HQIxq}fjHe|9K2-zeujujIOF8UFgEMh<_O z#e!P!Yd%5slYozXgv-&V9^m*z21jB45+HrlJOXXEQtmAV(4WpMMk~{UNLw2SsGh&E zcaFB@S;pF4768nd3_Wi=nIRKD4^CLKW17=VwB=iW_7q9dh%*lYP}bupW2HJ-^>(;w z7gYgo-s2Anj(rw~Zn>JRD6VRm`Yww{yXGqO`_HoPg61`eg`FTD+R#y8Ixkb&_Uy5E zLGGmKp^#%{I1)Bk1>1i$32_Ti-ZBf-euUUtDi)we@oi=QC^j<9-4k$R*dmnWP<4nY z)Lv%q_)osAO%bcvv|R;zSQVFjR3e#wdn6n|pWo%3_=G<^Lrt(A)lF+R#hyH(;B%;+mJPK=fT|N*% zR;hJwqH<5!6-4%lM4wFU8!#5Qz}f#|WLi$l0gJ>%U=-;&= zWB@jUomW1aLTN~oj17KBgYJVamI8D!_OqJM(*R0jW9jl?8}508adu*c5fTYDRB|^f>53Q@vw3aKFbEEhQim zoR;!>)jO@DBzo09Y}E%E?8A*f7;) z`#{gp4#lUaAN$>m|=LiM^t?_eV=pD<+Jb^p7fl^@xE{7+C|iNj(J*7D{) zOsaqx>D)Kd`Yve8YaAq>TDX+ZmUv*(2et2l^@g-!XA>@|uYpV(>=1K7TDE{8^l|iQ zWs&kGnCz*1`&p2H+%O81B7ps_oo!~RXDN2DI`IhCQn*O3-b_0HRr^3VV*t-7xqTV% zm~Gm3x=;NpDRu1L?^^WR;E6EI)YDmvW&rtEXa&p?t?DHar^LwP8IO2O7AMg;2r!G0 zYq1d2T-w6ayt;8Z$*sf(i|Ux#>OeC|H64oBq5}NLv}Z;hx%e_|Xov>Y=1}>(8!d3P z5(gBQ*KfMffD9uqwb8og%$%bECg8A)aJ7L{E`H1f!$oe@1i)@^JtrV~dHv+-7dMx0 zrBLKS`?Da&ud^mLy`1UPy#J^?@1?>^HM7Y;O`A1!l9PAAPBhSE07kp%`m&E06qk-r z>dG7TtQn`fHCzrPKjlWZ$kD*e7D5C>sInsMRQ6>)8VqL*ZFl@E6DkxVPt#W&#_zw3BchxiPf>It6i*latv zy{Vs?L2o;!0I;jXTH^&YsgY*oySe(ydVXmC8t!vzK%nN{v7OC6aj4fhGA~t8rfy~5v>ZXS>DDPy9ue7+{RJ^YW@on=u(YbV!mC0UtPC|^+l?6 zE47+X8>Hl*q^baUTFg%d0D_7Ud&2 z?isY%a?cewV{2xATua&h@F;hh%&79kqDsgn)=#uBOmQyp7d3E7BK0F%DZyUg92&(@ zOxVufh>kYk6=>v8U9M)1bDRh78U_i!v*EW~kCT}WfmNq?iOeb_5Key>TE?>Z8}_)b z%pCcy&H$*{Z3np3y!NkRbg4tmqBg+Qkm^7J+&15#rwt>(Z|Ulk^fwt~i$KF|0I~pQ z!RYne`v_Vz^w;X^g7W&?vj7)e-QV**Sox6SKHY4|ephgo+4!ANQsEMzR{_g`B|8C6 znVg52&O7Ra^$TV=g0$8Fv_f%%-bJp_<9m@zq3K-mg&+C#>TJX{$oWY<$2^q9kR}UYRD?P! zoZ+&<@~)ENdI{3qx!@Qu$_n}uGRE?#%;xcjySRWG*-smq3pTONQDxn4z8oR6yIgu# z_x&k5-}Z+*hZbdcv1`U=j@xOHC}8~!I`$Rru2m4m7FMtYI2^KS06*cy^l@jyfV;BI zt5D@^3$h)oBs}{lDZ8FLLyndI5hj{V<8+NT&BE4oinUh;4!0~pb)?wL5}10&u26=p zp3I%{{6>XL@{!S9WyJU+VYdyb_EQk1$m3EDcK9>Vdz$Ry)c)q*^@D-t~Xe>QUo0 zA{aWch`TmyW&%o;a74KvP{6d@@{?Z@kMD2d$8$_t3!S{S$L^c8Gd%exxY*mDNm*kJ_1c1!493 z&e|L|z?z00Qk`sYs?MqvYuD9wJAV$ruuKQ68fymJ7Fx+2RD}YpIo_UD9;tNUD>}C| ztTCL(O2J&=ZP#$vo|h%CJYtBOJB#lhzKQPW3LoeZZg0vyN&fN_0_p1uP#jSSXlnAu z)_c|m?Qgm28^eT1+)#SFbh4j`eM$M?_YG~)&zyY_@J#Hppx9d0__On)s&jN4vq7|6 zCD&rsGaleZToM3;GjxOSZ-eLZfU@1`X{#jz7*4gw6AF_yKWJik-jdyEz}86T2q)r= z*_e|V#nAzLs|}418Nuw_tA;+<)vcEw*!D-RU{x@c8UVG`%8~qBVY*hOI9xGC0P-s3 z_K`X;`gWF!wBD=CH8ol|xIT?I9yUz$S?6IOX+@Aj%_C(L`hXIm=;+awOg*3iU8h@eV3{=8U2Qe^m2|L&SX^0?5^?ZSI@AhY^axfw7_`&Hh)4*1gj0*sH7Y+K zN`Z%g{hkac8v++1%(WhGp(;L-@o{yBor(|;OH53jNx7}&q8Z1nmd>rS)(k$6B7G@9 z>(vtlHUs(%72kv>Bb?(Myemd4L1fZ4UDO5^fQPUxMqpII`ae^~0w*(Mf4pV?a8MBL zt-(Y2YHG%zbLsqD>ldl+>zRUK%>gVeohI8W7*ZJE0A$;-)iY^=VG>mzwKT5f?dzI?cDWpNo`?^ zpI1K6^7mF5bS&0Y3_hE^`Ox}@s_nez1N1(GMr+nQMOYaCvF_nHWF-LE3|tFz?#lBJ zfRIesA7Tqco3<(JIs-1@$iLTs`Z`xvkT zg1l?nK(uMo(`j?e3N~a66I#F1+YZzdT4zM4DeVf@YH1sI$YcJCc6gpaV0My51OZFzonWvMuL@W{@R&i|>giXR?`lgs}wY`I?JW{yQZ zjw_nGTzbNkIGS1@U97+JZeOS+>K@Zi$eie}n>2C>*U5U-cS^M^;Kw00fiYd`K0w`! zDH^j!102>mMgwYVwI~*z+!^Jltv)?_xjv4FS&`~u<8fVez-PQawM zPWEpK9e+plOcIUNn!9@FP$&m9c-rVufSK&7{za2NRy4g=1^kA5FLmmQxZ3`RyhHtI5gkqQO|@zEq1we{{Eoozye z*zU1_S1qa-Gwju&kx+Wm{>abVR6t<>!k+gNN0|y!+)2pA-BZE)Wb23mYWK5IVkgly-{|_(#fC!;0&NS* ziX;06MzHc;2VMd|l29Od{+xn6XxNDI)uc}sBcY)8#&GzeNT;5n#zfmym|NK^^!Zx9 zE>7P-pDx7C5G{V{K96W0LcYzSecS?k39kYxF3U7xtd7Jr#bERzr3X$ZD~{)PeG z)2~Z*>6ff|FRqEGl?$F%fy9uhjodClN%h<9QQ5==`^qwdkKEOwPz(08amzqM;7dsF zT@T&`l^^sTjxj{d_66#(j%;(}PDN4r%gyfB&v%#>EmNuOR80mSkTccH*3)`P-1^+G zFiX-o!msilOCN?+%xDKPc-k=kwos}H2B~Vd!0m%~B~PLtBIsy?W|I74v{{KYXTRBdT$rqYTbHLPLImB|Mb?@?A`Ru z{u0oytVFmjrxQSNE6ifyOso%XxFpMWQ8Dx>eEZjfEG)?R1)mzgqlZ{$)LKHf#a?XX z3or%SP;_#+HI;qUcfk|PXClR7IWvo&A?u3+!+@L?*oE!-;4-20f)I9gMR-G7A@_pV zmR%oX6aog6K)ch@ZH5mFVC3vwTOk9n=#&esh7K(UNRkCKKHK$A`cx9KG2U|x#baW$ zh0(?_-{2@qR%Klrhw%m1KI;RS_E%3ymoNqQ+79~;{%d=^joXA03bdU|f-ivlGOz2GX8>aC;(Q)@48p&^__#7*KFiwuba^048_&2hbTCL|)==@c_f&b|}!?zI|Zw|I}f z&UC*>aEugDEufOEJFfbpdkBs@k@EwNbp&v%7Xt5X;+eUGOvJi$TS~*cR3o124R`_{ zV+;KaAoTjf zrwN*UusZ9WFRzq+PxKa;syoYz=zKV;j0poszo$kmKI*JqOqa-OGxD0n>t%;mll!lx z?|8!rJ6`*ivnDnzq!zmi16VM*=#Tx1v_+qI5t{n6momN7zhuKhGUNf5$Ha0YSNNgg z$MrT;FgZ#(;lL3S3j_&U0)CZ?CpMwAtC;Hf4*L(*KBI3Sk6~VkZS&tvTg7^vANmNQ z@0l4wmD(m@0dK?SXYM8O($Be5yfKcZ3)z44lDj~)sH3!?Ea9KlaUk&~Hg0nFn4TD^ z;a88vwi!1~nwFe40D1AsbkT~Vo7_WtRP>9^@PQ%`J?{c5Lk-p+W1{mZxot_XFQ_LJ zu>$aJKZT@gxWRc?(NhYuY9Q9!Nda6H>R`qm?9~=}X;M#+pGoR(^hgi|{<36Gi|PD+`MSQ*{()h*ctG+C+#Il*&McHC z7v647f*BIJqbCntb>TuHx}K7G6TWPtmtRU)ELCtQ!k;aHMnV-#9HgEbH8$DFK#SO; z3mpU_V5tmKv|u5TxuK=@!#GO=g+MLFZ9&^N9YKMz|K_4gm(FF>GIGM}91PO&XY+p!8KtODs z+@XFqx{B7)n>p3A<=5A*aor~|WcJu}?=*(f8+5n^cX#lLO))3YGo@eF`g~j(33C+m=-xnT{miXNHNwkyz#+PSg>uIqg0+ut(j5?d7ZH-*Nqg zvDGQ=lXnQHY^n0G5~hH0lH90@xYvZj$n+52=hx8MJ_WMy+p78ZinM2Yx-Mm(hB{A! z|Dwe!ZiiMBIL5Y?iw!?*z#j-|3}nyH$9avc{>?X%koOiqdcwNOejXV%x3>;X`wnUI zRVlEcjHpBfU<9&r4y|RjFb7IZcc$BA^^m`Hhpo+frx8MPHS7kxv`Z8No|24YxF+d9 zwI5i|p1{bUgoaCdC|DflLMyC(WBNSu>XWO(gjkG#;qLL>Me$os4fkw^NS;XY5L!t&rAg7TGwqx zKil()$3~Czu)6Z9%1NC^zuCV-uPGrb?{j9i zR&zS4UD$1xRcDuK#dx$#EtB#`7Q79PFFQU{#_zjF@N|yh4T`JJA|u5=SJ$cusJ}(C z1+sBHoS5Q@)1%A7UKq} zBgc4##iaUy?W_Mfvny2yE|s$~J)a49XDzzde*v*nnMl1GG#x@Je4k|Vs1jvhpACxX zNfxK;RPE}#FIB49TCarv8(_SC<5=$bo-Sa4zBjoh!#IPdVZ~_H_3 z5Kk2sp=s1%o`z@29ustyY#tIUTg1QO1iu{>OAQZFEB(I@)|LKWKKTDUn|hkg@;2Pg zzVD629NdPO>FuX~Vx~(2URl=XU)M!VG@ji1}XqBgp?n_kLx2C1EB5^k_j3I7~;M zAKj7C7y{aElzVNog~WK9f?v|Zl-+0x=d%CB<a-DQ+i5$yi|hwvS}t?r}X z^M9=r%w3{{=DKkQ(Cr!`mYt)2l^JP;`23%pEI^GBPncWn13E`r{G}-yFVWiL%I~Nf zH5B+p>f!Yy2c0KrCzk#_=2SH#DAI1FLn|QB2??qn$9S#>kSW5=q#iD^skeU4XcM;R zLeWe$8oxhZoGbiN5Das`$E1nq46cFV4sW;~qFHrTGa~g|m$`RutX812Zkyw4@HnA5sU@IStv2?~#qUl_kiYxjKYWiY^R%>*lZ4hXBrns)9612@a@EvgnY38Z@h!H@or6B4i;Ov5n zXbaRTxd)tGndpX7|2lLDqFaIqg(ZPd!sGr%y^OX&LsQ}%_Gr_6SYqef0U850i)*Nt zlUV)MX>((oC7`UC#>q>Y!T3)AXzMn~5;Py(mxw|U6{9G$*^Ze=s4vCXC zQJAh?*^XB-!h66w26ho>g&MQHVJTX-+}qCL@7;>Uf9Olh`{}XK@9>+{`Z7XGcA^N9 z!aw7l?=kHvF2roYwQ3>n3jsxS^9oVr$Ns*iYwMp`BBO#h9@>NUY^6!Ky0ohzzrzeM zL&TxZrU119rMMN!%Vqk9@+ADjp|@sS>KC!EbL$ab;&F$nUYHm1yv3e@0WS)rwa`L;0D<>UW6 zKD0MpRG$kOe>*ffnBQFqYzq}MRvCoDsTl_*7JHOkxSIkV73^w>^pS!lWS^>m#3Jeu z`uorbo5iiq?x3J13>Ov_u-$BYN7Nr)L|fICl$opNh1n(*!-b(mj_Q@Kjoc;vMc5RZ zzvu2^gt0?$$g@0%+OGYdok!K3qe1}@D;Vg7Q`LKeIc`xT-;s8CaU7kOu|JUo=2f8B z-GK@DpfQ$)d0Cejs+BN7!!tS{vBjhqq<2`Bm$+s-d(#eeIai=CKe(B+eldg z;oE|DIVA~%XuKV5_Y&;`QZZ!X&pA)f-!KEpNqTk@5`>z?pX$M>P^%f4=)p+cI&s2A zW^jzt&WCUaG#12HJ|H$<|64q{xuzae0CAe{)6mCpmFx~Fw{+alLY_-WVFOJc#Sh5b ztqh?2Jmod&a7FkU<%9kq53WDzgjIk3Z%gp}BhZA?yOBbu4XVv2fXYV~T7JUd*kLbD zuZ7UtUNuPCExtUouxEzu}4WnWn zqj@LoSGm^79!S$9D)rkXyhN-jWptN(YDv>%UUL2S98iLNQXmJ!>oQg_jtaG5{i4`M#26C1em;tp*W!G8rqO1W+dQFEP3%E8CNrVrg5K$P9^mHz8Zop%zvwXd z)ergESA#gFvRcOx29Cl8Qx<%{4$(c{S`uK2{yz-Cxod^xxsBy2NViF!g7pD?L*!Z+ zEk`UMZ68lJ} zN+5hiKX(>#_q>JxN;tirz`gIgUkKmoaCJpLqLDt(HHI7A3h6-QH0k8Z;HyE~x)QlL zr_QA$D8|>_g+A&Hj>^lSO_80?A@7V3YTI|`qTog}*?4P<_v@E!X3whg(iz=0K301L zN6#MKgFO*9L2Va?VAuay%vjL?Ep5fmNnr%t)t3rl;aV-$IW=GzoH?uCK3aERsM38& zJ@{@|@$qcvnR80ZIkv0jg};>$+jz4kF_tNMoC?GCZk!4|g?w*8+xr%uIGaL<20ist zGfg1zfoDIlWK%H{dD+;iv;I|fLqHwyffyG6nFnafSuj$J_7pY9cH zhIyD)SfJ&jH)#0?;bAi`p8tVdPHUT)-SpRO%Up_gup?SEnlrnCI`KO^D?B;uA ztFiym+I<52F>vXtAXyl4o8OsMhWy0pcPetq^4ev%$EW?Z z8Aw*inNZyhYgm<+3k+}Z{RG%$*-`WtnVol6bcdZFIspQ?qX< ztnr81ZaNRX2D#+!05k-h1y|NjhB}La01w{XeE6MRTv%9N?Ro2c+ymHEUsmCNgsPiw zd}&BdGiE{Kdew3H;I=-MJRc0ip?Nv(qUZ`5wgx%EFOya$n{l)YeebM#A{knj^qw$C&x+V(y z5qtF6R$=Fo?wj9V;P=CIS8qzh6iIJ5F@EH6`Oo`oG!z4jI~U_n1=(wD9(Q(K)J+`P zm@vJ1=!jHWXh%xAOgkdq-+ao(u?$xH&XGHR z8>lEg$Ve$Sg~Tv@V=m{&(!1!Gn*}FZxKjln*hc;4e*}mWVo!HNxD9Xp%nd^~pQ7J# zd(2TrrD|(5-2^ari~>O%@%a}EkQ~andJgne;DAkGfk?l(%xffC3R9pUU=PlLDHs*Ng=mE2b&-TnL9JK^|(;3cJLY*8X!Tp z*SHTY94SmX5WJG|!9q6hOW+bz6-6*h_l2(ei5_=ne>gB#k36rks3gQ|2%yr~&!Ch$5VB!AJORq@J5VDtbXe3R+*I7t<6V*AUOqt%IV#@=)B5 zFJ;=Kw?VzzX942MHqJbcl)4kJi!5Vxs@bGZhf}z`t4yqaG=i()(O9;@2)T#FIq<2H zc&t5Y>w=uPV9hsU?vOn zOO3hq@l>qPnkjE#*BDBonI7v?h=k^r90y3WeVhCXvN%a>Pp9}o(?g`fHsKAwgtB8ZyRV0oHMZmyr|M_1WEBo875}V!M zJ@%YtLL8M;9`EI*Nmy8AJbtn|`xG&LHv6>TxqB>rSsd}?k*h8#Qdl7l5g?@4D$T%< zbL!o%IxRa1W6eg*3}&CyeqELFXgYjRJV%jV98zLUSv@T=b-w+u`pD-C>lVJE+ilfB zjk1hv*tx~gRW9{kgqyO|-UVT%?MRJRX2>f>g;(E!+~*mxp-$bQm?h-9OCT|P6DwqZ z>Jj*=^IORGu}Q188E;N99}E>8^(}|MF9#Ael{*gg6II8`00T))tAZ1-M?R{zcwe zs)s25%J&QIZ*^|k%&}L;KRE79STN<{otr9>xc6axxa+neAD+$J?!)T3k_=g!KhX>Z z8BtLxUc&CSK^4@Rw%@k+P08+DpVK%$` zqjp_C>!1b@b@%7@N^Qj!=PM62b`PZSb=oES3JnUxNw&PYl%zQuCpBV^JI%J`%2}`J zmZ{3e%{e37ZnvJ=XaI-)k#A*}{6TjcbD=z|(9MZeH$GPGwr`CC=HHE+THJ*?IM`tH z?ssFcYnZ2{GNvg%w83QVZIqhG&-Lvv=4ot*nL939e*NB&trR4&sTlNyQ#X|9h5C0h zjRhPe^tKIwv2N_|5T&YLpCDPVj(clM##ZO#HfaXo7GN@yD>d6K_bf;xzZ@oQ?k5gg z)2VNQftk}1Nmvsyqz3%FGreZZtct(mCyQZjQ-3YW3~y;#f*unBMR$jWERCl^GG`|~ zlYbB#@4DSr7bq8a-mDs8Cd)hX6q%A2&pz1E@v~02Ni`Q{S|tod>^o|2C&R5U}Db7#ELa2&XbGx|L*Waf_cL zb`VTtuZQKgDenryF2kI*wWBAl2h5jm{jkt`wy$8eHl-dim&&z`=nvQx>oosvx>-ib z!hpUnId~vbvb9yBZInj{e;<8#Q?jwjT%S1>|G7wIu<)zx1ws-spS0-~$Ya-CoX;0W zqN|UwS}u-s>YVxRMg9LcX<>m7Jq*TrE^^X*jJ1?AV`#pvHCt%zv%_LXOjGs;Pky+g zT>GiqtZwXa!^$dGQQsEUpDfo+CdQct4}~}e9R`||vdvKh!*Q%^<;Soo9DPMNI{}NU zF~v#hH}a;XEt5}i4nxgC<%I8(W{Gu!x_aR4)dJ+{Edl6vI31r$d)3mj{{5;!oygZo zAsacj&G5&?c2nnJMLd1Q&D?xW!G&N|<+*ZL@mF+Pi3F72;-t(StV1O;qL-_ZYNOn0^Qww0uJ~ zLf?9owVvBaq@3Ym2cIy@3KUR@6iC`NwwGBq?n^|oDlzzoHEIfgRU_O8?eFX<#lA6B z=jD`32Me=q>O__h#jb0_y0N-`>!KwMvF`ddWmV~BJLC3`>aqrUML&Npd#*_)2ZvWI zw(q-2<~rMeM<-xplR8DXuT7SQa-A+dONq=c^G(UtcS|+d->*7Ow@Dki`ZYSq0vX01 zCg5Yn%3bbD<)d2|(N}Cx?$v+JZ>w?Mt8HtG#jn_SOyq!G&j-uSicVvG7R@)+Ah&}d zGi$a~$@Epz)l>G_*iApOS4`5XMe8J$ zaMfY}G&%`Rv|Taem-pQ5%OhQIyW~MB%sNR+4*LnET~X{8;bY*2exCSK|D-|W*8$5Z zR6swWbvl!>&{Q#JK-+l@^ZxCj@KsdBkGM>A&uG@RwSBkSl6<@xBZzdH2P-WPtB8)sL)`54;m%-ow&yb9M(8Up0haXl5L7;H2uo40}E7 zP@?GN&^N&FN!I=1w!jz-Cp<=OV%X&uM6G|&#xn65MIL=SmgoZpGTyf)TB}c zG>f0jzcfa1Cx|h3Xxqq3tN#Sybd6Ga^;@qv!^AX~&R&Y}e~Yd4yS05>l7;h9M+KR= z%kPZSfr;L4QF*wyT`1-*fw-jApXfmxTsl?d=)LCR0df(TThnK`YX6vF;N2#f%xle< z^wKCy>@9X~Go_tu$#5tpiTXSk6aNN8ZIB9ppHK0(7wKYg@}b1x*(QlgGE&~EV^(J} zn^1MB{4{Hynatdhvf$t-_au(N$S4`TobTaie)yU`NM)Us)RJVQQvGZ$(Du=|&RT4# z)jwIT!78!uihXf)m}hh2dX$EGNODG3{*4}lVOV)t;DrRkbT;fbPfGJoBSTrslY6Yi z)$d{dVw~04kE*zcFBQcLGR4WWm2W-_jC)Z%+^pNTFJE(V_eU07ELuk_S;~u4U zv6O;Q8r_b`tbM*hioezCSwLzeNilAxzEsW4yf;HZI#Fv737;)&f5XA1wS|xOm4!&9 z&ZCL;-%n4r@q^Ezz{lXfo``@K|Hnt0;1k!2YZZe*bN^lodK~Z~vGmGU{&6`ta?t{L zY&y-RxbvaM6Cq$Tr{AcWB;4V*Qava(g|cT0eng$!<=W?!8WQQP03zIK(pc%AXonB8 zh_<1l-JVimn8W_8Gx7#r+3B7PX-#aBh2X=T;tD-h7&T>y@k+U#7c1Vbsz`^IXf?%gwS<(v1!2dRvG4%|3yfUR~7Igh~WWaSqR_p6lQ4!~z9C)ulQ+a}Do%<7 zDLE0a&;GjldzGJG!~Ksz=M+Q&aW;NqPa@YlLw5KF{!K|thQm;Lm5F_49Iu^h+LiyQY^>w0NTesYFdF?P#zBM`d@q3X= zJ?GfVlrvHarR?&p>%og)UupYg!Z#tB9v7-K1Ut?L4;Pd7p8J)WyY&3gpv%=ZT7tHAl2ks=_K*4-Zu_8a0Fk8V{x1q93E5}+yFcZll@oeASC4}IJy%^nm;F{hT=)0O8k^f@eRTagTg>_Gm2=s} z=^4G#55s@zhvAu=ke7{ofA{r9vr%J&Zx(arY=x?g-&5FD7MBRlxM)53i?sW@%u_Md zFgHT9NaA}Y>1p{nG0&H32czpkpPFalv-HTnaZruDy~R#2Z%W)ngp?Eu z!TO>gJt>_sk!d>Jvv!h3u{W(r!EirfvB2ViZC7-*_@LzafwTU!*OtD|PTpc`XXx4u zILU)}7806CBDUA!PvoNH6iyx*wJT7ZaNSSV5%T-vo5Xd7+;~4fg_+B?)H#JQ( zUX6)pCo|N4Pp=t~&*2nc!jWMyFIDYNu=i{Av8{^itamb#J5zs+`dmdF#Toqwq)#tv-QIvDg~a52fygK=z3@-Xdw5V^nF?= z!xXmD`C5oR zwkh@;6-prWKbY?u#u@VaGZfY!ZKg>S9Tpfq`H}Q`eY%!AIdz=`o?j{dm?)bZ-Zd}2 zv8%R5W*f{EJ{c+4@=f=RTWk)eD+#L5*Q_9L*ViO^nY586pM_21RY5Qb{`v%KOmsue z$4R1b%x?2rw0&lT`L^#W!%asyK|v1rAp6ft36$5i>GuNexjHiXygh)4cS+Wj1uO8B zO7_IXKOv}Q8gL4N;tcqjpYT03zFqz%F~!_-<5@`X#?=8Q>)B7sM!TI(E6En`OPuUj zRm2MYdTt9|2dX0Zj@GM1*bQviTE|Ts*;4b~hEzm#oL0{-&*E@oJ9J=KtA#8n; zo-zg@bDCC@pTEmdufM%I_kH*f$-=je(4rF=giFC!w&7A=B{D|YM>Y^zvYtrq&^mG- z;fMKigtPcZD3>bkxF_7^ohfua`Z6Y&law@D{-vSOOOaoCgGT)A6DK7d9Hn_^4CvTr zy57z7a?}Y>>^{QJ-jm4I+W9duyoDTz-a-~F-twvcHC+e~9Ql*4#TOZ=*=IA3XnG=9 z4-w2{nX~&eg`gXIhV+iz?#b+wzNh+AEZr}*lji}iy9BS;DejtCv*m9d`5qd3x^_pp z&KZfml8~`2=EbfOV!gpXS}B=%K^^)XnR9F{26JpmT7TeiVrB5acd%+(@jlg!tE{+t zC^4HO)HN^8bMLQjklw!9VFySJ^o`L)^T$1*{~HrRmjR7H#SmFG((powb8r{AeuZXi zN4yg|ihJ}w6R9oJBoM=3J#$kjfv_8yL)GuGR7!8A=^`$`{FJtoc9;a)K$G~VkZAll zxd&738w1l=^VbrNE-_n+!*gBwr&o!qQXQdY*kz&2pPqA6a$-Nq-$|VLSwSN&_=oXVxTk@3_F%zBHy{a+>NYDpB`v~Pc^WVIE$NOi z3uIX`sJiG(GNigP+9F#Kv30!8IETcB zX6x$CF&RL*^@+7lj$5K{0|qN)&~n; zS>>x1e^Rgcdi6;OZ7buolhET~5*Zd1PW#B1_7FYuS1g0c@$Jk+CIK^)R5y5+u=b~T z2k3p3dH7-PGvN6kuP>G{U~D7kmd4$+&l{&{DnR=G)&OLsdhUx~9`{dawb1j9Gk8J_}^ zztr4aTq&xqr$31;L?;tTVK0T*_I+`~XI-~gW)*RYrdhVw)2 zWUMdfI~w==LoqQO`a1v7_fM*oNnQKtHpD%J>m7Ky9)ED({qSx3!8Ej_(Q(5spli{} z?aKXSnslS`xwP=_)_om=n+HLj1xUEl(u4Z!AE85xTtDBQeoQ1<$>FM8-L+F!j5R?8 z#$zYJM*cLImcDaT=G#fm2t|BDvceytur^*jr`l&FyT%sGT*i@Ts>siFj(l4Wwa=!5 z;lIh(KY1d5DqSg!zJ`?d<1tn?UUM&FLWywV=vJ}>QAOVTaY`!3)=+B&;&!c)t->_0 zQFgp*HeQ{6MMPkd&5=~iD=2J9{nh;CG_Go56C~6SQ5leLNAlMZ6ZJ`o)T}R~eu-SM zig_b$WS*FCDO_*Hw$qRD;GPOWMo`pOfAgs~6b!AEMT*jDE7Vn<84TSd>h7>+ZRvOGKTH zJ3kR)We@02RV32Rf9@~N;G$Xa4-0?)%Yp+A5Y;GqWK>loRtlf>W8WAmZg^Z#HF}31 zMwG`foJ&HZ8A*8HrMb*a9sWtzk)U~%`0GSqgjHGMW{%h}JjX#xpfdv}=w^CbSu!&= zQKHVZcP#GCp2Tm9t?2WUS(V-$kMCvF7kX>CY~bN6`WU5HaI>6_=_1q5op0^_rdiJr zHX>1K@3^hEW{5vz_2J;VFbe^%4zB9*UbCKhHcR!P2}*eC@1{n*^pAvEKkB&W9@x)~ zEj=1!9j1<+YS%ZkRLvOa53_m_D9xX~p5}dENJz3j^+0npeub>)Edq}r zv+I$*SwUJ<%RdbXTw58xwJ{~#aqN>^{h81novdxy%2;d?UHz$s7DCQOca5Bz(swh{XYo;H6v8ku}M=B@)soGF1kMZtc zCdWWoQ0$eW&3y%5tf4K0r&z9u_$NO45IGO73!JHu#~#d(*1uvkHWH&DBQgK*;JY z5?ZU~P~6NgOGq55R!@zfb|jeakaIU4gbVJF0gTDktJ3IHON5AruSL|Brp$$lK2cG^|TMkpa{UYn5 zwN^#IqY|6>e>N>uYQ#+Mo_>h3|EOq(@446Q^s_iiHSD=g4_zlYhw!v4(?WKE|F`Yk zAkr7_L#iT`1d9Bo$^E8CQ(ixqG&;n zpV{N2TyS%-5O#Bbrj=27gz0xhlI%%3K9hV{)z!Z4)7`tb^yai`&y#dvUCT3?tEbc+ zDGR$b36qjR=~e(G^QQ1uDJubQ;PXdqW z{LLi`=Vdf%0{DlL!yP`KDIs8HvEw>jx4?b?cD zM@Ntfl!tR&`<RFHP*j$K$9R6tTdy1SR|28AUB3F#1}YZr;7L%O74=}zg826-0! ze7?VLJkKA`eR22PIdjgLnKNhRF7G>chf}xc*iL#YiM2?iv>@_pwCT5M-(80d+NHuH zH`Xm0jF}q%f~^Qam-jXeVx#L4`QY|@sh+YX2Lu)|;U2BG5>i>RD!OO2_G$JIP%BD@7MJoRMs`@ID}cvZd_oJ1hbU(<}8 z!3v)0jE#?o#L64neQaP@e^>w7u&2m}*z4zy5fMtk?{cAeYnbRy(VO)Y^mTJf_Jft9 zNte(Xyp6Q(U!6}Y$c4a|a99LlN?Ls3)}<$B2G2ywNc7-b@*)_|HW+Ppv9nSdtq~%E zfz70aeh9Pg_8d?yHI6dF9S1hi7mtU+A5rp&`>fc_m?A3Q|G$71U_c|%`NztOL0UX$njKA2f*sesFzk61 zYKyzbF$uAQS0p;KWRX@1%RYsVA8EQZ z%0K=|jX2Uvt3dGkEKp4uYP)H|IG2)35~qI6Z^1FHi;q9YY$+r6p5HWX!FA>mi1-un zbsrbmSNgZVRhUoHn3J!{E8d$r7GUHP4LgYBw?C{LcnUA*8>k7nr3*$QI3sLRzQ+mj zTZ^dE$un_CXZ#d&>GS?_PlMT5SsB!rjhmSRY#zx7?>h~u$Qhr);GAL9!{5X#J^VC& z6rp_Poi<7o4In8haB3%Am_+-L5K#x3RiN}M-5tD+Rt7GW76zPVYe0`~dWqeDCrOp5!@XpO zkK1%KL#BFX6mOIw+cYQUK%BnSZw^!KTFS&TcG)<}4V(5fh>|&-C|AelH1chZ{RXoP zFy&pnB<`_ozp>O2HhGdNc>G1Fy?9j_fW2eNYyavLfG1l+G) z7EyaX#^Dm__iayaI#nON!izW-g#Eze7-7@2MpB}PAp9gmqPLwv#d}X%~Ywu!n)cg@f@e59T(hEQ9eTS~RIhrF~FZc3| zjL6{6JFcg{8^R>d9~zi1wH;>6F{bLf@M?oifBzX5Mqw&MR4&hR%mqerz}@zAy6gJg zUrd;mUaK`2OzoN((p38mVgU?ITfuhlkfG=*_fEWRm)UFbA9hsv1`rj^r!F?OKS)gh zafUC>)5jJ(IZotUzZ9Q%?Fv#0K5UpwI2b?|HM}v0Y;|P};%(AV2}0$RwDRc&#&74V z^5C4hO`z9nA-NW>JueGjU;L04Rm3NoJ|5=!vcxUWNAr5u_vXKqM$T&zCoFA_VSmz> zIUs(V$Y=eGr5evEf(4laDgX<;Z>&4r-0<`aSOv_Y!Z zibIc@K|2D?%i>AWs=W>~Fs^>$K@*Ee(|)|45VNeeekXQOkCp6a^**>0zYixl@Lo;- zu?cK)+RV~?O{AGW2yC;csGF!pdvBzW>&qbD-iGO_uIxE-z|8T``?ah3nALuLcffL% z#}ZEcrFKv?;RnW%5^4J{O?FpaDjZMexri0aN{DZD>}c2naIzcs+kZv4-SlP_CAmUp z50=*#*tUE=VHbNNMKny%!`b#Ynw-Y+H6dG&90MQ;R zrknI@bC$xA?EAVR=AHhUS8kHNlyv?r{arXtU*x*5jHs;D)m}SJ-l{Z3K0nQy=72QY zM|d*q@4h~fdtawzST+6@Y0d|?PEsqEeQOi)?LA; zaQsYPrcp)tseb9=R3xIC-KIlO-0=P2VdpzAbu{++O<6kv%_VBp#%xQ=dAZJsY{~B# zsaIhhJatTr^hI)_>2AcrB= zX{_*abyKOwyu88|YYYbExsQVAQIjX$&V^mt7~Apu8Vk<+uN9-`R7sO2g@&x4i@tTE zr&@JgdeK8ySDb_f5ap!x#lJQZ=jEhJj(pog|ui{IX2 zW+iN-c53ix30Da&xXb>07#NFxj*;`piAFTi^uu-M@nyCpjYp$E^90#`$lf44ygP&UbNUxlr1|C!=C27Zi5;QoBzmM}5MxvboKuo<5JtrMLEiJ=Jpu0Jc|#yt z_sLWm>^sq96X54KS(Gv0YvG)J4xW4^yLmbtl@)tdhdLI^u=owzHZA>oqeD6;iKNkw zZ2WXbZys|s75BgB*!HgN{0Rd$Y*b=IRa40ure^eIib<|^&rxM?NN;t9r(LeUt=uA7 zdpp*06v=EUBTU1wKjwW<$T7gnEm@nkRan@ftrhzu?jx!`&8)+H@ino&a#0Ay!kRep zhxC`zzyaQ`W4-WUHKjuJByv3J5ax$D{F$lFAzEJX6=O)op4=*dkA>rX>;p0Gy`jwn zeY#PsmTN$i>BPE>trseE)4Xp6RCr(sJY;Nz&itD7(V%=Gt>Q<;)#GP+`V<~l9R0(5 z<${1Y#CAW~h@woNFt{+IKLta_p>s}630D2aV;hgfhiuLMk_|?+g4>fnmV+O$-_nWJ zlRw>4ukZ3HQD;U}z;z)g>OM$`Ap@Q>T1|)&W0I`=#59*T3d`&r)%TkA9MVD6WJ^I% zzJL0Ib6y(2!(y#w{cD`dHByDW3o^DZoFQ5^srSsS!?lE%@HFwULpPG&;HF`f&Bw3! z3qa1a^?niyTwks524{RMo9BCD2SFFQK5TzF%{Vs85u0?d-5Dzg|6&jvA#;eOFsd6 za&b2SZ#OXZK+MuMd3tUh`6}YGwUdhM($csJW+1A=*{Q>?6=*V5oD1LGFR#myKs|Bb zgK>h_aDjO7qhp`*N9#OBIowO#c67J3ilrf7SoZ7UwEOo~cBSI)2Ws+rKzhkWMtICF z$KorFNVC$BEd$bm5_P3i94tL&d-CK*@St>&xKX4~W+e$!j!MamP=B}GQM^@=!z?q^ z>O~z{R(b-{r(U{3q6|g1m@P#G#HU8zVn=KPOxjf#LrgcRr3@Z*VLU6?5M~cm zQ7~ehpc+HedoG?QM=@GWQ0oN*jD?a<5zU%aI4&8r`Bn(@Ln_G09cfUn$yBS#*0Ztv zurBO?>GriGj0s5af)kg^MBj z%Iu_r1Ot~kN;|0+TD_#5)gr3GQjz-<_x5EGdbVH{3Y#b%3oFA49nQ=#iOoSGCZ&YiBV5#D0cls3C>7S2xIC9!U8l}*LWl%3X~5ey(A86>Z# z_4grOLu~e;@u`F${%0bT@!U{5ZtKQQgbMS%5BtG}&)!*=$_fk2;XV^; zSNM&ZO;-HSPPFhTx?VJ_gf%kd0Ybt0+4Q^+CQ=W`qFNB@!Ii2g2SUh0%+7Nz{yr#G z8ug*1qm&OG7WK~Q%9zGP#R#VMaR<8i(Q;auphStt=s5nW2NiQZ!(5m%Ka4;D&jShu z=OJ=XcZgqU8dOhLN7A&rCF#hDA;vr)z>6`HX;27ZlDn9?Z`BUn z$n*R`$$(lbe{?1JB;FscRc#$K;Va32Ihv2L(290yCbwfgjOBw@(K$7-ECUR{l^_D= zIGN%V7&bLE7CzZX%1prmgf0{=$;1GA5FP)O$jOD(oUvVw+;^IiBqL9HWLAbMf*q~` z!O?CmT7p8$h=u`{>D|ciF=s66;bnYU%-SGKkamz>A~F}9w^nb0U$40rzi`J(5!1f| zGd%$E#g|q5hD08Qm5*Y`NdM3=hstG$h~s+%@;2T_gU8{RDhk&7V#fMn7Ag}ZPd&`w z+faKr8d(Au8DXmRAg^O0Bux#@lrs?Zx+i}{()tOx zkz+y?E)@yc(z}#cS_Y~wMcEG2#r&mq&Zn4y1z5Cur8Y+IMwdP>@pskDQKQpTOTP@k zox*DTG*=~u|CmKHy1g#e7WR}z;=OO?QItC&zN{17w78>1BLr%1UwH!5P%w`kx+fiD zU9GuzDv+zrP~-0&9b*FrsOqYJUT`YzU4=}Ew%UafSqm4AQpB#ZrX0wa$;T1U6}m~3 z*Jv*1+V?%2F!2zJbQFuU67w`J>maY?4@3e##jeJ%V(PqlpCG*^*DOc<#YvjbDd9V@ zeU;ZyceU8h)F)}ukeTkWVP`~9u;VU$GU*Z4QW`k2n>XF(g?{Yd>%DNwxO{0VT%w%m zEQDX$mxC-skRlVkpd{1DSMP&Vw1Sfm^=wHV@kG1RX_`9OdV}Y{lv$r?Q0-Gk-|f&% zMi;vI`#dSmaqq5bR@+=DycdRh+7mNgf1f&{(K%*0nEozeKh{YHWi}egZT3;8 z&y2_SmJO0$O9^*pA8G=G>bUYjx%f;$r_E*&r@@iBA|)qC4%3M;^8#EEQ%ov1HO0zl z03Km~9(eZz%1g%bc2QF#Lb){m)vAhF6uI_>os{Rih1t)WH4yRVmrhVJZ<-sWl?j(<_`$InIE=5-Ke(Wma5g@%3G@dxN_+PQxQRzZaOL z1f;{@SB6-yUH+tpob8{{~YF|pKcYhUBpgSv*RFb>B<<0OR6?8K#pd=hMkpc_bQ z`mRkC*WgweY>G03R+esML*BPQKS=3A@pQmXHtGvTd$f_Y&{^&{CH*gd(I^sLCR7&L zgGeQ+a0wI8md%HHdzc()*v!+=$d;PEbq^6YCA${7b3fiZla1=sWsM$sCEYi!h9n7z zL5y3Z5{Vc!v%V)BQs1}?C;sReHINHl&i0}I9`&U05n&*lfK_~d`tn7L7JruFa&osC zW8#+Cod?wzc~g~ocExZ@-V9*ocgl?35PZT8Q=1@8%gW;U4Dda9OH{ZW@kSuHU!rn~ zMQ9XTyrKdi!S(vv;3#LyXa0%hSRKABO^L5%#ZjdXR$mKeuM?#Pp%Zo8#$SD+IGzsL zs|B8k@`FrvjV3!%#y>bVG7?AUl*eYR!_#dM%8u{o3oxM2S|a@~tM7h|(nitLR3M8# zs6*ALJ20)4OS=}~UMp;1Ey0plb{{BLKDUZ~@s>^hXIPPCeVs&@-W*L%AEq!HY{*MY zA1@bUJSyfz9q^IcKp-+(a@5Y5@=?7$PwQ?fk@W5WluEtG+suDwSwFLlGi)4-bnjMOK6v;lxyTO(u5$rkmb`vk=6_DtfvKE z!%%C2Gu({M76ws46P7Gv^8%Nss(k#dkrbZ}NIW|k(9!gxs6$csYhZ@SzDds``uM1B zIBfCWvX0~7hvp2W`7NcAY;H?JUforR}1-0t( zONmc~?J={V`;o}^Tw3XKm15IY@rt`5KHzC8HC$~HaR{16=QI!@G^4;_T<{~sK)Gp= zRwsJW;fqkIeW~s3)b7CKGzrg7ra3*AsbYJ~4e(aj;z`GQ31_yDC;FPO6d7}57)doQ z9eZ0?FTIvD`HVEnrkX07Rcl@axazM*`e{?`( z8dNtF38*6|wGW0vd|El1pH_()i_VxObjsLF5ne}F=7kS`;CRjb89rPnNFQY(gS;Vt zjA=tBte83(&glO@1Xq@FrOZ_pRDHj3r~@{F zA}r{caLzPd-=EIjOw}XADwGO?_u%YPGiS;bq%*`fl&W~#F40jNu&4R$C6}z)n(Wgp?X;wfAv#_sgH%uqAm34r`@MV*3E=h z^IT@thRimp-;N>9oIKnSZqd*tA{dpJY>#eU0mJ(kPzcR=LZ#>Ip!pT^z0;C0B^fLV z${v}>!{m_QU?6@@R*ns*gS;V(GlpqPH51&zkBdJ3$f_U0 z-6qadZmkpNrL&nD#?DM4gk4FAd9j5LZY=g_nEA?Q41C2NJn{X+Q2f_Uo=!rn35}$S zzO>La$=S0K+Eac!8^lWZ4?=Urd-ZdWC5 zGlIEER&^mk!cEq<$d3*9L^>Mnb|d78kjjTU^l_C%)RQFNFgNM>y0_`B5aizkWHh!y zHm1Y#d?O#z^JmCM)|WNVq@mUa)jF8&ixmCz9?GTyuJDS{gz$g7FMI?=Fex{e4%3F3 zmb{WtW1sE8kbT375Tovx&e3tQujgks`F!rp!}5U)e)TOWR^Z44)K4r&c-Nhj(JGPcV$ z7sC@v?`>{zkss0ExUJ^tE!hBaQ`AD|lIO#U51HhJRO+&t|Ge{%+Ej9D>4Oi8q|Oeq z@a74=W>e`*br0LR^{=33j&dJ2&2Z917|17C=}1(AGMIJ0Bqjhz>L z^RGMpI=s$^fut?`1j-gJ;g9$Z6x4$th^Z@@A2( z%jt{CPo2p(w3egV>1)s$_9@8|O1`JlR+FccPRmo1OZ(f?I$UB1GJ{xUil*+L4^#9p zj*!ke4vIwM-Y$1#A`E;XJ0DNzQjm(Eo0sdp#}0Nj64bdI4L?nH7q&IGWJ=kIZYvxb z8^@+lbCv&kRqdTu5BfB63VX(AW^2+6KNDHX$WvQa$=nz~YQ0m)4P#|j=y{%*xs!F< z&Q>GScybt`!;14ugO~~ng>hUO{C>qoTERfaFV`vm%I`9DYeP7NKAaiu;<^@CH!PEk z*WSL$*vg!jK(Loi4 zJWaEmK&Fky)TmO8JOYPR5%cM^MJMZf+l#W03a^x8RQqk}R#Eu_6KtwtNGXmH*sfQ} zuHLOVUF|jas!TKT*=>)pf#H_z%k)GxMGcpg?t{;GOACkUkEE8Z-}PYf?`ip-h2`3r zoeM^Dq1j!$A8Tl#@AzaGhn4TU9Q2*@KGk#=>|`Bx_&)#2?^3sTJ1MPiOj8_tnZ&x& zg>)TkEc&)hxkS3?7$u(uObjqu;4Vy|p6r`h_$1%_BB_7&3BI}>(eD;JYB6m^A>Q0a zN%EF3O-V$2{ozUZY&tDN4P0`zzsE3#ufd67pK8hj%$)DLl^vPzPSG_8^`HE|4IDw_ zr8KArv3eJ{7B`U-P{(}U4V~y@U4O%w6~Dgd-UY| zMRE+&`VVnQr^dytKK-$R+S^B4ZO*9+$}isPUX4C~&VJu>q$>@0noZ+uFM7*GAS-(f zxh?J*%e3N@_1n)qPH8J@4?H=k_h0SH6Wb8bX{G5Xc`OEQb}U)ftPWSY_pQUZWC~jt z{%9kXk;G?T2S>BTkjG?kf(%(1OSIM)e7Y?ra=23EctYxyQu$8a#y_0_J}|s+Zo3N# z5x%~03@?NY5HZiMQ#^~*>oDU0exNgJSWU&gC&4FB-(<(3hpuNwb$IOr=F5fds(YHM zF01p7hozMBQdUk#5>S5kfCo3nN<5!4t4f|j_Dxw}vTUh7BN~)6XBHcAdBDH!`o3Ba zVD6psJ*wc^6k?OPXm;fJiZM}D>_ix(qq14cm|uoqRIGFlb5`2^rlPAOOx8|&UiO+D z)!Qy9ql`RN+R-OGb*nR%Wh4j3Nl|21Tcnp63hS9e9~IAtb=*XIo`DF(d1EwwF!J}k zZF-T#(~AN{Vbq0gbgZ|@I^+g<@kwUOESW#4$T(PjewWz$X#20ivQfX2E^(OR&;D5H zB4-1X|7i>vDkjyMaC=hhy{OP>$Y-)ueEjt}m*;ukN4iF~r%(3+7Ji}Ll^`hf71%Mi zH*;}yHaE7zMqN3WK(GY?+<-d@1aSlT`TpSoL4UJFL;zfBUXJDfE-43lS4ndhQ)g>O zR|jY8-)Ti-J5-Lc!b{Y__R`tf*jB~d(#_WRF5A}F(gnZ^_#-GT?%)Z~5j<(6cFI#<^OFQn@hsjQO4Zb(#rL>o~o<4od!yc zlNTh&C%_N>yH--xw&oxJkOw8Bf-NQn_}eAF8FxMr5y9qqZEkPrY6V0o^W8P(PZ9v+ z`>n%;vfyg&jB<{xv8%bHxv7JhIm!_B-!Aq{&(-vDeLPXy>|sHlGAA{E552Sdiq;HE zcHSeXj9u5@AOx>F(H5&Ojw4DF6GI%AMxf<^9^_+X%nQs15;ElCBqMpUGNU;gxw49e z(|Ms)O!+jc^nM!+r^;VD_tS*w=&Vwo&wm{Fe!b_>e6@R4&x1(^6(8c1q*GI`m!c@L zJ3(6m=;;dUOHl1s1Ym?UNm&s&Pr~@F#*fzC8b{*d1K4L_JJME8?2)EabF+(PU0G73 zNiF9weW;B>13*lVJ8!X8j_kLM2;?MlF*%6NwW|>`{wv=@_giWne7VpiQrPbbjlm7> zW;7Rq9ylM$20(=ha%n)2cj;fC&s?Y&27lq=kp#ti1$w!yn~)F#+})*4fre3T5hA{Y ze=SW-X+x7er)&cG+5y;pXe-7Rqz&qT9Gv%9?@@mjfnq`xne)6Z5oD!`2a`u)+)P8yzb({geBSJ~jlYLkG>+{h=@~B*7Ky3ZP3)YYX9V-I zFm{$xRdw)r^~zVz);4M>pDW2uqqHSO%41^Fvy_QR+TFXXb}LlLj(ODj`Az#WnAFAD zyCJ$sKtM|;LW#?Ma8OA`>*9VRC%;FOx4I-mE-d|XpgxY`b)U)*+yz<=mj@r*{hI`Y+*c1ub!b8Zv;WLDf_$4P(ow$9bCFxPay z6>a}BC;!sOjH#nHzb>VJ9L`Ln90_yrz&t*Mn0>avRJJsCvZ4_O7I7aae0g{qCA75@ zzJuh*^KP6hi^cdLL z$r59AI2`>_rY?Op9Ztjy0T;G)wE7g8F*W7qNj0U-Ia&zvmE}06c~;ZIt(s1Yi53pz zLyc0M{l$DotpNY|@=bK-TjDL32KH$qW~=@lG~EbGhmoh71Vhdb#-p?8&rS$QG7?FN z=@Q63#72bYWhywkXIxr&dR~3-faBvC2b_w?kH1j$7c0$)$EjT3rT$2^P5Y^!XdZD@ zy6|Cdu3}?7?ipoiv3_>v491a;0waxs^4B2uk)&bYZVDtz@D7_BY4kzYfd4mmSlI13 zZ$M(;Sg><@yR(tXZb5ZF+6j&}8qXsIpxCko$u?Gpm2h ztxP8)BXSJvFl!{IIzE1(Q^ej=NTi&6x$!7hM_=djD3ohW@K*NX+MK|O_rhGtPmSJl z`kmO91=C0?G;t?m;AJxRS7BO4+*|B&ljkA3*JDhRKpGLIbJK5`;RJ7F)ry9$;xCiK zUSIS3F0RTlyF9A+xOnSp<%6jMr9OSnDNZaEYTUcrEH%FGw-o%ify$EVi;YEI^uhkJ z;r$!h?y875nN)$s0$)hh%eG)Xb)LO;v>#n}*?A*+cGK~&6_%S~`GSXWlu`=qg z?=$JBIQORcEV+@612G(vc;@ zbV0!R)AWk5oE$#h>-%QW;(P|^Qy`OvYHgdmVC>6kCDi(RONSv#fF_wr(!{HXT-wP5ZOOjP7ITFcKMoHKB%fu32~1_P?F!Vp+-9GB-lCG%wY7ES zMUIVYXNE-L|7_$QS^LgSj>kE1o`Z;*MzoCmC@MEn_2SYB2fv^Dp%bZI%)>KXHNEjl z9X`DH?pLNE1$Ap~E?xaEoAqvJZMi?)$;G?rt+5_Hed86j#xH9Ls?>s0juVqq_d60v9K0I(Ar+!X6}?AklS2Z&oqfjNy#}Ls z$5wv!Yfnw3oZxv03b5681~}`#{354rf8@N5t%|NDe_y~=s!CGeRj@tHo_<12GsWiC z!|HuzIYZaf-T~M8DxTS~pzO@s!#-69rC&>D&#t#V$Fw{uoHmI?qb2e*ejBjJAx`aS z{3axVrnTK?Wv(cGbme*YYvyUl04P6asiY3|PQpBO)E-Wp!XE$`DHimA*A#e9fz7M{? zS;BN1x;;DbBgmlG?8>0aY#f_;Pw~`YaIj4QFO)#5$gzZ#CtXOe=Qan!`F!iWkALJY z-;fCYoP>ZMNzBKGLN%UIqg;ctS^nEfSu5r{Pxe!m-{%3~rj8no1hY%bdwa6Po^60R zdQL~yAGxa5xXm}&Th#LT2BDh8xMb+T92_^-Oak83))Elv^xX~y-3f&w!#=IG^0>~M zXuaeEr@V;xv2JD&VycYPZCA>hp_LC$$w}lw{R;ouReq<>LLFwT!bB&1{m~g#Rrn@b zgEK?%b&11b9zVi})1)=|ohE$jN5jvi6w&?oOlj6?k zod&;BEdW}Pf=&5Y$MNnXLtJr0UA~*nm(2*yI>%iEGKkP*mtS))B)inaVkGTkU6k*LAb-Pm(5YfWz96S__Ft=T(9Eq+5~AMv!>pTPWHQU&Hb*?{KV! zmJ&SFFShs~?KoKFWZGxy&eN{Z^?WRDgwoUX(ve+;@n!GDM@Jx#5=-CoTShnF^9jw5 z8M%6xO_?Fdm05lCjNba~Kl+lmg4R2yO3lz6`J`jcjC-xZ9=i>yW4m@amO*p)StlV1 z=ga7n7e`A@o=dPQNyNPQt&)X)o%$$= znHSnagv7k|(r%&`??)dzr>10PB_|_teg*H`P&Aw=r{CCb4PCft*7iTeP|GAbUv-hx zqT@LbE(5jl)(5yZUyH;(xqhEu_tKDi;!V^}z>xnXejSD;`e|F8nk%Lw(C^8smTTth z5Qkd6c&tk1nxEXwSn!}n3(R^J#vFQUj^J#F>H1L(QbkEzP<5e$nTz+N@n1>1W*zq02*U<+85=w8zw;ITv=TijM~_ z%;=4bNAYEgKC%e(H2b>2C8vddJ;6}&!fulSEA*ReomT7d5Snf50qqw1ueo$P zZ;MhWFb=3m|C$EfMZJ|*r>%j`m@!N`gai~2^Of@aR<{*9eqc7%5i`PqI^jS>4cm+8 zkhVb?i4zBu2!aP6d0-`?;V0aN7zuv4O)*0M5+LD-*&@<%oI@I+75OEs;}1yzPy<6~ zNlckd{(~%DygcP1v{ene#tGVdk6xlB>sVlvMw?>9u$UWx8`u_fP8XK$97q?mNf3kR zf562gf*+{);IIU{wG0{%vuGA5jipF;9`;ruaEAbE1i>BF#J$+(681_bOh)KhTP>8b z8()d%2*9sLNiHr5Rl%j>GFq}nulwj&V4O!-yEvFd{@Jb78~>skB`557);5Qc9{e`r zEArL4kn%GC+tA)b0&#oKd{lzac({!9F(puJvD zkVi{gM!O=L9_3*VMqGV(BIjqU?OU$HbIpM|K{avDhi@nF#L5b=U0n&&f~RhazQOO0u@F+kMdPLGByB1Zl+)jq-LoVjyZ$QE4eR!}0(?N9cOODZ)0)r`dk4@%i8%uwy?c)J zNql{VzP?$v>gsmsO8-Yy?W|AFFU(cYo97E_FJD|WPbW~t)3rPve)?e(M=cLv_;Va1 z9&NccRPE|aJ@DjH$&9SK$cX+=xpqJ9EgFwRt(oVV{aKXnM$su3G$pn+v|x;)88DYg z?TW75r4a8O+p?~il|voD{4SUUjy`8q=hTlyU)!iT%d^uNyDjn3(XFB~kJH+c`ENz+ z;${pGjmEj{ppz1V47l;*{sH&Wu8kjyahOT9ie~PYT5Nq@Fg~B^@tF>9pG@b?MNGxq-Yg@F%|fG?;6ZFlyU3t^|aZU}?piwYd$<&z@qkHP6z*Dow=C zw%RRh_jpQs0Bu@W#QwvYNJ;;XZKoFKxSgp_gCd}+Et2Y!#t^)*_`;|txLa4;@yfyn=#let80A4V za|^{8UuPcdH@aso<`QPsV?)ipJ`Qpp$DcSqDSoCo>VGlJ%_N93WuRi?{`ELA&~0)*R?=*aXJ1O}tqK!nX;f(=VPn0tc^r?-{H?_WI%{`V;yex;Z-9n%mtWKHLBl z)bd}r6yP^qz&~kT-rxCPpa1|Q2>zGMUH(7kKYIUf^v?EgTPQmMJOThP3go&w@5;E#`{(>! zrXUanb?M*1M*rYX;D7V+-+&Vzx8PlC{|1)sS|@97jq>UnX9rVNb60?cwY{0Mxr>9F zv#B}21m#M56ru+(vo>}8JN27qYG>^D+cp0`e6KE{`oC@mn3-Fk456I+2Lk-vWgP#w znFFQse-gjpLsVT*8o)o5xr51E9F0xQosI1+&9OzeQHK~nL<)8McEW$ z-&()PZyP|A4Q_4_j~GA~MZqYFBD|8n3D2KQp1X2TGJgmd^gG1|{!RG#eiPn5Opy2= z$-mWkf2Vl<VfT#2=AAc|3xDQUZSn{~z+F1fIVY{w9A+^S=Bo0{$Zj z{+s!CmHrq5|1rk z-pvs%0A1kS^rC`7e$^b*?XBIYA z{sYaTY)Ck`p=Jpn_P+*+F4r4LDb%!O?ui;XvUVsn@xQ6WzmGppXH=+iqflw=yV&}3 zpzvQX4;Wwp_&**BIlnsq_W$DX@S|Y!f9C;FGba$`x_{@Pu6aSg|KWk}rrZCLLlya- zJd{0t{{Jmk0Q_G(AP5NL7WhwHlx;r#|FVbT3Gn>C=<;y?r!LAKkHCNF0zqJYzW?Fz z0fGNx56sW|Z+>xgHb%kj&cDHRRcmil2lJzV{=2&X$|)!uo=e5S!4+`#{NWChN6mP~ W&aS_E1PJ2i27<8}7+xt!VgEmKn2!Gd literal 0 HcmV?d00001 -- 2.25.1

)x{mR9EfuBnQAPG{E{K9aotVN`M0*^03ZH86V#x4e|pE_E;oRj@c{oqV7d;l zAgoi*PbHlyP-Nje#-N?v8i9+>FbVV8VT!xL0o1un8=E)+-WyB&_iduP13?elzK6mA z<6QAWA|~-b+MfWM1;SV~LB;&$AvR66yR-&iHI}B`G;wFLhR?W-Q^ zK&FrMJrw{q)`{cO-uQxa3zR~>2;G&4>wl14JWK*);pBDbm;v*1sTn*yK&k-l0g{UO zm91f`Eaijpm1M!6e4Tfqk-;ow7?D(AylM)_w6a-$aPstzpKqL%3bWQkjOoNxn=2e} zIf@5LO-0>YI`|Q9HrQz)A4d9|>kO+Hqo7y8%U);N=8L~@YLQP@w2Vx;4(KZFfWUxN zzK=h3#y#f`)-OknB&S|g%x@fGKWSR=OZM0>i}yams=~{6BEgt5`F{lifB=H=SH4!J`_+qe z=%M%Nvp=*1$$Q-I&d=C{Ccr)aHS^2Z4;_m&b~Y^4 zOxM5|JplUYx?pt26f^CSr08zZ3(CDc>>nGCneERGv1g`9pn#EUDoBU^YvhMC8t6-D z$VdMg!!3Z;KT95e6?&Dz|AQG9`m13c*a&Dp`C8_o4VYL%8clE#A{Se7bm&VR1l_q; z!lL%le7pzDBL}}a`b?Hw0Ym`Gu6?~&81Up=r5u;@QQnnvz?(%<8FdP&=7n# z02he9h#S!9qrn=Hxe0)CM&5wud7_TZa{uHMH^1l+S;b5G8;o%5Jfx$1v>cs~l;KM@j2--9UR!eVjX>3Vevy+ZdBbDYqw!Qo zU<7`Sld-}$+xijEEb~oq+L$eh4om5V>jO1q!_~U~-W-kaLva*wesb$Bv?^(+iADFL z@~8U|l{groX|CqoNa1R?WJleHFh^VmhjP>zHgnFiI;NhNK+>q0TEVgAItm#@uHfVs znKa&SiWt%TZ-p8CQtPKo(RQoP@zkLQ5#xc_+B2c!>nwnFKfzM!?X*b)iuC`xuFOWE`#r=33zZcp1|C46^@#Iq_FOQiKAbfsr{XmM( zc<{lf`EQvF(FY3bFuxQh(nV@a04>d8GyA8C^*Ko-bz5AQ<4ny$4ZF%^GnBr;c~b5b zOmy?zxsl+nrsqJhBbN=kI^q6FQ<~1Rt!9SdJpw5HNfvJak^=)z*3h4`*G2a)xXGeV z{S)t+_YWe>f`f=k6q8+Mj+$gK#qy&?(mbpIUCjI1fQKbQu2MS|cYsI-h=~U1sO#3)A!HZLPo00%4mdWa{ZFd2aay=sIt^Mg`6=fB ztVahy*P%CNUy&*XSJFfdVE-r4=Q;5tV=9_n{=g^fDI|fNzf3G4AjE_Im!`IR4E+)MIMT>We_K9qNQq~&78N1S0LGX`suM`0kR4dD!V4<(7fy3?b zLvc=V!(ubxS3&ZWn~H${k*wv&qI9fZjPlf|cYK?C@x4w!=r5rHIqIRC56diSKfmTq&6>NZlbrWT zqeb6#Kc3yX{7z3x$99%?4w<&G3qb7#$KNFHPzv-nvS%71zGj?2dH^yuCZO~HW{wfP z_8&KH!c5hgokfdLbxQnpt{L%rEk)nOojP6{okYkIMrcrO@0@I9Tb3@=ex6On|DV$hlFq-Eq9`@l=_*R*JF^0=0?7F+(%N0(*scRRG+eTz=+&aO+XVWtmNScq)pdzQk7+y!+Q2QNcq`G3Lm0#HIWna~ynn zZH`^h^6P2J3rHOPJG$jXn8xt`%WXvmo|a=$ECw11be?2_gZ5&pqGA%$@Fr`8)@U1{D`8S?1d>Tx~6_h#kebtAYrK; zr;i1OM8f%(wbB6=KoOZZ_+{+>Fh_A52+IBkv)x_4r&HO%wtjO%+VFnYifzH0NqoP7 zLR^BlbCZWAGW8Y|$@c!$$QSP*o#d{L)0@Jl9+#4~X7*`hc-A?_fJDIj^7@6TDEAg%K*$eyPq54>~@z zaKy7o?{-aaUj67$Q}0ZCq#~eTcrxUDx245d=aAS;*esR_u>v$%%eA@Nc*qx}`(KzD zZkntKI5WL@kC&9w{R3)OR1h!)S);CGjyK2x-%?-LOezlycbdyD;TGB6kcDrjr~FSY`i4Hryrfi-6ZB zt9*~h0_G?q5x6x<$!m{);-Fto`1U;D4SX}waE`)}UbRIbz0aOMEq~kpq64Tw3|)#Y z)Co^z8(W?N6P8mY08!HRl-BLdX-l3NW3B~b7iOL4>i~@daeE(QJl}+_s-GwzCF0C% z59V%Anv;O#bvLD*J|eXnus6ZWFaDrwc>J}I^|YRH8?4Yc`p_bSM?bN0wUnm2@VW^k zH}?SAjHgR+#-iw|?y8M)`HP}%s+?f9a^&@VWAw3rBQs0Ca34xQDY!K^xbW>uh6FxL z)|)eIXgL*-Sg)ycKf$D+oWsBqS?9B4z?v5h4dPfH9&oKtF-J+=1v)?yOb00M_jFa$ zxno7~7gDuGDa+Qb<+Su;=EYOn;s$i)3!P3B_JdB-UYZDP1?Vr|Vhhxt;v2fjVbAUt z4lO>#wD=eg{aA@EvZp_-ryYmz6{`eaxLJvqkg=`oD+GnRUjP#vDWv`^I}V4&cD;Iw z;>BeMCVXJh4D$3LrZA`{9jJj6O;0Aag!(07m7mk-^bl69*kZOwu1zv?ipHt&Yk&GX z_*ucxU}~doDW-7L$=T6 z{usmCioEYiIO&gn_@lns-Sa zOI+46r&Vr~47=cl_oCW)x(s*l@7OzU^`92x zkMX+CaoHNw)}xha)eqnWZO~^iVQ5AF>9|VP@Oasq8{7^sZc~gp+^a6L1oB&Y2Xpnq z>?e;dBu|Zk^!JZxWmT2m@hN@wNBDiaq$!Ea3kKF1HOz>BqC^;^!H6nQtmy2%z- z%ZTwrld*r(6~OPw!;R9*_h>+bhiW{Xrw_j?^VKr;5oXU<{`BOSdtLbO^3^|u+)Gcz zdcy{!54wtb)GB|FH0eQRMVu4-3GJ{@#PBz#++*UH8ReQ}S159YzFVl>t>6Zz)q4z) z60ihR=*Tu;h^w99uhHhS&wbf4V+dv9q?!$kJ^Zy$d5-l?v6a0uFEV+)GCawzpO80! zI!$ZK6WBhANNM|u_fML9X;1L_{-=Ha)9J3NCe^Dx#7oE+b7>y@;MZ%t3G<6w&Tr2= zNNNY{P!?%gqbe`{{N|u6#J`>&0(~lwW?$}p>{&!s32Gl16)wHYnp&x{c0DpOzAYcj zxL4i`G-u1M`zxNHen>CseW!euhIvv(3gBJ|p0w!PpJWx=vkfCewdefDdVXgg+v+FV zp{qRptD3u6=Q~Bcscj`Rwdb`3ZHJ(GZCssX2=on)ZX5eHK>I1?Y|hr9bH+vPQGF&U z!GxL0 zQV)yiRo?dpM&2%BN7?jR;3?$^WLtBs0?`cOc@K?VW!3c_OOVv^+1vP%Ov`#|lHjNVBp+J++?7Ln-BL`O)JA3qynQAq=nEV01#1 zovGR309<&X<(_~Q(9n0f-3GX2Hz%5F!95{a3YkF>xwEg;~F{ zutrc*L{BN{Ci@0{N<#fvL?lY*(>+S*E-<>Dv^t&!JzZeizti7l`=H+9S!sQPeHHbt z#GIDAyO8kf>VoeyK==^YqMqfQF|9q%lP-RE8Rfh8w&yzXxn{9I1MDq;g2%bDtCWz* zg_uq97ODP4dbxh(X<1f~C#}ddX3Sx-Rt|JZb0On{wYVg{9^y(eDc8ez*uMTUL+)$T zFP~Khs4laRO%Ciq(b^yVI6s?ci<>ym-;!}eiHEJ89R35vDDSPem~buwNP7_-QmYPh z^81gb{kXG`sm)!f1iWPq2z+pWC& zeV%ANmmqV9S4H@jRr|LyeS;mr2#e8V0{VkwwjX`m2wm*N&G}Bx=9IPpV19r9Gy>y) z(nFaidbEqq?0%>FUaK3{gXv)EdVwM(Vf#Xp@yF$pCXEJaDVNFcU;$DX=W$*EP;~qF zWrfxRfgFLNsySc_D5~a8_m!su8z9G~Zxc<1q(&(^rt&S@7cqTLMB^m`426D^Y%-)G zmlgUlhy~E*@}z9b=4VGXb<(S%Ce*6qFvrCXm`I77=3REx-D_(yAJ=I)6=j5J)FDnx z7cp536JlM1i0Cr!GFyVF1<2XAd|O+CTz3B zm@zqKXxQqb1&{mMH;9H8Tw+(B+6NMkYa@5j_KhNsx=Q?&uRCn!$fhpR+KMMFk63Z# zvZ8@t38?W2^UpBv=ADcz)YH`hf5mZ^cT8<&0Ue!vX7ISr6*P-A*fU$a{X~z>yuA7O zY^YU`d59Fn_w--%7sk_+j-*I8!ke@QSWPIo=!{%V<@-n)yC@}9X{eJRYbATY) zhxUN=Sa@aBE_MYI`SuwM1_0**Wh>n?)Cq5)&+Cxpw``+35naH)#IZ;+UQ9WVmk$7L z3dN!D`+(Jhgb17Et+|2$V`Y!etQro!sDsG9z0KP+@`E;8GkTykJ*chI$2jk3HFPqt ze~NL%)~^MtFV-2gce?S9Hkh0JhgM63(pljtZ8D2nz4GunmN^sh8|mGp$l|&v0atiM@nHev+)wYB^-A+JoI}{9p@O4Rx7; z=FMyJQe(}0J=$-c*8oTQQv5ZxAl9LAenh^;fQ7PX=iKP&?Ke-k8dxT1yBpW-T5jdH zy8&&@mMFg2xeHz&(gwBXH}emnu0uqMaPB6`p$EC6j4oiP@ELWAzezBU%y%7SUB6kwyoh^_hX5*S|G1ExCN;X2vLLWVb32^ydXG8qqUdFaWzQ5GzdpmV__SguV-`epJdMD-86q5IsQ3;1?`J(-q%n~y;z!dDJc}kLO>$^Hb+7D2y`Hgq_Sb!1LeF*oEM7Qw#JZ>!KN$Z{m>;V~GFi(>tj}|&h;fag8*cFWcpn(+Z zg{sU0XG(GD_+x9C;HE?V+~Y5Ywf~X`Ww7eAkNFR?$-U9`zu#Em5Va^Nhgep5C$3orem(tb*O) z6((;e^c1IHpsU}CHAtdJd5rkuWSADy#8bU$eMcJ5_cs~fR;r{8x8M14RdEi>@|zSf zc1jCEbr-zO2>(V>%Y^y!ARFlds>}+#SPWdL{fA-_c+T&42Z&P&0AxDM__08 zqdC8WW!NEEjQNvmQI-mK#vARTYOi0N+yV6U5rV&o_a*gbjsp|$Xr}=er46P&Zh|Ld zkROOEedR8m%kZ2?^(z|F`96ofPrS^134kHs2n{JR7fg3Kd>vz`O>Assu>Z?M9oZyT zuGco{k9H+oHi)ZgJ7TPDdpS~9g!g8k$rzaYPl&n#lT5kD=DAW$aIKIF8nc0I%T%7U zG*EQFkGd8qsW&gPPB#E89W;STNK;iiN(>NM4tQw6Aw&yfiU5VXTqpYD5G`hl z0f3pz>O+Vwhd{6Xz6=CzC)jRFl7$mYb3=r>o7s!#2WX+2JZ zotEusfio>D>=#FdrjaIWH-JWYsg-8#^{gKK6|KWl&bP3~ z;?6huzRb-jgKm`Z=$_?FKUj#)aTV;!M1mBkN{;}Q-wF1s$s zhpIp0Fq8|qtK#HOY0?uq0F7|^ZPM%gEtvFgo^mk})!oA+Kf439+~d*jT{7i*rD9od zl5cFT-$?P1-M^vM5(Zb4Nl`c9Xd%_xRPNIu#`3GbA-onmg?<6@B@&PF zzUi@bOyb=)G`G?o?J?yus~nhY$PN7%Wztw(p%1kD6%83!Olv$$)+7zpv0abm%BG7W?j6nU7#*CbB3~ z65qm(+dmbgL$J-+!4S}&<6|o*c9$QFC?NC(6K4kmD~?_rD&bNWYLVoEUiTI0i~Pe^ zBkz13s2Q}$H%%_u;O1>Nf>KE|NVeK`4y%l1l@&+7@6l;GzEr7}&3Bsjuh{Y#g4J&A zwJmW&Y;Fhc*0YDpwCKB0=$as77#->-3o`tOh;N&wuGOWL;mnrOQy%jVU7oYV1**@& zT(-Q(?F0J+Gi7L>wA(VzuKU9n1M^n8nHe_zb5PZKxm-o$x2h>2yl9O$N!8Z+AZN5% zob>+pg5Q=G^4&cbOb60H@;{k*rQUw&3a)u8M>k*(Lfo(qHys8^qtmOH`INzUpRBGa zt1uW#Q2&ved-3Pd`A=?vs*lCuKBvVkAvr26n+0;}L6=loQ}2~v{4G~MD0c>p*Sg>Q z3}YF~u*;$pzO4_~IL2aSlafmT@K|3>$|D`QZ6*l295?v#hZ`vnm-&!S-Fvr0_h}`R zhxNhQB|aSxnp@zhKV1Ht+~A@1SgFD}D$wa;=V0%4dyMdW zo+3ev;dnL^oSytYCYWQ8n8&t5I+`rmw0>v9I<0SP1!#S{hxK5{0j8w?Lu~{_W%`d4 zOwvv9PI$*~>E*cqPrv7CF{g%wngy^GpVY}I2eh4l{t-uv`R*2?^hk3d+OYi!qlkaq zwgRS{p+F{l0pS0k1egYyIJ#ZymvddIvfpvD+;#+Lf}ojodL7+%S1%91vi^-;X6VZ& zDIC-I@=3&!McDjX9cINPheOv#p20gX0;+#Y?uOt9&)X0c=S>fp=#-oq`KGqTW)(O; zuq) zDf-YRzM^Gu$P!jqM?I15_qSgnIaB1|P|0>cG#cuU5|A<{2$RFoYSGit$_D=vNW^s1 z0jK9wemNiCsjXw|@CCAt+4hUGUenwePeIWFFI;vvMki-nAh3`Y2!|~bnfPP1Aa(a0mSK=M`r3+ggEQ@ z5l$gw4;yv`pq}lI4EL$#okN>QDb*bKsb`FH3)dSMSELRaX=Gy6xa2@j%e5vHmtCC>Y~OwWpZpvD4^lGK6gY=AI$McVAeuLV)J z0;>Bs?@Hj!QWnq8)jJxR-6@$fZ6dFq0Y_F(hl<4%TWhu9tyws) z!|U%%&1UqqyxDZQP_kx~zwVMon%f~n5wz>8KZzQf%d_D)2=cA$e%#U;n}SHqifZbB zUQp*v&${;xddue|eXSjmn&n#3&34`;e>oL>|pqwJ%c`=klLl#{Mqkdxj&Bad_ z*uJ0^$x>qh9SQVdEl?Y;yIejk__$?{_9p8`597B-#3jp1ipFlUu{dH-nmNNCmYjpB ze#vDTq40I=!46(v67#Ojd5Enzx+?^9&d8(O^5>MBov`;Tq`gKzlzG}uDUNn(Ib9KeSE0_h?go~%>vuuAwN;+2X$eungR1j- zls!Z%HSPqbKSzW1?9Y>T^a@=x9SHle(@=u)H(scXgpGC5WA$7;*~%8U zp_2z&4=+d;`LepGGr*b@_-z)^U;ban$qTS}R zW&f23Rs=ExSXsUfL?AkjL!Rz1S{;@_$#ul;BTaDHNdbN3oeafv#BCm-FkJt7bU%AG z>f4&EFr!CFw(f3zoWMQK3wvjOw%5}PIk{N@~;KcNIYk}Xx;;{HV?`>Jsss{s~@}$awb*|BW_a#y~_Zf zz_KTZKRXV@&l}B>(!MURV3%HTJ#D^Y79x8sG5p6lYR7iiJJtAmeCb~ygKH9<3Y3>O zU;#cUk77VkkSn@vbnv5mJN=|+WLa!j|%?MLVJ$(_?YD+4?e13Y}bx7B@bQxKKwcGzERSBSH+_Apfz z53PD50)$EYqGtRSBbi>rJKv>`wBRrQhf*%hHew|)xL0L)4^!MeK-Wg{o6d>^0A6q6 zU@d=R=QtvO;`ZVSxh-ZEsPOBA5b#aLAV*ifK^EiWmg~K^#;=|HWEZ`!yaZawW~++a zY}M8+SRf@oItPY_k4*8j$mywj&IB&c1Ttm{qPaa}{Sz2LaOG?Gt{m<_)AGIbjHv!SqubJ;yFRS(mSZS*td^LHPueX{pfwxaj z1Io+B@?_0t4EGh>ncms>{U?u~4fnBRyHh%#ui>vPbC$WY?G}*p-1kYGv#5~*tMuO7 zb#FCbiEFqk6HHv~EY)RhX?zRk$W~|d74EMevOr;Oh2BzqTI{21MgYO z^C*oBiH@A*0%CQyDVE9I==$4>%a)XZ6~)g4p0ZlfESy!n28Def0p0Q`PT4~%1L&g5 zMYAqAFDKyp;$UK6odZ5=J#Q*c^~m|h6k7TCOZjZO7q$rS7N@P2xDFqA;U+$En0<=b zhRxdYEkN*27O9=$Y4iLD{TT#}4uT4BPM$ZgaB(boiq0@lrK!kw?6Y>e!ral~0+wsV zwWfQD2iWn*Q$P^h75@APdkv)emynJZ%Az>td-fN&fa39w_SaFW9} zz~T!VXnL^+NU!JsE=T90lqfpz^+I3Gl8QxLLz}~1l-8xU-AIL1_mx0buGv5wnH{d z?%z`+_^&@=mwA1^@T$dKElJcJ?Dp*o(OuQ~R*wrFDqP$gHGJO<7H9>ThN>cD>uPVhs1b4a*QI#KUYV?Q*zRYt z&DIX@rjktp9OF81Uu<=9QOhNUr53z!`(&1qzsbF}gWU$W6Y23eX(#7BR>R~=ZUdLF z1cv!!bb$N*RgbGg2Ln%i?8okw0QZdbG!CuMK6=X@ zpE+aX^gamk?p#-HevHq=iew^?l6pCp!a~O+H=4&)xM?#vknDUZ*Eszir{eikGdQu<-VJ9RH2lpxRqHu|g5Cxq31*BP_3RKc+oy z%n=r#r`Y8)u3GOizK+@1*4Al4Ox)Om1o`rF24YWdR(x`PBN^-CgQL##AV)mrt<;fYQFQBbnF5=j+hPT2;$xAg>F%q zF`At2N46plCPf%to)rTFosYT*R&hCCJi}!(R?jN;m2=lC0Nq!X9!CG@ZTpdzxEXV5 zUDx@joHi%0T?fFLM}KH2OV9Fr&cd3qvm!TV5Y$q(N6s zd$itYwd0Mzl@pvGkLjVeh&dk+^Bg$c9Kz9j7DV{t$&XjAbgt+&Z~T~QshHPUx;ZA! zX4llrLOx67K9KK_v=I&y0QJ~)L5sEi!WFzarGWpr`#3Akm|puSrZ|b+lgjKb&fdRS zG4I}sD#4w(QhiU`lnVC3{fb+U^5%>~Ql;syZ?lC4wgV^5^?S5yw5pXef5OA zFU&`|?)3q}mgAM^o4^HCU?oGiYcDH4rn^I=F)GK5HUUpQK_Cfcg{wA(!dl5|F>poYG_ZwtC+g{;ZE7c3kV&5U3g7y!38?PQS(45_UF0_|^ zM=FO}PDv}dyQH!SlM@DdV!ZHC4=aF*A-@nQ__!9GpbKTxL!o-RK45*;_R z=WsU&tl6J;4m1itQ#SGB`R+qD{Fn^}ll_GXHj7NwP+@YN{7H#PTtD_plz08`-M8TcclX{V?r9%U#QudqmI=)xvLRC7vOMQZGd>e zueSXF7wG8Em16tPPdq8DAvbH)mi{6qWyg4CNgaqQ!<7A5GW{y~?ob1-oU;bsVY+dO zOqm0G>^N59<2&HvXS=di60g;Rg&XP}pEB%hDD8NkM8uS>vct@U8#qG7V}TSI+|%|S zjEKGe(E8wBh7VU%MVQvgk)4j*vnwZvw#m*pm5LF!A5$c^nGU!c>h~$mfbo36am*vc zXB$Wg=M%+jKE_we52^`HyIfnP;O>fyl;tr?QQ|qx-@lh$u??UFayu;YQp`&7tj^Mv z_TJ#Q&Sr~x4=^OhaINJd{>Ljtj2g`Y)?WMTtN3CxTf^pfQ5&k|ZiF;dDIlKyTeGZ?P zVa;J{={2eEnU}#C3rpg@)lLyHutgHWs>CPO?&aQg6WnGCoVt8dc3f9uzlT07(XloH zV&yt%al%Ojb=$OuVKctpg+%^+$S&@Ks*{S1aGWs6Vb9E`2-+t@n*>JGgNcZu zDX)(S){%T23mmY*zOv9r8=VP@&+X~Laxc_sbTM4>ijKF%?Wkj!C( zWc4BmVk7mxiL__gbcv>Dqky|zs@J+%*ayTjbt_thZ9V1-&mR!4eYk}L^jKc!aWGw) z=M`ulA8S}UxPd53?Q~7Tz(~4+IMa7%7%TS6a6xYNywbl;qWd z`RhMj-qQecHOb3Y#!bJ)<+au49eQsDmDFrLSYmfW^BPG)WBT}80$irvCB|(ihOsiB zGBh@^y%weP_y}PD9*>VIH_CN2SPV}Y9r&~#Rs}Bo01IgW=+{4AVgY{0p6!zd$b}#w z<{!I)T;RLUZ&^Fy?wXFfsrA`U_}wg?&^B;w)yag?W+L+ofS!Y@+j>j+0vsn|WhwNE z4K|>6brY|mBM^tp#D)8UmfXrM=8<_x1IXpj)~`mKE$-&R{bCAiaj#uKVp-pA{eQTA zsNBObPixhhzT2jvg2{;=IeVSedekx1ns(j2{*;{05O_&6IxS!8Rd)Q*Z~w^`SSAQ0 zflGMS!#=WZt`6&KJ_)}uxr0}h&HZVuaDw)Lptye+q6(>a)K?Q+Pu1%T`wjQK4M3zv zIs2*!Htzff({(5jrFUytK=e8~M4iS%CxJ&2__x1+i%{k)e~2T$LqK2tcdb+7vT?sS z8SJ+h5x5Cb@-Xe|;6c}Hnr^exXXsC_Q1g>!%7ERN*WcIvP-Ms5G2-jgxYL#w&%>^= zlK{)gHvP!1d1|-RY?|gXmv4qgwY5M-6Z^%b0m*k?1NtoQbYF~{tqc%*|Jw|hbF&NY zK-isFDTV_%=7Fb*2* zH_lUP39kAYmHlff4SXQrGK~?Zv2ovRjK)K(O|}*k!#N(?ziR#psp`ASiaJxQV8UHP z$M1!G9G$&)a7eXCRQk`)mF^3X{Hp<^bSGA3)TFi+aDZ?MIQahotG1vOeZHX4?_$%Q zr&^(7O|z}oBzb^xm~+{NKD5-_tv=%3*shS9TcD}NrrynS@)^*E|j`-J;19Oa;Z zV=TDpQ02O~TReov6d_kLHg0-~jndfV&66IVz7Hf))8p`A&%cYr*P{}4^~(&^$B$a? zNW9=&Ef5bm-(}B2&&wPfQTg0wv4zdXx{yqpn>@cf74egGGpOJ(mo!)r*7?xxc`XX> zeK#`#WKsx8){UuRik*E19ky``SR(==YGAFTIDe+1_|vIC2-5(hC)1xpF${nIl8 z@D(SQ7|N!}DDo|d{@&%a8q-tVq!aNxmZaE~TC2>BF>*|kc1$^wEI-gRMme0* zf;PO~AmOjhXj|2NDeWnjYxrPq!mgaLmG=C*A4N*ji2Lo_w(g+W0_m$e``1H6PITS3 zSzfq@YZs$a)iShH0!{Thv7m!`-#%~#>u)3S?n&qzgF%tqzjDrL;jLWGu~(6XX{RQ(K3xs9N1^Q)RVC;ByTsOXpY9TrVt_ez0A+5~QI1C$5=h zIfvzH+GLN^OT>+3W61n#>8gEi@#d=|yRgPrJV(56t9@(W4m@4zkhS#hZ$#F9n5;fa z-1&A9QEB&7*jcW2dfKo3u=8i6Q#-gE^6sp-1ourb-y8o#-R{^v%M z!&)0ALRYPV#oT)Z72UoU^Jbd35R)gq>Onk%BAls@_gc=>p=tJmHCaSfGQV`gsdvDw z0`d$s-F&aj3!NWfq5a+mt&NDuwLeh8#r49p`;3cvj?)T~4V|KH9}DuFSkZI2EWigR zE0@e@UH?A$0eU-F)Ly8`Kl)xmq2th~mqR!D^Pd{cq%%{uwSzk6R{2~m7xfwyxm3+) zoo@O>dEq4{ap6V5k7!Yf z(^X%ur^X<`lJd({iG?V_&1sW!Nj)`Zx#=CO{Ud=!7~>)4X`>>Az#MXybf3cSw7BR| zgQ@Leji@(|_;_+!UP+rbcpPt;WuTL&x|2%(lJlX6q^)o%!O?D$Rk!7=WKHO}1nXVH zb}<>0fcXK;8^O{-N1jNctrLNN0=hD+*h-%Pb-)32IVf?V`%7$2p%~0|uuwnC1tp0R z{*bj;c;|;5>Q+0gdnUJVz%^@UQkmj~zAQTBmXK3vhWUfPx4^x~<#J1_3X=<5ZkG>s zJ|jEHX0YESXD>l2duLj>yRIK<@ea#s$+CsK=9f;5in?#sXS$|%Yb^1xpHgN!KR<%;S^49)@OR1QoOG>|6j`sUfSCUWb#*?<_Yx<} zGSTFIZX8KMVh^H@uWH&^~Tyxw!5;K-^A6FXo@S^UCkO z1m$9!%JR_b8obOUm%w|yl-hF;guSN>fR18J96Uz3n&w;nS8KArz{7mt7$rh%xtmu&ja)$IBSFwkiLR_%CFi+2jZ(Vh($2 z_<^I%zmR9pmbWRhZ%)9I7STVZeNLB9Z!8IY>GAY-@AKST5U!EBNv?fdj=|m0w23>X z3VWQOdVFr|diQ$U`w6+E>fyRng>&$rq_mdF{i0uk^>V`Ec|f_v`#!X?6NbvIKuI?# zkJzBWAJ3g=oax*oQ&Ai?_d0ylPyV)~&c(UogL`RRn6$0(V6s9LAgc-otLm*rN!QdL>G!c;{1;QVf|HU#+RK z)?U;b>1%ZstBY(-1Qj0X*-XJ5D)s4W3RCIfaYj-0WW1{Ikwv*x2xH#FdN~;(!npg> z9IB4sb)PGaZv4FPQW|?XIU(*8f-`>`gxGPtz^B7an!s+p>1uui)a?C?gKv7x zMPMqj)aL=CN?H+msFcXKI+7b+80WQ>3xn)JBkNo~qB5_$s>u3}k>zblTvaYF4JRuP ziD6D$rX_qIe4bLUFQOFf^|V8{hCeBdf)K@=xR5>krE;UVTg`CigPH)XJ_xP9F1BoM zU?!xE(yM2l%EI_uM`I*k;G5tkeNRE}*}KZSTc`7pmKH1P<9k7mEnY6r_jqOY+- zNRhOCXS+)JE#|SAd?$V+SmPT%vm-c|BHZ-?o!;2u>TZOv+Z2c874f|{gT0R9PhjOX z6S|E<0T^lerLz>j{eq?0kuY-=LrxE2_td0BsWc%gkg!P#fjN)Q3?u9R}aEbVUc=j~9S=nG^hvbmHooNwNe^Ya8W#V%hcJlzErAd5W8)Vg7T>n(_0)H^r1P`oGi0x;iM-_#?^R^_OpJxJ z?NeMXS*9;FzKn%KpAMmHNK}PW*=(2*03zFcEUTT)RQv$Ev&IAj1DC)ty4GWFYx5S!@?EMvqeU~u+LNg_Y4=?>z2T#?OD#iVR zm?!lVmC!6IaJ5hPJ3Y-(|KLe>frv?S6Pm3`nk9{&5>@DxpLf^))zCh(rbhHZ#Vr9i z{H|M0rfAEhetN&OG@4J% zq~}r@h!5=b$oTHCy!KF}|BoiSOyut%JU#I=MsW3QBag&_GTx=>NdHYxcF`&z$moFc zOOj6uXW`kHUkITYbVtNbq`+6v-XKv0la*rbc%`X}6_sQ_9(^vuIQ7F%n(D6jEC3e) z3GFG|9M+yuFndmfJ!|}gjJC~yb5^9|%4j@uwl&9tsn%lXcNUfM-Jnjo0BoLo`6t8z z>@hS(ojQX!2Pe=iCVj#ZCULp0G`qb*G-tR4-Rfi+ioDKWR(TR#;y=zDLGE zZd8GEbc8*gWbbTO_HZTGdhKSJl@$`^9njfcrhhQ@K0#YB9EjNTMzcTdXW=Q2m4FeNrROQ4J@Ncb-1Aq=N1rRHn>P=a0zjMxOPZiL9ViRJhmP zrOm%&EEn4xQ3+F~1dk{@GC$VV@rq{a-F-M}mrBSBJPnQyQa#>Xb2fa^>WF`0bMUm| z>t1t9n5X_RyO*Q*Z-@7yCaRgI)TbhJf&idY9gkIIKWCHhtki~~xAgp2(@t#9Zx@Uu zz37bJ<1a__Y^F~4cAk*P3>N+*1;)D*KB4Ba9)xWeDXYhIKT7Z(%Z)liGooxjwekdY z7$C&S_lC|AncL_$^ZZI8O%3kvc1pRjX_zxg=8`VfAsb?mccELBWlH{zveykw`7-J1 zZuZPPO~TfeW}fa=d9i74d4-{%Nhq1|Aj0H+Nb7OxUaMVRaUymU+V)&Sdz%DN?ePv) zEo*0cZfUVXpc`~|^WIN>Z)tO>3ByHycGOCP^|P&Wf746V^r|Na ztgg`56Lz1e$rKs-4X2iA`rG?xC#m|K#{G8k!6&Is7l0}>nafJ=cyzOHKOs*)P5e7Ma?xm#EVLZ z(M;elR&%oB0FwmnT=F--#6H&t1LLw3vCBnbMYq5%e}%Nsmnz3=A*nltem{cVmQ{&^`^a|z(CB_1@kLE_1Q!5A zOawsD9crQusr(DUy1$Q}_@aH|o5;28J{PvE|9vO&LnY^L@yR_g6$RQiZbyE2qW&Hn z%?qAbKRS@}_x~%Jx}O!yTfHKbXZOjLOovMt_lxf1?WG^cGch-Keh!u58&O9(O-DWG zTJU*^6LEMc?NiO}U=pOAiiQT*(ib91otEqqc*E}OwQiUlqSuw6K#K5UsQshJEQ zea)Vxg<0h=zd@dd$FFveHi|NMEry*x3uzChxd;*l|4VQHnzB5#sG57(#HG8};@uuJgd+cdq@5}<7Ht@GobpRCqiJ^oSwWi?SU;(VN_R`hN zScShjOV5uo+LXi0XSx54zBMbAUbWhTjQd?8Rp^Dutrp?Z1vzJqiCk0h!FI=n0esb$ zmgWf6U>G%uy_B8}{=&{QHZV93dxup5sBu9wHs4NZwBcPhd?Go&GXs@|u}uHvBuoBI z_mZ;0)y16I{0}D$L~O@K!sub}-oh7X{E9UVx#oqllk3foUL*QD*l`tvkDM5`eM>+7 zfY6PVAIAFk-IS%Ixo)&5Sf6|{efFLbuC#Z*DuqGm&Yhp|g^a{=w7v^bw?QY!b1 zSm;RbjclFYKxbpZFZ=K>83gIgcHWD!dy;%weJ(u|yi8UOQkpql>YPHwG(A3SS)z9g zO-Wz`;H0N z_3bUlm~&q9xi;VIVIsej)5^>eby1(|nH_GEBkY*8iNzzhiY+A@Lz&!S<%)oipb=t7 zS=SYD60HauZFWPnbh;6>_}I?|6Vn{mx9!dSw1rWyKMtgcloEVvT!zOu_ozGYQ3Zqy zaTpx1Z~wm-+D7E^`CSNr=md9+V8aXTUPYdqseW56AMKq>eOokp4$>cUey>`#Q4!ba z2>c|UY8dlLF@m(5G|ncBKvr2r7y+7wrWFRCAATJ)S}UL5*NOCrO`F1U39lnnuJ9q0 zJ&8&@ncbY;Ne~9jNvUby_4osv>{_XZR}D4ppZp2wuGcw+dNnh&8TwlXG3rb(7rXt{ z4IzrIhJ5+Q$GTPv?>3%_Wo+kqh~}GB#vi_lb5v0YNez!G2B^gvf6Pne93%jr24@!w zNAIk?7;uBo;B&Lj|7JDVeJXIIeSKizb9sN-)ekvmjo!cBj)jI5l}(t`lIEg|`OS-% zw>MA5J!$bDTFMPRAz6^j%VI0Xrq;WQ) zgu1JKmTw~peCD;pzgN6lmz{AL)k)5RN*-;WQp+oRjE*G0`!Wm4PAXd_pYh|v`KSze zc}Y!&*2TP*;Xn&^&9&bVsutO6o+#*FZrbx(YF6}?M##Qv?6_YUXDyRKl6!_}L=wwT z1PdGQ_>E!Gx#~kBjYII@;4G?Xl3^a^cK0g_@-tAfVrl0ZXr`Aey*nxrP zx8)k)*WNS$R*GhtSk!~FvzUuo4;f()nH(^5iUEFD#Np;n0Esx{_R(GS-e<;NwO?$I zfL+N~DA$WPU9J&eK3IIvXToXf=o_#igbXQaROD97m|t35OeyXkl(!dtr4j*Vzoq+c z6J{;YlTq`Z-)1;F_u7+J_D*?$0gB+uXcd)%<=Ya_8v9ln-_o0B!H7bF+-<%=S|vg5 zYus{+EwdK>Z*C&b2If;Hyhz|iUeX@e*eRYm_MnUS0yy%&4DN2I@v8S6_xgzS-=W|x zW9&ma)(?flUZ=bTH~7S^RHlj}Ce*0$>g(f`TszvsvSDgzCb0g_A=B*Pno5MY8J*P*C_)rwO88%*oq(5s? zkLX^=rmtsg^N8pSqgN&uIgL682)cEe*S9~x?gu2*ap*UNa&#_mtvn3{8>OpCFKb%a zdi7bK)jRlKPXzP16e=IX9+_FeefV%2*2AI2afKGhPp5^zaR#*M=lw}jLPl-*B5?JO z_DC#b2j-2)*m?L2{5?9Cd0Xu zSMlM0Xr}g^Gj9ahb3`l})et~jl{$gby~`Q{c&>sydw*NeG~kdq5z(s4T>J3QxfpPJ zpQO1PFw5kNb^xDUusme<65NOo{7fKxMV4z|VeiVk!N=JNuPE>?vt{p@@>2J?K$HA& zc5z5^1f6aJ1s?j<3_>bq%HFj+%b1RHC6sxQM1S^(z>5rMmsA#YY1nTp+=J0C53eM9 znu9@j0iUKHv~|vOYu}&p!H}LtTKc-2LvLjTQTR@wG(Yy5r~6o(+7@G7r}NUO?6V)L z!J>E`yur?1@k{KAD}^H_+4iR$Xg*>xs9^(e!16&5j2q!zhlp>6UG7zY+fvz?cHi?@ zJ6bR*Lsf{V(;JfEbgxf)5_W;3%Bs%!zK1I&A@y0T!%P;o$WM=P)+|B`CEW{XMX-^MUD({$itiC4>;^L1-ql^~ zcHHr7&cdv7i#dY6P?j1v@hCB=q;rdUGo*8i^8jG%NIsdd&86xyj8Y9!j5{}gm4FEc zs$Pj=Dy0)JyWq7gx4yXtcK-mOot5s~PmeH&7o34`3Mjj=UaP4QPSB?$&~hsFB)w=_ zBu+S69(7sD4bY6l@><4N^IW@aAlqtIgP=Vim%6zV_2t$&j#KT=1qa}XIi*K%y27+R zkmsD)9ssru7r>d@a0iO42>j{D&Z6X3Igy#TB6Bb=0wpnHi)I-h2wrY=iZe9~RxpbS`@atJsXXD(QtJ#qq_Up4o51Mpb| z8-f$KbnJjy?k0(#sC}!$Li7;%4=4f$-%BAyc!%MxBsf27H-NC~lGvDeu5~h?_mqdg z2ur*D3)1iSr6_#N>*;?wsgy9j&K7vZjn+lxiig?10oV*b8b3>QF$NcovJd}J%h?~8 z$dsY9nTaDfU}4rl{X1nI;>YMYv9!{Fddx$$vefD~$`|h(GI~$%HC&^?J2Fv@ax=W@ zb7bWh^3|=iNOEkc&2qv9Ffkn38|w=oy()LsaeKWuK?!P-@oIYf(f5Y0-}v>WhS%=# zifIaMMW!>qH1>PN)&D9EYRRZlp9AWrv#;F!XHR2M!am-(vVNYN;(Lf0VvhePPxIR* z&LqhOv<_r6wR|Ug*om4I!TP_gO;rP>neO5{_6YHAxn3#DGhy1dzp#2Kpv_eNn~NLq z$MAzSK`?si_QRWDS63UAHA@I@x(4`G^j5=$ zsm&5wED@@;=y~(Qa~mU$cf@G*Pp}sQG?PK3T1Kv)cf=|3A*=4bGjT(oShSmJe|+x=dU%#Il@!GD`Ik4 ze=lTb?&Odx?9uOg*gZYg2D_j7Y)Ve)vb!*|aMAleEUOZzeL`v-_o>GNF8Kx0s& z%fm&+YR=MtW+`0dM*l9;cqohMH%sWb+L3>QvBJ}K$%hMQ8RJFZ`PkyJr!lPZ?+thS zHM`3jlm9aJ>L{SCv_oIiIVcc|E0j_2(B2QIxwE zX!UZ{($gt9hjWUds&zcMk5}GJycDp)>B(B=a&)nMZ|UO?x^_WTEWPP_2@He(DbBld z*jw@NaNzH+IT!6GB$mbv8t>SrysiSXS2x_2&*(W3<+vUZH|oizsAj-brRtm6t^niU z?OH?TDo!;mbRUV>;DXi~DL~Jjbzm#~fOJ-04DP<()!V z?!{FOlm$bZ9|b5c%k)xTR~+Lt=z(R*eK%y|QCUeTM-JXT$>KQN_Q(R#o#EQTX0->OwN@WY+p1+zBA+Ncp9bGY7<+Rk=hlBZV|dvh03kH+N=DM&Qiq*B)oqs? ze24d)C0n{QP1)qUZeI;CSIPLN4pDx?`k4=1>#;&7G$cZvJdJ%57Ktkm6Su4-E9)ZJ zl%|x!1KG%^;=!3VBg5gQY|pvO4d$bLmHSW#N(tAgbL394?Qx5p#;YP-`*UYbb}y6{ zD0A9qQq{gn)tXqUgYt}3XE67|QFBA#Y>Dh&+`!W+r~0E%Kx0)n za81y;1}lsiJ`wQ#*@wd5k|IM8Q3Atx#foWIuXa!#4MAcNz)5IzZDwf}%x zau-P79Ug#fvpZa#JXsBe;3 z?qX{cy`wcbE8Q=S8lmj%z2M{PkEmc4(@o z2PUw5ZejFox1FAceac;bQ0Hpqh8Q|dp+w=ZNUCl;;@|lA6Y@ZvjRZJR;k7CEL+0|u zON(094g+_>S|c^8M*!o~gAXQaScP71XjsFOs+%6~yKHNSBny~C>B$;=EXsXf zDGhnIsII%qNZmtZhnG-N%5lQ0iai@}zhZZW8yQXoGzV{Lo*ae@w;Sh6O_4i<`#H;T zLNq<*mQxpefR8^P1csnFRWJ@)5nOdWdA(y*Gj90NdjGhU(@k-LH0F)^vTx$`hRkN& z@aY|B@;jfjO6k){U)vcIqu=_yq!bBtF~!vXaUHTkZ!_?K6N8D>WiZWt;{DM6lSI;G zAuz;ppw(1R^Q_St-%DwN{Fe=JREV~HiR?E7WZdZmBMw@uG86LB73UO7?q~=Or=c@A3zG=tmY^9gX+;y_CnPH z|52GB%#u*2m|EqLw9&8uw-QgxiBUE_ilZUwu6If<7%cK){;C_jzxAq+49qt%(|};4 zYnnN&%)A)N0#a^1^B?Wkejw&341i%M<3`E;`zuduCu%7kedt_IEvL`zOtyqYpnpFf zfzN{D=Q+_5Y1=H8cW?fv;0&qhHA-()jyvxx=g=(Ob0Oywc z{gf2uVMi%wn3C$UUnUhXcfWpGH!=@)1x5A@Q<7^ZLei=CX79bkkHGQhOtXJm^P$Lr zGT_`&lj)mWSwkTaHcqQvk=YSuDDpFM1A`;-I|(`qVvxOPjQ`Jnl<-j}m0nr~^7`K? z#+Q7}!r!rm>6l2xO~rHL<|5>x0Y$TtBRMUnnso@>rlP}e6p5;8!dXU+8MBz`mh3?O zL3OeVd{6|3m1t)y*Z;AMLXj^EZTWf8GQHXfP6lCV6qT3K6@hF8V_kzsJVf96`QrQg zXTpN})*t2B){)^sPh;V`Z|+o)IWhd@gILf-@Mzqs;m)=7hY#xhZ;$-tgDzqgw>+WW z2A))y9PPPt+6wh)(^q4Hk7ZNWZIlnTZXk0 zGWjyPuTWk6iSY{MF`XIK_)Lptc36^at==3E5>}3K14Amh&_}2770{U1e~k1J-!tLh zHD?^^RQ8nP-+bQa#&Es(sLDiJr=iF(kZ5#iJ&Zcd6hU-=$CP^g-Lt}GN7zg)P3}J1 zn3mtuw4ecv`khsA(~d8Ryg0LY<^5HHZi z3D=vxLW;v{4|=J5{hmV5C{~-8;+aprwR#Mv*90jDpH~HVecjMvScZMq<}Uxskct1T ztSclj!^Ih)Gsut=Q*s~8>)ive=(tf_3#5E<4QNdQlj*<8UCH5|`|^|=3=;{h%SQ>n zi-*xGRmY<<&apk9I1(UfI1q~6Qc=pU@_ zKG}ujJs7E`th$&3#s4VCaJ3BIQNnCFAsQ8xPQx(b(T%T@#hbLf!6PATJJ>^URn7x> zs2wVn%RRE_u&TR(8|i4sjBoM-NEOxg|M2za@ld|e|2S^nmqf{4l&vrrM79XgjBS+2 zGE=s(R%9nxleK1KX6)NYSqdq#EBii{P>SqJ)`+O@HS~JDU+>TF^ZWcU_hlaU-1mL1 zb3M;F&vVXYrg}eBHDAPIKl1(8d9faeH*2(BAe&o$TDrU=A|s%Gr1D6SsiDa=92uk z!+#KPZYMas_FE##39P>1_UzF9VsFu(_ul))Z-4aJ!HuIe%QNexs$BJBzWVjv9Xu-k z#3@~&+c}`gST*R6Z5rGa zemp%OsZJR!CB#Y~A>YgehgB5TR1M!<`Pdks(XPQ{z&Gvm@m|=kAx@)_oYQo$Q=P1d(PWx_^r(Z&X$$;sd^0-OWRi^@z zfqrI#G3;yCPqA`n;*ARr4KjvIdw$|*->BJ8@0z{7@pkEk*&F5Fbht^(&qenUt~V^$ z3JDk4&x-c_o$2(=QNPNc!uRQqf7&|FI+xz){}bUIh>u3-f4s@{<}0Tw_VrRQm}r;2%gG{TG;8iXv7)eY zw*r$t58RMO9YValBXvbLnnpf|ySxf+-`V$5QMItun9QKRh~CXlYPo-{_)lSj@>5&- z@ec;G*Tmc`hb6fy)7OlGld~;1`Ulq})22L>d!NgmlnH{QygMBw29ki3_~Fe#Zi3>B ztY(K^^gQd=v*TkS94sdqLMZ8Ck@c%|53OV=fKTuUnSaNMJEof%$?*(DvmlE+?ooek zoFeZBu0V;xk7t@m#JGuJ079j}Td)13KB7OL`HW|lSEaEWw?RWh|DCM$z)EAk3g#rS zs>BL-i_5M*x4~Dfc~ZoaO5-XkaXfP#c=z@QFQl6CDE@1-bgtVt1!?%5F%`E#hBUS_ zqJLVe%}Sh>+n^=l$cLl4nT-d}iq(vxTRqbCP0K#G(ilG$(H<-P-fdEp^v)RnRqGom zBC@jgt(6mwnRP`=nA_?yYwvn8bMzBV^6bDAk@XC8EFK}P0?Ev*o>>#t46KQ#2rUt} zX`D|y@MqCf!i_~FzTv0NRaLhJ_lWBt-)>cUiskDm^jEEAQUsyW_?^`=JoD?XTC7&j zf~$-bxSuC8U-iu5wR-k}!wEvQ>`6n2(|o6!Il@p5p<>m|435`)&GBrQ!Hn+#ukP0q z#^lEw}J)0Ul`UKnP?Ji)eyG-mOVR8{OIHQ*D_bDGrh!ohX zl%IHj^D<|6C!H5?@@+HaDOJ~#ty}~>t@h2uy^^G1`XgR}M*^OF3xCEs=z9_uwM>aO z$Y-Tl%+mB~f2zP+H|n&rEUS@vRl-Dg_NlL}be|4i)emu#$LIMtlmFx)X1-;N&KH^g zF7BM3V(4PJ?DulblGt(*zAHy@m(IHQn|kDUb51RN-C&N*o=>4tD~IK-{IApo7Z!Qn zAM2>q$qTvz5=j*eG2B$o=AL|;>S*JzY>YAXGo=c>@3SLN-0>BkevZylpLM2G{`&9u zOlN<&{^XBr!)QM{;gr`eN%}QuI>JfjMvT+b1Ewss5qJ>=r<>!N43s< zWS)~}c<*d}rl+9%*`C~#q?3sG&u25Z^X-%()M<>n>aK0aRmKcV-AXpAwgM~w6CRlXcad`+OXGxh9rS$aF%7{?}eyK=#X>BZ`HBi2YqE+dUjV!b#D|v9xd}UbUbSg zz^@iA*%!ywxXa|67#Q!cA+pPS>vEZUy}dVYpFa*xmrb9vofE8Ha+Wd8!ayMjyW%ywA?Z5yxL^~%E+WV#TBSOR%B~Jnf_d$*COz)B{R}ebi#u2(gKY}L zib(zDqy3PA4wGEc3;xSmUAn9g*@TbYc9LpTFugKXM9NuT%s_o>$y9Z8_E3OF_66d2 z%I>d}*zo}uPq_$Pk0-pFqD8MB>*1$(KECkfbQT>CuPUQ2b^Ds76{bY1$`9*KDJKTj zpH9DnHSwT|7)+@@J%hqrB=)P-PgU!?q_g8b%D*&M8>b^gD`kCOdf>|E`>a=_-YWo+ zeEXrJN-{zI$F<1^^Lu&88Qm_k;x>@9;qCn6`5Vt0jwHYsLwt#zMGxi!hnMD$+xL6L z)=YZE?@oBddoyU0tC55AUQ8w~-xTXiW zT5j0D`$WQ{RO#&HSWAeO)Fs4s4ne;7-rlp-1eN`-g99Tdn~3!Y_lAyQbHv5|i=qlG zswV^#g>+3$g{@s{trrP*6qUiC!w>LaA!I}J+7D;>q21ccwjqhl&;vLuJC(y;#wGSO z2K{P0=_HtdR<+rmZZyTt2b^Qe*8 zowS0)#!3+m(e_8*k^aPF325VHCj({xr+*Ry@On(N7lxwx9Fc!j2H_MU@f8K>^Hgr} zv^iPs&{4uQ60pM9mvGx}HoV5=w8kf|Wsj9}ZR|dNwk+G@oEx_dt|dxrg3rEnnD!!H zaVt#D+<|9kaq{QZGoHc3*qnE(iEiSEIdGCnkd3Hd8G?@6qa;l-8)U{-(`7fV^F9=n zWlv#|i*TnDt@`~H<=?*>61_62S>nG>1<&XuWybM-bKQjF!n#n!%rjb8XR1!)-` zc9ao(?ZIdz-PS0|J-cR$(G&CibZV`?tDR&TSqW+pVocUhp`Upq-d|S@@(4<5*noZOYE4J>OS zS^Db)($a_-QY3c2{=GSe2~TC=L#C2r$fJUaJa$Z3ei%EwwQd*i z_@`Cv_}aOu=yCB56}RQ zqfM5@bL^Ob!i0OrQ(|kFV9)UN;Z^eNV{`z_sI+@c@8|BMo}Ps#Dc+R!$Ud)k-htPM zu{p`PT1l2YfUecdpT@&Kk$2}y;V^~o^2)rrtw_JL_GDzDuLgQ$vRBQ8uGdU ztuA5pq%l{aNbWA^GuE)@U(O{39(VaUx)xPovkGcmQ`e~F4vNX7zv;zaR-3=SZq&YAj5?w6VXwdE zx0y&j!R0=;@vd@yJM~6!@fN+%1U><%_W&(j>u0tx`kyL8sxDmCWJdFMIJ0 z*0nnizIQfNJb)34hBdf>Rq4Jurv_UI+@U0q)L=#l5H+>N_o}XPt*3W4t5D9VH<(b) zMfdA3mFRwaVU_R~#jaAVTvapx#fnOOL1{l~{YLs2i)44a-WnGMxXc%Nj~UB%c{U2N z6=%`Uj8GF*`Tx+{G3}@3aB7Rtq~&m0-ujpc`+c3gX6s(OVP^0(qxE{W8OIA3YkNOb zY>FuImFg#yguz@ot1X1+M_kWnDw?w}AvdVIQ@Shths3x32#3)QeZ=z@N!cT*&r{-t+6zcjc07W>Hwe ztTKC!yT>xStN4aK|MG^b|LL|j0ni-6{XgvY9LfIUQPI$11~WT7iF6}m{?)d^@Uah% zwd|o#G4W1wnl$~Rt)9wwgEthUjZFqjjZ0|f#fd*z!>8scH06u$Ko}3W!+{&#r+9kt zvnmNW3cjhmA_-q~)?h>PRmXdgD1KRYuGj8oI~QlJU6I8+%zygbuGYh6*G}`x>G^@# zDi*nk{PVuMG@*gr7WBMM*DmzRZ3t3~HmJIawQ`O6?|Y)Yo$N4TO`H}B(riY-On4Z* z9x;{hoV+C{yVH8fsnCPnqCiUX+QME);cd$oITt4|^Uv%jHs+o59$&{LU<$mL69x9! z>_|Np?}&VM-}>HMPQU-t=5h6l`P3i0iJL)&v*#48$A5%zuMx049h2<5Yr6Uatom*FXPoYU_+?d8XiPn0|GmsD@A1D4ASnMi%@ zGQ`T+qtUzgasCcWKCMjCXM$ra9+npnGEXS;MSm1szCB^OQ#8MAibs^!hhouh%w~As zm|aVE^v7#y1Q&do`NjGDX}epzmrPPhAZw(}4`w+hqHW?upBdnLL`err^~rY)m}H%j z&HJgcnpY^58z=dQW%}8Wyh+s2-8DWLO3`9v(JYu8PrxypvHSzZ!*!O6gV3rf!uWHB zrQCHb+)v3lkDj%%dDa^g_4}jg%&{)+Gf$*^e&-Qt&2t*dLOWkKPU3W!$4A8t1pMELS%cGfCA2TWOjyPyt<={-H>z1 z$4+)^9-*+q9_O)Vic7o`2aK3SF0n1~Vo7(0rpAhW3)_R#rT3>ecxyUuf!sptM}SNY zwdR{-6GLr&o$Q)qlelfU=Nt*ja!P7p$w}sFEB5w->@sIJ?{EcJFOxb9Yqm=p8^T5m zF?I7?2gwD?sWFA+rjCz+Cv+lMns1z48lf`D{B#E#)Vb8*|#gwSLPa)?> zuifq4n8=V8n*2F&@b&o*QlIWj@Qw}Vua;D#5~B*$yN0&bk^Mn=HK|YOG1(8lPea$L zH9msmYUu3!03!Z-R&YbH7-~0WEx=j0Ln<2KR9KAZ*=%B4@JE2O>0&|eu3?qdinu@b5 ziCszjb{H{OBFF)o71-noltmxF6nXsbB|ZpdlY6QC(`9`fuL~Ie*1h~@*K3Bcx6N^mgLfXG-KN7Y1w;6BiJc^5fZb0cApxwO4Y#2h2xLu-w%w{qB_`)t%>p$d;mK^rdW_duq<~rpVoT}6(%e(^j zvKbE`rMCHza$l;8Bb-)6i|>q|R&boUC>lVw`Fxy)P@weSd!u$m7L3@J0!Y2+EZh>K zj=b5UX}@XC&NXDK1}X5kZcOY|ILHKN=wVAdy)XPZorvQ!Rm|)okz}q<>_{1xi23Ah z94KJjdztmj%X4=xWvl-gQ0E@*$A|maS8}sF>3`Am{6&W{ol5hFlT?nXQR2>98$YJ| zF3x#e`HB$;)-%c}Ij>kS7I^gdsCX!g z58P$*ALI<r57`$_}a3?=Q%ZLaTM;gmJEn<*42h z&k)fLqeFBXhdd=81Tdbl$HY8IZROD)mwNK5i#1!lyJT0aJt*v?ig-_Utf)${??#?O z1e=DOW0ygoDPmmsVV1Wb4`8gbZvPNp=5kVpnMy;ZpK5n<%2CxTE}dm`_VZLdywhut z!JXe#Dn0%##~aNYx8xkVZPO!uFyL3ixI+TBj`nJ*F_)Y5P+b5uIU2k{m?N$0(rRyP zBDzb~!@qSrUrkeYm|D$luR-YKji!!Vz8DZCYr?rwCW;U3}IluW8??jU)M(iUOf@oTK<5V=a)Wr-%Ycv8c(*0nQo1x#(R8L{&6}QXG z)1vc+u#YjyC6S8)-mjeAg9=Q%AIWIPEmES+w49CIV#U4vK3WEo-TZ&On4z<&!;JSN z^T&^3jKQ%Saz(NmbIHu7uB>>xiaJ-bBq%rfTd(!*x}2wE#=nwlOp9D&Pkiu{iRa@f zRpnN$gUe+TIZlxXx5if!r+H4DmC|aSy+%>mU(?xNcPh-Eo@XP>?_@?7Ju8=7ujwi3 zzv==+kuQK-gu|<*W`#C!AU7yvK2PfIQ1+knS2CaFx>j!fx+y)}%kEz)Zf>^G&ulO0 zR#VFIJL&T5R`}3Tleysxa(7d5{<%q61Gj^TkAM4nMNH+qmti-J>e7A;8}=m3l3Mgf zD5S;<&}oszO;tA0U>wMeK=}Zi@_4xoI9%QSz$sKALcCi5l= z|13&kNK16~d2pd8?0JQ@n5g*}{TM`H>@4{J=M*#u1MWu`mrIB0=apx)kKfT4eo-Gz zV1zxpu=dbRkJwLvsBaoC`gxSP@w5W+sFgeN-tzh420J}(C76J;(&{iz?a*yIrvJAD zQ8h+C0AnQFGF7?g_i@Uu!9Wo>G|Iq^9s=j;FmZ6*uCHXs|zKtK&!W|k|bMT=i=8Ls94C}MZ zlxb$WU+P~fyo-!?lwv!&UOQN!jQ$ok{8*yozT_2qg3)}fXJf&sAL10KEbdDaZP>Y^ zK-;p)c{9qkF1ZdhG4FiVbbEwat)lHMORjwX6yiWW91??9Kfq@|357T@`Ra1lUI<#X zsR4TWGL5+E>H5>SgG9@0HyV<36q$W~RS8zcLQgs;QV;E50ENep+jf%HRIqRHEscYD zy14P_ZF*R_UL0gTA}B;(W{Dbh7j<6+Z!k>3`8j+O5?7&)Mt| z2M+QfBHQe2^A9e@3XP0ONyE|_-FwPLSPKzPXvFcq4 z=aufTc8Z+D%<{}#odpFl@JjRhsF)~GG5LvW^){rkQr`tcHr1XGITfV`jo_u<*(4eU z%gbQk?1o#C)RB{Zbo6>gGYu3AZK@|GZ@%Qm8zfVZ)RgoUz+>N-DMr;m;}(n{-zds__Wfl@wFhIqudo{vcKw~ z!79G@!wh|F)$mH9ub$6(nbDn+{wh>SjVtk3^*sLK%+i0Jz0R_9wHg?{ht_Aj7(Kp{ z-h<38QC1QIp*Zgw-6;ltX`Jc5OZ9hba%IN;yvw*GWV+3p7=c@8I zQroFtFxA+EKgkR}_e-6)=V|-q?z)(c4i&3t)a*qmF>p8xE+ap7XB(R@J~d}foW81l zvg53B>%*-?lej3DZ>t^FFH<>)zV&W=;`A=9g`i-ms<55krB+-NB_;mw!hn&o>}0iX z9UbDXT#`+Wl4*^B#D4sNqo8q0|G~(UR<@crD5r5UhbH&g1ELze)R{Aqqo-8&v}okY z7Ju|a#OX|5KjRBpI(ohEi<_nE&u43vtUYLCUTpetRL9O-$65sJZ^}LMv1#7>%5gG7 zjSgxoLoGGf0ZK5a3!oM8)t-`%9uGEm@(3lqYRQzFw14)}mxr(kjbO18hR)d=uT%pX zA)=`sz~Yo?LudIs`GG)ZlQ*a%2ZSuGml{+~^NxFcRM`5wx?ErTBB9WnBhr=o63<`VU=)}4jK`B)hN_`@Wrcp|`2TX!#uT=9 zqCxeS-W*Sr3!=kK5yRxkT={^FPQatA!Tj;XCoj#eDI)cPw5mdDVKKS7wMA!C zJBI{83q3T6%yx+s6n%7i7DaGT!JXF?Y!5UIi6(P0`5wMKFUZ9bCcw5KfTaUAOrV01 zGx&hHa$->Zd-fyZIqU-Bh3o>j(_}`SyV}cm`)99ym!Ia5-A@9r-QEd`cI_zb)OU@c zaxP5l*7Z$nyXS1=Rd4rNI*)3c?c1qO4)z<@$Hy12``^x3HqGzLjk?Ou52`B(8JL1iPom*-w3k^o@pe&`2w{c&_aDuf8&@u0FM(}5$SL9(?!72P zvi5CN#1=pW%eQ<_!lXa}>c$2o>Bb`R=4iuv1vb%%gRT+>@rf|(Oi+W_lc<7R282F` zD7j8T?57m%?If-qP42Svl=k4Na}5@&eCbNGDhVF>^wd@nQE^?&^Mifaz}Hd>=kBB2 z_Wegs1SHwZJBxNW3>=vJWT`T!e&6kI*l8+z|DibjJL-wOyyV^{1LsEJ$$u^-n|w7* zq|Ln%7Q%cf&tYZctt*@F$Jw6IDZwYxeHcRd3QO&#`ncwB*Lg@^t?`#BCtb^cgmjm= zvTvg;;@BuEXeNxfQMp>8uy6HqnDA=lf(qX2J6C|fY-1hCgNwnG>af>||32Gz|9!L8 z#6XKSQNh6`Yv2UM$Q2)U9(w$p#2N*5t*vf>!45m#lO)~{Q0zD@hS{*aSVDm(v7hw( zdk4B!VMVbU-*&$Q-WT`^DuZ_fn}Z(wF3L+VwzqxdXc=GbqG8q4GP$i2G>z{9Edq_0 zyItX2DwenA-Hm5b|9I9I`t8$p5vzZP9tQrbQxS&s)F@t~B0=KXfL9ar=e1#zNHjg? z@Od0|R$-)#tI8kOET0lJUxZhJeY4oPUu>=){eYlvzyg#^4w_yYkOvOl4c^mV;g+{V zz6{$iJaoLx5Y-YmoACZ6M&CPt^emv^lxhjz1;GEwEgZ5J&4K;LM~aP2+8@wDO$QgTnP188bfy%2z6N0=_s;MjF^kT2_QPFs6M_^~ z@xv|!kP9-0EgBVai{{tWGL4dlOb~RJ3J)(SzIu1aG_tw;R+${!g7sJYmv#j#&#M)N zl6F#9=XZa5o=s^s$T9%~Uu$(uDwRsNxsk*Yr&o6EjP|#_g9R;s+BuPHL`N4wjM?gl*BKJh*s?OEY?}O*!Tlxn8D6uNI|gbtxq`$7`&&u^dm1I>nti;@i%4>kK2EpuySh z#l|%3SNw$&Lxu*codVKV{I0FZ4cB(AAUKg~qTI+{yJ#R9RVo}!O!DU9a}E z;CbF9J6gStw8F0+vkpq*RrIaJcC>nT7%G zw3(~eg3UFVBFdg8gm1Lsm};7UV?A13@5?}9Br$$t@Y-tO!>BMuwS$s&>y=cwB@08; z);Y(c`PQyB#D1yzaL`x5m65$d$^Oct zmo*YMMro#bF3vnV;5E@M$p528gbD35`**Vdz{&gc2kj4)vKlAhPDeLlPkIh~g`0)} zvbGqhuljAXs%GA)qGo=sSXH7Grr?XHztx1b{PEcKHJzMO1?iY0!BvkZes6SMGU$Rr z;kYb%K|C9lb!z>^0CH;B+EdO+5Rdn~lWucn{j9U-V_OrS2{f3PSg6JOriSpxwm$Gb zz((Gx*#BHeMEE|XAop9#F5n;s*P9nfOjCXn}>}RKSSD&$;%H^8thMhH_%n>~CgVa(M|Vh|d!1xcpvbmeyREME|$5n{dv# z0-0l~F*{cjWGHs>Odj>+Q1%4~Kf9*=Qs_c>)Y9KN2frOI$Zfcsy;U5;D=9KEY>aBF zjXdm!Q}TA!&SZu!xiD4Q8+dB>SB1e!ODZ2sU%22thtC%iLpZh89)C5G*w^11fFIyb z$eb4S=aITxlU&o0_|?8VR!4^KF7HZfILY__#d8`us1(`cz_)6U)KA_@ptK+8&_w-^ zgXkafyE`_K#@We?Q!OI5FSp*h-TS`dHu6Kq?YU?@3T2Q*%Ycf<;+#CSou#K>_Uxs~ zQycTo9>5Cu%NmwSn@t`Xh$^KKG`jDKQ z$fF}V&OH)5XQnHtYj#~w7aHwX1vVUUd zgGY}ZEgL&#r9I~C8D)A6@Oi`Gvu$5BR(M*_Z+YhcdSl;lvF0{2|7`=gw~_9sF&D96W5;j$J%3U=qwKUw63&fWEYo|s zlzCV%>HXVOJYf`qt|l$Bl1{WWTq=)>c2!714$qG7M{IKs?B*Ho+r-o)`th!g`OLZ^g(T$gFVEY>n@-BPYKq@_reLr=04WPAz zHtndxq?jp=Ol@ss7RTIzY~Om*jairVsBCW6!0xw*S=afYJ>4oxn{Jd$53D*Y{qDM& zzJ2vm8<+oex*J8W5GVdvcB$u2*sX^#*Qg6qLjx|+{S86>+aO`O)nw+v3IP?!OK}XG z%cua{f&r!I0uRbxVMA`1<=@g(;B^NkNR|T?D0&@+FC>%ib^h*M>B_lg)c5sXG^@_4 z5QfS-cDQP@*2Sy%jDOvje3xFsmaJRxL=KV*T@?#{sq~w@9J!$9Pd9GiuVCUaT+Phi zeqvt(yCaSM6IHWyO0PfOA?V@1+i*UUArXeQg2Yjf7$9-XM85_oHB7!9DubJ$C9y!d zC`i>vUTzqu+kk3KC_1od(+Z+SNffK!yo7Uj1nHVWWiu3ku0Z%fy76~SK10;FVMZbI zjO4LL3|4jt6xd*rfaZ_# zIRB6WdfYB8=`E6%lE^_80X&@sryBxf{lQEWL@rPggBu3wP?p@Vv-Ravu*J~%N~Ay7 zn-W4ErE%#LBu?@;L`!0V6j6|>kd_&cB7PL8!u7Wc5|cz=FD~J(twD-F@APn+0@x|| zzxF?g1>mrBV3z_Nr`v)b&T?J!^%AO-S)UH`fmI22K7p!!AUV0MJglGu)IRw!@i5sP zu&Y3Y;D!SkUelK&2Sw4ACM1EItWRp#Mkv~vEC`T>nRu@Ohz#VG{*Rgo84xvz#?900 zB#bJ|=n+IsxpC7DyitQ`iNLJBk#jvpyVADa7ysz%^r60*{?+7<&;qd2g95<}dCg4p zZWy3~N!1VhFO`2GuQ5<3CGk!JSYw9}G#y!jY9xV@$U_$Of3zIuflFs5FEkBVmt)!? z^F?4?E|6XivnACJjQ?3HiRG)!#WtIWq#z7oagWdDT!!8_f zPz8FT2m-0{Zpfi$Bvz{*kij+6lF>*(Uj2WuS6~bI@po!ltcMbV z3NXMIEqS4-$fIY&p|G9}K(W{U&TTJTBA)@V^20Iyq2O0(tmccE6G=~gNpidj} z@&dsq$!mNd1uYqa2O2)aF&}X@w5?=D`4VHJmz?k3sfXILe>Vx1cHz2Pk?B#%C1RLW9_;K;D2lM{}Ld4!X+(G^NU-5yEHe{OyhW%et zZ>B|n2~i;GkPBb_6<@6JQcH;{Ou-N5ybfWEgMgN|KFBW<+eEd&p5NI}G%Z=h0MbS{ z=Xl7~I>LQ4JLj1vY>+`_A}{heCDFeDXvR6DfDY#z&Im=|K<={kjxFWDq<~7DDT&9) zg8c_^|3VW$z%rzO7B@j6s$pqjJmPGrp$MpmY4lS4C(!%H{kM zmuqlr)b~?hU?cRv z8CpSD!^l1@#wn?9I&TPzKzvc}^l1l01e=@W3SMvC_D9TEtFx0S$SqL`u?K0j+js z;wB>k&q0){LuM~18`D(C^|$+Q2T+5o;?5Y;Z=rYBP|I+4m+1{tHOK5JE0O%?3{gqhpF~hg(~dC ziIbBPD4>8JoMhO8F|>e}9N1()_(J>%KL}&w3DS{m3}}uOQH3F9uZL|q z34-&T!I=d&F&fFUxPn&4%G_N zIKXaDBbJJcVQa{lFbP_mY52U;3<`MjeNJ-l24iReAMmMAaG@@4XfG5EXD1OjhzcUG z#-@EB!;*!?(7O!&b+Du7Vr&LR3s_&c(l)P!{mm*@c{J@W3Zx#K8<9cH4k2+TA1 zU&D@LHB(ZI7`}{*mE$m%M zt<|^MT}k$uG2rHWN_Gd|#68|O|DvnJSW;5!;nwuu&yaUijMx0GT&?2UNW8yq? zrDnW{Xr0$_t>WF)(f z|KTV=nLvMJPxPFdYz9=YX|m~Fa%5ElZDp8>N5sw2ngAZyRT%W(!i{!$cdzC8y}Kakm$*K4NS;h2{sE`j+{@ex|%+XGUY{* zlh7f4%+n}F|G!BDcltjO8u&HpLtFtP{wFs64+l74SqBdA(3}sn%uN=V=3lUa)gnlLcf+HhGsK_ zPW%7Oik%OfUoEN~0O_w1ZOCl5NHrX=)7sCjdra;sp+if9hZkOoEY_5S-Pm$-l zCNIp}s2^FHf@MOiuiP{cfuVJ9Pwux+l2v>asntazI*{i4zCy&4L{EH3KmLRDZ|*?B z`KjtE?yF7ldgru#Hw6al2Y5Gwg9^YtE=K+*!B}Jc5B`Ap9!%;W5&?rIOaC9B?neUm z0bmEPci^C@|BXbzWs{{h;3nhqz|RCVUNYfVC1;Iktw(OIM+>|{sjQt*go#l_tpBu* zf>VU;71@ zy6Lo_ug&Zxg5Tw&GCpzC{tGlI=(vQd!DA8g90^rhYKbrF|LZVgxwh8S~l7W8h0>OJMnVEzh$GVofg0;9Yo#1=tF3aA>QpXlO~V5*#$Px z!Gp2Z={Yz2M|MH2lcWrxT?bKlFq#v@<-X`+oU1Q$EE_%IU!zDPZe~kZL-S(DV~vvm zH+()@;xqJtqXFm7ZuDDMB*H#(evjbF|h=J!~?@TlJ&Om;t};kIsUVp>1Ck`;9f318W` z8x>S(q^6q4_pDSmd_f*BPmKqdB;tGqPte@>ZNrroB0)bOPH|g6;ZZTw#MP zD!~@Tl>W$etr5RrI8r5kL#F+^_1WxZHDltA!APz6m`wXtOsN|-t1FYvM@wKg5OF*p zxmSJJO^e-5gUesySJq4ZCy^a^qxRbx16^JEJWIuhxDq}=i==zTqVE>jqWpY5o18Xm z(xFSyWL@bZI*;v&Dc#9l8gD9C*w1zi%5$~G7IE7z>WtiN zA2M&>@@c>A^D;Lft=cKWdpDcD^umc6?B|B-Z2H>Mmr{i5#NYYQFA6(H0BOcMZ;S z-H$8t5U0}qTZ!ehYF*4 znW`fd(+KgiC2VS`js2U!Hy2*o?j|%*t4G}PZOeZdf0s*Q7>$^^YdU0`|I*AF2ws96 z1k=batQCJ@Xt8f#vCj$s0rY7B^eRJ!%lAV)FR6dYUjTv!=DAv75SZNZ#Hjl z_86O<~X`^&5L zgj%9}+gx6%e)HYSypm$0Tst}=L82CaUVg%UKyQk?xNG{f18yO$Wd9^G#;VpNa`qE@ zP=Lu$t?7_7S+iT&h06BJ*@d66HHPnAQ~{A~4-su~-()C_jP&1pWGL(;mHLr2+r@29 zl1Sku14TgZ;vj&+uE8Oqhhj>n50JisMTN8J3-v*J)pf=+*-vZH`%7@BkP+M-t*8Uk zuQ%7L5$-EP#M_GJe3Xik_p`$4S10FOPK}IVQGCGraQE)qsjV2|_IatO{ZYgA^IIJg zXIg@l+fCAhUrOAq6%Y6jY;y1aXAWoWmz56Gde;8i0Xk&bL$mLg0LXkci7-s+}qc}z5O(6a#<=Cb-Fwy1w%$ca9*Je8PLFS+-qMjZ(r~@K!SPu zt`1qPw%B|5&knqYPpsP21b~G95jhf}SZk^ntW8Ejt!agHJ5Vcd9LhS2Wbg4VN9nmg zK!UBr*a4t}O)An#_mfKZ)8t~ACFeyV#YXc_WXwHyPpczyMq2!-cE7Q5AF&mD7;SLmyJZTCPQTK)@9-WqF-6&@q&@3F$X6JBJsL_j3TYBSf+RWj|E~7lT zWWr|HG)LOkNH_4bqbh<>?*rek3;vi}DhyW=sx`WobnyHkl9@2P z{MXOyd9=6;A-#Dsvmh5E`uMSEe=0P|>v)@+^JBID751$TB*>SDOCfswUSH&C6ogKD z^_2(K4mo9iZoW)%UM?RQ)!}quBV6|6I=no;P0f?=$p?<<=54oEL#ynpvf>o1p#{w` z(2NAHT=edmNDg}UnnDgbKDZa!vLpP01^Q|xGa7n5_oakRyj2`=wbO~|ivnEDMLhWj zh|^7PI)AumB6(%{=UPI(J}#kbkbhc3M{{rKmZs(n5u=n}yhk4X->zTD?+RvRa97{M z1_g`{>ArBmH`@!53U@KynWVmz^x!S%vo#m4*RNyG8F8T}cA_#Qnj051(D$zQUCZe4 zD;Fu9y;PttKOcsAG9yG%{j&9qXRTAn3DY!lJDAjx!yj@oCzATe+q%@q4r)c6Er62q zFL?*9T_`Y#<1VPMP9%(GWM~x>h#2J%;~n2J4GgRWa_0yj( z3X`m+VZZP4O0$3D;(1o7yo#E_zCe{<3%1&*X6X6p-Tx4~a1#?Epl|pJ@!^WFCoFug zEFv^n+m?(D-M(Fv1oekh}D~2hG3@7C)tv#M6KV`cDBkPQQZF6}X>u~;_FDCthaOIo^aa(TI zV&m|)5u+608^w0F)(vkG!m$KEli|+8B!kpIV`gwDP0xnc!LZsvI~AwNfNUPba3HR8h8F(8uK!@87T#g8D_F zpseSgoQ1}fvJ-TrqTs+o^__%2UlY!POUlhW|I6f|cSb^h^CDu{bI`Xx$>r)pjdSzW zGzoN`d%b?=6hFLBJd!3U0*MPuxHehkO3=N34sFg$|JE7rvj zrH6~6)olImevwv#biU0xs{gEq8k(vnR+RTy z1~gjqkr~>O(dl_l;&S#pPoFgi68&Zi`kWo~@Iqy$qi_&$+Ie@XowRzwMK`UL6!;ad z(h;Q9Q$=@IRY5@N-GnC5!)r4JoSutVLrpqSxzQd(os@GT@a&5OGfo|Ma zuTZwbEc1FwcZI&fzI94&TJ)FBG0{KPHE!#+He*E79-!`fgTykoo2=y`Cgk&@xo(^T zqHZafig$R6&w@P3HAPzerJ^-7npo$8>7S|tv9#_5cvTa#9u7Mp$d>~gXg?#{AKM9TKvR59vnKdG z?SkDU^cki|w$KQ=__xd6%O~6a~FO8 zrk%ABK@jdwdLMO>&9PY(-A{d5?{Q>*sN&UPZn*NB7$WS;FB!t!HhTC!UibD08F;yj z!0S3$tP}$5+onHddZlO*m|Ui4Ql43+*wun6ghMfRKYp5j4$oC~!8lDOv!|HK3!A?H z6k?FLYE2sGaDbb;RsGZ?bdTl|Hi`PqbSfgR83mq>hU@Sebf7%*Unstsc?N%uw{@B% zP*ys-QT3E7f~=irqv%rQO!^SjXJ%08jB(yemdO)%U4RAJTmS#aI`4Qmy0`xa5hS{V z=)DKQ>b)H&S&w^A3WUUdx*`3;{6<`IZn0=Y^Xg<@40w9e}m)qIK@sWCV05zz= z^GyaVR~q!{0hcl|e*pco+7p(*Hky#RxvoH;DXh25)b2#eSFx07urc^kRFHY3!`|k% z7f9l|q{@91A8v)6$_8YxKq2$On|YgX^~yK+Qq*3f5~D%mcf)X1j||1Rbi<DFJ6-dqUFg_S~Fq2Nh!s*vQIMR^;-%Sg(; z$iAUBZ8UdJ|BQazvnfir(5>63&UNMIWNo`Y}rx64dAE|MA zAV?^4l^@=S;|t8ag6B$bZwUJl6V`u5kC6pZ4;Xv30|GQ4)yam zKv&#`L06x`MnF;-6(fo-8e-YtsS-E&4iJmLc07mIwFbQKVZm%XzrUGSVAyr<6=+X; zR_=zS+(+O*4S)AQK_iuys_2{}p)6=rymw;2e`jJYP`0%^+4*0lVsWF@jw$FL)?{0pjbB$o*cs#xh@knxb#YcQE&Nlu4L)d2xOVQkE}o`^juzn1e8Q(4kHf6we(XKJDN zi|#%xEHwF>@{-A4qVOn_c|Q=)F1VF16Ige69~XnV{?WLt$m(|SabEgl-k|i?%5O$I1H?VfLr(|B4 z36`P(g$Z?+!2)+_oi1%kO%qh>!xsxyK~KPdCLPS1{c(D0K`5%O4BE_2Jsr@U*|NFr z|3cAkp6I=W#O|Ta#~izLLP?D(ud7G)D3#D()e_Pva{j);F5ahKu`e$1@afj91w9Kh z2LK6GRmRzJ1V_D?D>xjpd+%vX_7#@+#}eWK=0}EX5fEWlBKTr)V9x8-%=k++y!s+MxdhjrEk=M_c75--L4Q^VRkRdf9e- zbFna#CzsM4WAdpj)2wRTCX5D-edT8DIS+|HKSAp}X62!q*sNfQxEpP2-$n>*~bEz?fCfPj7!cQ_6nkPi1GsPu8`56Na# z2m;~|9lx{mfN4zfmzHa(5SQO`d;^%F4ca0_#cUvGzSuS+d&S;7pWem=WyOqi+rPtl zXn)hB0}pJjC=?+4qqxMc9^*h-qWM5ahJf>uhB6JPlHJI7otwFR!^apzaM$Dvn6VjX zK`>vGB0QcA#ew%)r>}ARCSlPlq*j*7#@j@oze*?y73Z8Jr3wb01n^Bse1A3?!tAeTEI3g=ohyeoE6@9b`iACU#yU`&0Qsg{4sCys1KnSN zrRE!q%b`m)fj4EZ9>pQDnF1k+t4d@wcWs}iS`$o>p$;0Lt* zIE!;}gS6=nZ0S;^&UAK)LTz1)o{0BlLU)662Ekdi1*82d6}N4DK%Eg^p@zMH8oXD} z4u8;=0}XkA=YVp+N#Q1f5e<2U`ThfUEk2D>0NG+l<%<$o`lc6Jm%~?DRACokqM3 zs+uVZ`ru>)RoPWpG$5e&-D)&bNEaB=3~?d(GB>ilSR*#_s#yit*Xn@cw!KDlJbi