From cf744a5727610d9671c25e0bedef9025335ae300 Mon Sep 17 00:00:00 2001 From: wittend99 Date: Fri, 17 Aug 2012 20:18:55 +0000 Subject: [PATCH] git-svn-id: https://svn.code.sf.net/p/freetel/code@620 01035d8c-6547-0410-b346-abe4f91aad63 --- fdmdv2/pa_cppbinding_test/Release/.gitignore | 0 fdmdv2/pa_enum/Debug/pa_enum.exe | Bin 1024670 -> 0 bytes fdmdv2/pa_enum/Release/.d | 1 - fdmdv2/pa_enum/Release/libportaudio-2.dll | Bin 361728 -> 0 bytes fdmdv2/pa_enum/Release/libportaudiocpp-0.dll | Bin 270340 -> 0 bytes fdmdv2/pa_enum/Release/pa_enum.exe | Bin 134656 -> 0 bytes fdmdv2/pa_enum/bitmaps/blank.ico | Bin 326 -> 0 bytes fdmdv2/pa_enum/bitmaps/inArrow.ICO | Bin 1078 -> 0 bytes fdmdv2/pa_enum/bitmaps/inArrow16x16.ico | Bin 318 -> 0 bytes fdmdv2/pa_enum/bitmaps/inArrow32x32.ico | Bin 766 -> 0 bytes fdmdv2/pa_enum/bitmaps/outArrow.ICO | Bin 1078 -> 0 bytes fdmdv2/pa_enum/bitmaps/outArrow16x16.ico | Bin 398 -> 0 bytes fdmdv2/pa_enum/bitmaps/outArrow16x16.xpm | 23 - fdmdv2/pa_enum/bitmaps/outArrow32x32.ico | Bin 1050 -> 0 bytes fdmdv2/pa_enum/bitmaps/sample.ico | Bin 1078 -> 0 bytes fdmdv2/pa_enum/bitmaps/small1.ico | Bin 318 -> 0 bytes fdmdv2/pa_enum/bitmaps/small1.xpm | 28 - fdmdv2/pa_enum/bitmaps/toolbrai.ico | Bin 766 -> 0 bytes fdmdv2/pa_enum/bitmaps/toolbrai.xpm | 42 - fdmdv2/pa_enum/bitmaps/toolchar.ico | Bin 766 -> 0 bytes fdmdv2/pa_enum/bitmaps/toolchar.xpm | 42 - fdmdv2/pa_enum/bitmaps/toolchec.ico | Bin 766 -> 0 bytes fdmdv2/pa_enum/bitmaps/toolchec.xpm | 54 - fdmdv2/pa_enum/bitmaps/tooldata.ico | Bin 766 -> 0 bytes fdmdv2/pa_enum/bitmaps/tooldata.xpm | 41 - fdmdv2/pa_enum/bitmaps/toolgame.ico | Bin 766 -> 0 bytes fdmdv2/pa_enum/bitmaps/toolgame.xpm | 41 - fdmdv2/pa_enum/bitmaps/toolnote.ico | Bin 766 -> 0 bytes fdmdv2/pa_enum/bitmaps/toolnote.xpm | 43 - fdmdv2/pa_enum/bitmaps/tooltime.ico | Bin 766 -> 0 bytes fdmdv2/pa_enum/bitmaps/tooltime.xpm | 42 - fdmdv2/pa_enum/bitmaps/tooltodo.ico | Bin 766 -> 0 bytes fdmdv2/pa_enum/bitmaps/tooltodo.xpm | 194 - fdmdv2/pa_enum/bitmaps/toolword.ico | Bin 766 -> 0 bytes fdmdv2/pa_enum/bitmaps/toolword.xpm | 41 - fdmdv2/pa_enum/bitmaps/transparent.ico | Bin 4286 -> 0 bytes fdmdv2/pa_enum/bitmaps/transparent.xpm | 36 - fdmdv2/pa_enum/gui.cpp | 321 -- fdmdv2/pa_enum/gui.fbp | 3488 ------------------ fdmdv2/pa_enum/gui.h | 104 - fdmdv2/pa_enum/gui.py | 332 -- fdmdv2/pa_enum/gui.xrc | 527 --- fdmdv2/pa_enum/main.cpp | 429 --- fdmdv2/pa_enum/main.h | 112 - fdmdv2/pa_enum/pa_enum.mk | 114 - fdmdv2/pa_enum/pa_enum.project | 116 - fdmdv2/pa_enum/pa_enum.txt | 1 - 47 files changed, 6172 deletions(-) create mode 100644 fdmdv2/pa_cppbinding_test/Release/.gitignore delete mode 100644 fdmdv2/pa_enum/Debug/pa_enum.exe delete mode 100644 fdmdv2/pa_enum/Release/.d delete mode 100644 fdmdv2/pa_enum/Release/libportaudio-2.dll delete mode 100644 fdmdv2/pa_enum/Release/libportaudiocpp-0.dll delete mode 100644 fdmdv2/pa_enum/Release/pa_enum.exe delete mode 100644 fdmdv2/pa_enum/bitmaps/blank.ico delete mode 100644 fdmdv2/pa_enum/bitmaps/inArrow.ICO delete mode 100644 fdmdv2/pa_enum/bitmaps/inArrow16x16.ico delete mode 100644 fdmdv2/pa_enum/bitmaps/inArrow32x32.ico delete mode 100644 fdmdv2/pa_enum/bitmaps/outArrow.ICO delete mode 100644 fdmdv2/pa_enum/bitmaps/outArrow16x16.ico delete mode 100644 fdmdv2/pa_enum/bitmaps/outArrow16x16.xpm delete mode 100644 fdmdv2/pa_enum/bitmaps/outArrow32x32.ico delete mode 100644 fdmdv2/pa_enum/bitmaps/sample.ico delete mode 100644 fdmdv2/pa_enum/bitmaps/small1.ico delete mode 100644 fdmdv2/pa_enum/bitmaps/small1.xpm delete mode 100644 fdmdv2/pa_enum/bitmaps/toolbrai.ico delete mode 100644 fdmdv2/pa_enum/bitmaps/toolbrai.xpm delete mode 100644 fdmdv2/pa_enum/bitmaps/toolchar.ico delete mode 100644 fdmdv2/pa_enum/bitmaps/toolchar.xpm delete mode 100644 fdmdv2/pa_enum/bitmaps/toolchec.ico delete mode 100644 fdmdv2/pa_enum/bitmaps/toolchec.xpm delete mode 100644 fdmdv2/pa_enum/bitmaps/tooldata.ico delete mode 100644 fdmdv2/pa_enum/bitmaps/tooldata.xpm delete mode 100644 fdmdv2/pa_enum/bitmaps/toolgame.ico delete mode 100644 fdmdv2/pa_enum/bitmaps/toolgame.xpm delete mode 100644 fdmdv2/pa_enum/bitmaps/toolnote.ico delete mode 100644 fdmdv2/pa_enum/bitmaps/toolnote.xpm delete mode 100644 fdmdv2/pa_enum/bitmaps/tooltime.ico delete mode 100644 fdmdv2/pa_enum/bitmaps/tooltime.xpm delete mode 100644 fdmdv2/pa_enum/bitmaps/tooltodo.ico delete mode 100644 fdmdv2/pa_enum/bitmaps/tooltodo.xpm delete mode 100644 fdmdv2/pa_enum/bitmaps/toolword.ico delete mode 100644 fdmdv2/pa_enum/bitmaps/toolword.xpm delete mode 100644 fdmdv2/pa_enum/bitmaps/transparent.ico delete mode 100644 fdmdv2/pa_enum/bitmaps/transparent.xpm delete mode 100644 fdmdv2/pa_enum/gui.cpp delete mode 100644 fdmdv2/pa_enum/gui.fbp delete mode 100644 fdmdv2/pa_enum/gui.h delete mode 100644 fdmdv2/pa_enum/gui.py delete mode 100644 fdmdv2/pa_enum/gui.xrc delete mode 100644 fdmdv2/pa_enum/main.cpp delete mode 100644 fdmdv2/pa_enum/main.h delete mode 100644 fdmdv2/pa_enum/pa_enum.mk delete mode 100644 fdmdv2/pa_enum/pa_enum.project delete mode 100644 fdmdv2/pa_enum/pa_enum.txt diff --git a/fdmdv2/pa_cppbinding_test/Release/.gitignore b/fdmdv2/pa_cppbinding_test/Release/.gitignore new file mode 100644 index 00000000..e69de29b diff --git a/fdmdv2/pa_enum/Debug/pa_enum.exe b/fdmdv2/pa_enum/Debug/pa_enum.exe deleted file mode 100644 index f2c7699660c58e21b011734d4b2a354dc4436ee9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1024670 zcmeFa4|vv7A3uJ!?oZuiY1LxbGAt%Z^@LKm`*RZ&Q;i-K{n=8artZ~HEZd#d-OV0~ z&?uEc7{X9YjTK>$$0!WLJsajRB(d-7{XXyWxj*;46+O@Q`d!!Wy1rc(=X2iY{r|kr zIq!2m=l*Qul|`zRQp$z@nwpd{PbiHU@%9O0rcIxd zl0AFYHM1vOpE70A%$c)%DU-8OX6MXInLaaR=%u4muAh~e)w6y3cB#TT-K*4ym`>`1 zXS=7XSB_AwJQ>rz{ z^5cmN|57wA5feTBNuev1G0HtfKmJ$$PmfiJEf8*~icv}K78(T9)0dU&!}EbX_#Y`m zwnA^`zlo~X#GaXxe3JkyqJ{?iM@D@?mGD20npoCzwnm&knBefpP!u&`9C`wt=ZwA@ zmNXG%I`Af=Ohf7T=TW^pJtxnZL!dnRUs+^3f#_eJ>Q&Zrx|Yj6gfCSl8kR)jgI`(C zA*03+4g1OytL^x{1w7vjmYYJz@GaZh&>ZiK-rA5A6c-bLmw@s=(RlHL&*;;iIH@%h z_Np5 zO7%o>Z99gU|FPVDXLB|8aumIQHx}iJ7V!FOI}%tw;E{d}(RjTEpV7N_A7XgqDfr(i z;H9DbB^nR*_U^+9&RK%?O5iO;*%hVZAM4lqAMEJu>}QNE^7CWO@f>9T@A3af9>^&C zYIJ^m-_^_73{w6wUsA=;RGzg^x+tnET&ZMDQ)PaA9G>GldQ_h{ zJ(%U!G=zHG3eHDU3o&x9CUQKuRx5gNrH0M`LgBX1frTiNQbWC<&l=_dq(E}oM}t&g zNIW5a0Sp#KXOxAd1-|UXFp8 zWDl7OXON>pw}Ui)UrdLB?@;rXb@1PhvUgd2dBV6ITV3khWgzv9Jog?d63@LGMb77S z&lR0(5#Zc&lL=7iU`otGeKb>FCc5NVNFrcUWhoSFs#{modr$3L($zsl12S|Vk5%zq z3^fXF1O_OnK`3jdX%MMxKp6yrMQoXt6MBx=Y;UUVAKAtt?vu9U$Ts%G0<`(}=BE@m^_i#CDEKRj?CA^$b!;~P^XuIJcOn-Gq1Zsr5%aEf(Ov}AP%Kl zN*9$R`1LzD+G$}5oe3KX-yr3v%M1IahSubv%&8&JM%H(g=-UqZ28*c%w~a@ahxX+V zF{BlaPfY{?mJ&Bfj2DS<*`fi0tBLgn|J$KAk(3~zf&qrR8wP+cE47OGI+Z$-m8vGt z23BgLD3!B`l%g7lSCUdwj8cI-l3-Lzfq8$Wn)^CYZ7-{4WLyu!NwRiFsYw3)S&~dO zub_Z{VL^Yn81*KMA`!tDiquMh#*?WUhp`RZwE%o72)~3oWv}2@ zKSQpe8g$Eq^w2wVfJu=O$Ri8k8d{hVMZpA#mw1Yo!uhFjXmdMb=EK`T^Aq_Q6rqL8 zjBq$+$74LesBzPQle0VMH(ET;S{q$Agl)hOxF0prdoAl761~${Z>m955$Syti)yBK zF33QhaFTZHA>;ittZf|cHDDO@8(E3afcO>>IN;q)?8F`MfDrq*h7>Nj{|d*zOla6{fxssYvA3H3+SHR z#2$(h{MLkDOf}Q9jW>`E%{(Ng{AJIsD-`d9*gHnfe%8xqQyI^7+0bC>}WrvfGkNOc-HW8zKztCB}RJW5~pH92x@!dK{VPXB-X<1Mh$oz!@6D1i$V{atYN;XnZs)+9iiI z=$By7vnyz~81x%CpRry>n_Xco5dqs!!Z8ju)=ZlLXg{Q&>u618PtqegFc)LXwJ@{-)PZ?g28WeP34-g^C+=XuR%qk_gc*F z!D6aG?;?0CbQg=_uy(GU5>ZoPxy^C)3|0Vxe_&&MZ z7fwHpdDEJ!KAQ+&gz1GBX27AlhJG}Y9y(MkfIEwSb^X;V<^>)p~D`IFtkdH zxeUgTiFysH5$L^Gu!4TZ;m|Pf03|+-&}b0+YY4xXY9=%?rboNvum*kWh2jc2I|=%Y zoN?rOztLtS*Ni3SqsCo8BxI5ajjdOS$EYF7V|Hk?V;Y`YjmM5!9pSM9V(al_>lUh! z5WNPu59qY+YAjp9VyeLpyatV&7VU@sD{Ig>$d5Tx-0UACe*o#`H=3S~8ixuYMHwC) zD$X&Hyb9ULjKidhI%LI^*zQG4QJ>4SUTY5PFaVaEPbaL>Wgm7LG`D ziz-d;2mOY87K8~~%CSYX-V65-(LZ282o_Tf@irdJLZ4*W@kX)^XD>Xp7-rM-69>Nq z{YJ(&Fb~|vLetQ#%$;1|CdIMw`HqatDK`jL8H9MW>xj`M_Z1ORTo7T>I3g@_c)6b# z^$M+Wz}(4R9x6~5nd)a8_OgNRqwK!2mMBi$5?Bl>&mbV=+Zk-BRh2-GG6pfV!f#by*UqQ$D%ll zoq8Wm9&&uPbS({upx?-fg9aR*JW{-yp-r>V*pR|na{rkDbKn8MBqU}`A+M4hF6r5i zsYh~39X&fuY^!-#TdeaCpFq0<8uT*`_pE_;rTojW*yIWR{?*j8R5LvrnoK%0^APkQ z2mfUra^Fqjodoudk@G$4WwfadYl*&l4>guYBxJl&@ z?=nRhFzk8Ec-Bymz<_tNp(qB0W877X1J8j2$*rIB8dNXPJgksGKjUyX8n_P!V9qsY zpWvqxelgWdIG%@G^naMCE~Nb1-V6UYftW$fh&AY~(SYV`3i^!}CsQ!^jjoAYGj_HU zJM|jm!n-0m>s+yt^rjm0<~3*$i{h~UZ`Ys)up{75N##&6vKGTf92^7=csDuw&h&Om zYf$cT^pLDUAtZ2-YtZG?BRM6Go{bmVCc-xAS-l1&3G@-HXhA>YaL*d}{glr*CYm(C zpG)|~R5LxB$vQOi5TcF5{pA|e1)Fa6P8xg1$hnq!!f&)0&oyJoC8)7HA|aE^M6>HM zaVa$fyd_STn&Du!h@_vHn!7EF7z=&H)}CbR7OIgDy#{%tZ6=njU@_I;2VR55kE6bD z`XL2mhrI@E!Q#*U=)wLl@~-55GMbG*jU*KjQk0?g#L~hVH1O^SLq~`)UKm3r>NRMr zKm%B?f_}!~&@k{@De-ZH2EAyd!84BVi>YQpH%+=q4=hVs@PBRWbD`rmm7=^ zMmjJe_rgqL?}e!r@Rpl7V4a~m*j{+CbZ;USPU`d@d4*gi(5vpDpky2#ECxPmBD!Ah zg|)yI_QK@HsJ*Zup8{dR7Lk=9qUANYi2f1_0$!8rc;mhBE+kws6&>zg_{uxjeT60c zIHrgz#q=JShiFEQuR?Q}JGsDYd_E^5b2b`;Q3l~J_rmcbh;V~rwc02GpP^L_BKEVF zO9i?Q6LrwfIP7Huucz#SrzHJexLokJ6Miw(%#`$ehV2@%@NoCSuVO6^=ONRX8Ptr( zLxv0oPR?4qzZNYXqz>{MU5mJ8?7R&%%dA3Wsar#QZpz4=Ptzj5Da^fL}en}J_I8ImK~QU(7+!Y`(piMIGr)IClo z9#-P;n#s6_vh$3bqo}j|MjJQRj3xVNDWZjiNXR4;Z3`|GA5+6&MBA=H@R4X6bQzgL zTurn+MXtzM;c#g$amI^S;euPJM)*3~21?s+cao#1W}@wz^k_$=fb6iNZP;WsvanI)?mfL%X+Gz4KJbvUWLWV8Eq2VdRGYL$r;?mJ5C&3_Si;jDP6|$G;Qf%S?VKE*gUM8r6A^3o;nzZK(6w}Io|iSZ&60{`Bo+mL?`VZs(ds!=1` zidaW)wbOA3W{&2D6fPs)_f+00KH*E@4zE=kuXR7yff4C7rm?+7wSc$Ucy0ec(MZGT z=6v%3+=52uK_fGPK6wGfF}c(Q8~D96C8;@!9b+j;+U@3vSCrEJL>@7Vp2?j06T4kMkMerH%ZBP?1;jW@d&%1 z-$?4oVPW)1;hM3f6KdR>L=x~WCNUx|9uVD|ZMmfE$vz^Ey#+8=>I{HFv%P@7K|aeJ zAPP(bt;2I3vALd;CaRJDv_D2jTMue0rke4`vCQ`{{ZZc;%78hk(_V*MVM!+Y!$|7N zJ!N!?M~yu|Nc50L%A44F@|*}m#)`5hu_06o8W&3gw6i>G7L^ zo)IxyfB{FmN(o0iIGPFSMy7BZMgeb)X_?iJm7+;_seUAP#?gQQXdM!o3V$!8J8fO` z)E7@^q5(7?b3!N!`vtzA1R{I%5keqHM1f)=;1f~o2ux%iK;l>7?}wK5%yeLwX#p|a zNBJgQdTP#;hyuk#5Y2Qwm$Adh}Ifw_veEm~b73ltMc zH0P0q^Ds1aVa_9>IG-2hyssH&A`27~Ni^r5t`MEm(Rd7V9uvj6hLS~n0gPs~NS%o+ zP)sDzobNN7$D%QrIggLxyd=yywHaq33ltMcH0SdT=ZR=MfjMV}IWHzZ4-0dqxj9m2 zA`27~Ni^rLkoR*BPD5ii<~$>c^Y=*I#TztLL~cP zY9m}=Ba{ll3PQNoM(75F+QoMDD5~CQSKAQzky_Q?ktS)~_6nnk%+^W*yII5zIVQ1m@AMsZO9CPlmb%w0@fYvK(EcDND%HNgnMj+R3OyeZdbe5 z_~ttNHNe+am@DxaHe{vn90Ew~V4F!L@-a(WW@Coai0THTix1N zI@4xi?oyT!!mBpINFdaf*wyoFd~>_72>99}pNP1{hD;O43_xnHvzh#cj7O8K5QO12 z$x?yzCz5_P$s0C_xw@8UlbGvkt!;?8jMsQ0nEYfJV;9;?%>AzSfuJ=pcf4LBk}{j* z5+V_Y54Oq8jihBZxw(~en+-8Hld=J+on8P=#uwQmlDDyHo{&Y)Show-?s77 z1pjzjM3O+-+mLvH{GJOY`>_(~!MoUIV(y=O3d~lbUzt~<(`^ps_2?Bg#JnQ)0#ZBFX7b)ysGez8x1p+eDmh5%VskKW5L*$4SevBC zCNb~K=-UOFNun^Rv>|ZL15wo+%xk4PY!2qt(tP4@vrW?0 zCYdNA#@Qt1_0B~$#Jp5Fo0yzsGbuk4)l=;1bEs-H@`Ps_o5Ku&{G0<4`rV>7CQsQ+&IOa&Rd%%}s+z-2;gDx@C>6*|;&8o9@_S#9NbLPU z&zz^3!@)r|dA*RIVMD3~l1vPfm?7@^$!^zeqwxV6Qodh&K>HaR8*P3*WYvCcSADi< zbI$Sx@U=4LtYwo8NfId!15&%%WO>}5s`wb=3ve$X4@RhdBsG6Ox7wj{KMdz zBZ0v-zBv}?MUXxkzs$y;FZy({@y+gA2?RSw%6nfw70Pk4{OSOu7M9beG{#+Z^@MHs zw#Mc7&`mb&F!`ewFVp7s71(0$YlBEBTik*oeRmi7!h6}x!$9OM;f<_!ld#}jY@dU% z2#hQVOxP5i@6Q1v+WmM-dGqSsEWCK<`YBR8+4nTtxsEIiOxPUFt()Oi#v4{|xszK_ zm|L^m>d3Odgz{)^A6_WBZRbs@cc+uv;4rslJJgZe0~2;eb1O95DtTk--Rx)pqu#y3jd!B2gt;}_iH@uaOxPRE?Yj%a(rVs-dTX5Avcue( z?LJ3V2PV`+b9=~e3-PAYTkqsX`K(ylY{xk=6qryS&FwP7t${b1-bN?4-ADk1TeDr} z$cDg##%OM>h?^cLD^Heh{pDB36qNZ=1w67BhTqYJ+oU=dSb8{R_rq6k%CpB^HDQ~4 z>qb!4AcK>~co6TPk*2@$lTwCrfm8=9e}OwD=Y)j|;^;gnhduO+kUG|6P1t%xzrC-ERH z!zvX@Vp3vG$`JloR*Fu?`9mCE-oU=civo&P?l$nkFZ<#bZl%!>=tRV1D1%F4B7{HJ z(+L0OEPS61()mk4J%A4~H(hoo@}rOo;F}?`aO}>EI7Dp_aF>}H`8R$ca6q8rt|wB) z0VDhxQ{b1v*ut%FEBGgRx(-VT2AThe@cVz9@!4GVeDIT!5%3ZTaN)fsR2YSTDBMQks53~Ml-a zD0#e)Q%U5npD*O|i5vzDW1~~Wh?z4O+RSKlG3;P0HrlM!OS&_=KpwFRE@!Zqemk1v zWe>b_1J{h0SG5?IkA?RW;Xht?DB+C7!g~pR`$*vo7UAt!oIz~F0*&883fW*0zLtfX zEW&q4;f%$?hYFV>@I-eT%NZ=fgDlP<&f}U9^STz}s$}64h1svy9?EjYV&P*2zjLH; z28-}`7H1Imr;+7G%rFstfQ9>n*-eKO&R8sbhTz`=o({_yEW+<*aRzY#*Nm9Av=~>K z3!@A#3=kCRP?GgKg`hW&Fi(t-nUQBs_z5m39r%&?P83mNSky{luzdOm98;mG|3rc@}WWGy8 z)Fu{HP7Ge1b||a(f_o6Z-vZ|6SX9HEma*B0BDkQu!;j4Oc!&b_5}qLQ8?ab+C|Hdt zAQYP<6LVovHby*iqz8QbZ&?|ZbbJHOt*6zPx;Zqxgjm^1QlXPp*5$G&0QM57=^EBQ z0g**>tUc{O6irTguGOD!5sLn-Bop5RenB*X&n!IFZowqQ3dzlYRaDn+Gzdc45MSW) zPuq#^1GgrT4_vtmi}JKp6?p}Cpnt@%s2=oqC@fkmMi=Q%)c~^8Kq$jyoFc87OgM@ZUb3qAe7cJi&greB5y4o=pSp5Xqq?Zhd@z^fowF8R0Ao|4;6Wv@L+4v z!!(!bhd@zZ1KF$}f*8ud7379ea#!Jpg`XC__R~623l3YOX-t&M^cN1jkIq!YD zl_#|nY8_9lJWV}kxAHs`OReWoE6*(V+O0fw)FA(>OQBXCq#sa~P9O9@eM71ePJ0^A zDZF#`_n@6~5BSOKoRQB1%TCs)?=}Lx6jmv{e>g(8v`?iiK;#bLWpuYRe-HO?dXLn3 zC}4V4qbY4AScT3$8cwHN^Dn0~RX39+k)b?)Rg*&JPto(rL-Yv9j zvG=I|-r|vyn^}C01|5dQz7*Ty=RhnLKZeJ@wAc?MhsASI)fNx!t}R|zUw~c>dRLJX z*JFc3EcrD&`Yz6eHdNea=ZZP)9cU1j{E zX*0-UssE{Zx0YX@CZ9>J(0lmWw@7(!V<6#mSA$0dT(2t>xS&DbRFTiOx@b=e{n8T1 zBlYQx7S5$N(4G^m`OEm6Bb?Z#GQ13VW>f^bNU0Y%+-(6|mw^Ayp9(!w-phmufA z*e?OQ$=lEbJG_mbXd6EI{-Yfq*M3(0OSzX5kq-VY=vh|zNZKtE-E7d+(f6d=LATHh z)Oc3Fo;JU}2S>@@PaJ6G0Lh^j%YPzPY@~xNma_h8P6t~oJ2*KVY_a?nKF=@jVdhU* zl_?W=kE_YQ`WQ!lH#>A$Cv-2OT`)!ggI(YuNy=K>3I)F8i=ji1V1~&}n|6h|5~;U= z#>yM;YtXxi;-%L>;?O|BM36A+o5xdhGfolXfakDgQV0?urK}@K;Ss5&Y$qvi;(!&s zuBGrNmBfH9EQN8p8!2O(Ng+stl*uf`VGEC}OqL?H$e`Uo;^{XyGE&PK2eza)lR^-u z6jAZb3DiHCGJmr}ZUqy)ShD8XRPAW5os+1_YbOg|0(w2b$99vmpoR6+I~8)?r+b*j zuQwFHx0t;(;h*yrV|on#km)$E3QfhCK%uuf0Ayw5QHLX04#CW(_mIv%MCm+MSOSFh z97}E|yllaP;c|kYFN~qT4KW1Kw3}5jFO_DEBhg%oP^kl}WUyjd zQi&!!QmOP90_D&A@);N$-Md6dnMs;EkdRFz!o^9&V=I4yhNQq zDs^i?C3*=#!U*T!DqScl)w4?Gtr`7B-}&++eK)Rx|e* z4M+b?4VybH2SNyXEURSRV$K^&qPZ5K($TDv!D`!*N){|9r;y6as*s+1*ycf2$c$e9sY^gTqxemORYtv(+{B%;n*taHL-SzTPw1X&!`JT zkt@z5fm~xn63y8)PWS~5^XXkNEq{1C>Iis*GA9aMdXE+G)(1Rsm{afgy$wr%9D>p^ zCbMR!unQ6Gt?*V+Tj*otCJANTZRg(MHi|Bi@_hclK0^(5NqK zWU%gl-hWr4TM~>*NTa&zNM<_AEEAdiSSHsZWR{I0T@2Q-B9plMl^5D+nPmA`O6Xmq zSm16EI2;1$#ca^ekO-l(Sg65V2TU@QHW-mY^^EK6`{kY4(6GB}5FmlEywYj;=H<#; zeT;mDM9BXEKgI~!uVK0!RKA{Go$|Yc<&UN4aE)c7&3Vh^woryd2z`)^Hkc*AY}sf% zZ90Xn>SP-|HZhP*Kh}0##d67K6m*~V*2dCXaOVpbGXU>rgAI1ygUZ&kk5hK4Lv~sq zyGK~IIoz2XA)BEQc6Vjj2D=p4E!(Z98K>+G9og>M2K0koJHEyf_kBD~5ml&xR|`|b zR8WR?fulVPd1APhoo0@ky7i(c;97*jPh4ul;o3H$FiDAwPMxAS75AB1 zIss3Dtr1TQ*Rw|EWb1D1OYu!0>eL90j$@4sRu1$g7yccMvLZBcP7LOl?0A+Les5wz zX8k3ki@}N!nSWU(hiR=1mIxUY@KceUhTgTx7aJ%A6vMVfUgOVHw@sI zLBNdyGzq+ifcFZJQ)OVDM!?$yXtL0w2&nIsNqz{-7y^zJ%v@O->n{OthyYFQ^bk&U zYkLZCg>;K_e28zfH{iHG%M?H0)8w&voM}Tz}E$6mZK90SRz2P zPQ(%L0Rfr`{8t>8(l0|C4_g;G7*o#p*0tL?(FYu^P$L0Li%~gA)hhVA1;#4f7h6N1_=UtdJn8W!l zOjEb*RfAMfQB$`{DR)X)E@hdNrBaqixk<_mQf`)Vqmt-!QUYDou6v_wWfWquE$BaLf|Vt*6>wQu9R}V(3eQPui)26 zJzMI#MXpckB~p(U`Z$5Rq&{Ejxl%5b(j)C7q)ae+NSP;PqLfKe9uWU1sc)3BRQT3Q zyG!(nllCzpr%~GHi(m4j+%50}Quhg6wv@S2x}@J03*Iz=r%0(p?nKciPvnk~_Ijaf zkaDcRLsE~I`gp@n;4Uf02;Y5DUm@i(DT}1^2wtPqQ>086eG;XfAZ5IiiDF+rDf>zp z5`7Yd?_#MJNLeK13~3)LWs=aRNx976i5^R(K27S`qQ@%1FOssCz?VtgBlW%F?;eJ~ z@L4J41}XcAKABRcn!50rDCIuUXQ|L_lrl~5cM9G>Qwn^s;FXF#(@bgFrCug@2c%vp z^2Q5Z4=H;|xk=h{1%HE-9x3ak3`yA__>09KK9QFzWs>kskuqM&&C=c=eBz`&Uib`? za;Wg1CiagJ{B%HBzPtyj;qiQjV3fuav!{ z953ZsDK|^GO3LjbcZJj|rQ9gxN`oh5uGm*E`s@=s4oEph_>GWqn3Q`3UkQDc)W=GD zrIh`o94}>q;PsGtUnyruIZ@iv#Q&L6A13v^g4avx1EoxtvRv9nNWF)Y{iIBlvagh7 zf?q9Vm6SD7rigu|Qcjd|sgy&-Pn*P_)l#k%y*G=TQYm*!StWQ%@Uo>GA^ge&Z?V+t zrCuO)pP`rfe9qSi30B>WnU?iq}(9(ZIrS^%6`&5v0CTNB_cN0gua~kx%AL}_Lds=Q z7D<^WG*UIO@-;O>i zkP%Op9rVFHAIS{G;d&|dt+@Fgr|@@mL4!B%_{+F)`R5M9jw;sIuEOF6;NrHN zJD&;i`L8v{si{RTCwK;{g}>APeKp__XoWxH8;d`Gz0IYeIp0N4(92yM5z_vQB;z$b zZ8cxQxkKGL$nRaIZ$phOmtY;afL&y9x);3b?U(cK2>MY8Ho0>7aAC8wm+!ja{n%Rz-dQtmGcj4ba^uo&$D z@80}<9-l9NpUXEZm{CJFYlzmvZM${kY)5|;W|R?!B<6rO?|Z?b@Ux%Rf;x~<<|_b$ z4h1*Uu_@opsi7X1KTJq}O$r_UInK85YY?O4)FL7`H99pT+ev5GUP884;snOn*kiQ^ z{fszkr|*pH*fzDO!Xg;lt4ik&9;8ms>oW);+vb)}Ue-@X+%hR}EYT%S-W46zJ@KFXu^cz;Ih17~-ZsbMB9YPWjv7G;xRgi{TZ-kw>)};tCuQc$yvq zqumR?Xo9T#{qepF^7p6sZp`2B_9a&6pH{(%F1R7l4L9t-?|q9pJm2rviag-^QWw6f z!0^;}7viW1`u9B>e_Fb(2l~9j3&26>tDH%vzFYX)!dhyN`LS?h4GEbq@l340dk+st&i)NmK0tI0mwy1hj}$;>B2D zJB8T3PO$?UYN#tMvH^XGKN7xraRbb!t~?fenku;-Om`J_;RX+RvX)~Q_&hfy7wq+@ z{NI~=C;IpJ;-(fgB@`26c+M|iKkQB%4gGQ(G^P1zTkk!5{xl>ekl-gI^iD(FcJp`9 z1MP=W6z%Z2bo@|_e6geMZv18{I3m@RU(?WZ!vRRXeDr1c^)o`{usL)F4xQ;tY%PUx zcT_HeB14Oov>KS=a}8{p(|SnVPq1a+i8&qWj-6UGgd3(0Z0nm|P?qy!T_?2B?G%Ea znDeu=|AzLTfALnv_|ER|Le6fO0!38l&fl7vM)5BxWke8^<-Q3UdHDQu+*Lb*lMZZq z1+O_(-5W(EDRobkw5@xbwFb)S5oBapPMcuXKA>&`>vTXux#*wE0?E^;b&s!mQJ@v^ zy%x|>g>P^PqBsl@H{u!JOu?UjALqtjtN+k2xD=@A!W1@1{}^%Z-uR6qE&vwMIF5sA zAS367s__59ZRqf`X%=fmH-3gtWUx|SqA`6n=~OtxUC7FrrfM{e?p;>*Xem0OsqSGD z4-?!*WLpu5xj@)01I=ZDE^Om_zQjOm|EioL>IN4D-2FfDb;ZTm!T3Y>IX@co1wbEV z^bQPj7hcS3)2CW{R`5zfsoMj>HLPW2-6z_1zVVB-HpX|}>;x>iIacT<3=UqMIDSLd zp~|Wb%c-rfs8{Hpk=+)I)9Wgy7Kt^!&Kd_`S)yr<6T4~v998!z&KhUPnx8G@G$|9L zOp-ED%2X+PNjXMJkCbDjoG4|wlp~}ZCgo5m$4l8)%7IeGN$HldMslS_Dfde0lJ=0) z4@g-h3*`eRh&IM}^Bc%?&#__fOR50h#5*cHR;WrO%kg7(qI%-pqdY zjiWZtFiI@a&7<4r#pDn_P4VA)kK3$E_nom^cNJr5MXxjf9J-MDHT)}E532e-LOWVY z^2<}XJH(G~_CNvGq@hR&cvDfJds0xqiAgAsLQ_m2G$qi-wJUbe|8FYt}& zYe&Bxi`xXupj!LD$`j|bN9rwF%!X3`t&!)EL8oXV4eNj7PK&U9fi4vA3!y>y!ckN| zBE~3$NIg#+#XUdKDAh1rcaf(=`zg)F!>clB?M0ag(?T%)vRUUI6z>7Nn%v>zk&?NVE@YKE2EdVV_@0A7A4!(;lmVS&AHSVq4h-v}Coh(2}~b?q``0 zOT@CTq;9O*)m{3NE!t*kY|V=rS1ftl)Yyt*Q)3J6G&R=#Uv@O<9x=L22bSp(qhE#t zW^^3nfEhhcg=V%NS=XbT5Z1SCm`-&56-$~;#d?3`gs|@KJ0Yz9F8Ew~|4w8IdhK?s zF@26?msO~c9{Ux%rZk#0g%+m1dQGX|->0q!FEGD%O zAK|%=M%rl|MBRF)x(Ek~n~JCUP1e z$K+gCmlGD#4`CQ(g+XZqN{8nG&qzepMcG%&>*oWJIYBn)wXwf68e=2!n!EW%EoRYu zMhC6C28b5jv6PgO$&tU(yQV(E-gx@8L0rK8its>$LLkFkh;<}BkPf5c0v<{kIbx2N z#=#5+VLS+To%&kgc7NH8vAWDDw37*>X^M4}G>trm#_qQWWg9a5!Asvj! zQwSisJq)%j_`VMPtZfg++BYU`acOcr%$8!9QK;$|XV)P1&Ocd9c1O!5fXMCxq!wh) za>z#7N2wjs21@O)AWOPWpYNc~0FV!@Bm<$acR9xn=qW=)ej#iUD8i8>f}XhHkq_H6 zy)$#8YhcDMnrnji@(m}Q5StjtAa?vCxFBbnuEMy~&}8Zf8h#LxLIQ#rA<~nCXjv3* zs2MMP@JUnCVw`G(NN9tV=TmnnB(AD2ij1s`(W&zl(?Z!m~|{+SYh zzc5nr%My6ZfP2$>VX;l`JJ&D6C!Qt{jvw(kd`6xEEAhGbj0~`SRsmxU;d=^om@fX} z4ITLl*6xQrGzb#{BUA7JpoByEY299qM$wOq3tJOfA&t`FJshPHy=`OL? zk(V!OFF_l}G5X()(;ZO9u^e0ip1KEj{}44!5e0FJy>wio=NKf$KCLUCDG%h{wALbz z#N6erAz$TkaP^`t8H>kw`o*1|z-VHepPGncs{|b6#>1sFL}Z&zbA3}rO@8@6{;glQ zpAjxv0NiqTJE^AkL~jmwvZKVzF=7|gUy^ZPaZzJxInPt zhY=Z#LHs`qMAY&mr(-=;duQeU#K(*!p5vS`mHDv_I35qAX0WJ?B!zb=Q_-(7r_wk? zUo3{P-hB)+d_gFCM{TNALY)a;J7lpV9dbgEa<0W3DRRWG;&%#PJzgmWXdF`BKtpRl z63<-BGrH~gL$tLzMB6vGL`IQnV?T<(a8SM<3PA&!OwiqP

IwL6>3_iLC!s?Ij@UlOxq^nbDi7tH5gZ@1Sl4sD~;aI^AF0fb*H=SZpZRTTlgIb z$mKW>%`aos7He!ADL_Y3vciM>#r87*vbU|k6;;_EkSdws^$$rwwD0<8u0om%QZNdp zQ$i4}(cNg&8vV5nLn3vkMhdHE@J>-Wh;gs#99Pt^v>K%SBWTb)C3}8OO>5vBhlvYq z_Rr$r$&6{!r)zXbRj5StLPQQn0GLa1-ULz$dDJQP-az6lzbuW=Kl_wp6WIaPCSlYI zpUd$Wp)VtN0Cniw8&&O&R<^#vzd|KxrNk9qr!edMvUn2I7wcc$u})8Xi+0Vb6em`W zm~PIGIo#18inAX#g4$vADPlx#%9A6+KeL<2f@G z{)%ketZ}dvKZ>u$vQC-TNGzk(__HR3F@=}m#Xy4cU8R#_sLf*}4oM$gl9+>cyk%?5 zul5Y^Hu`#CGK$Y`txwxsco9pJ(`~wRy^i3*Y5Q(8hj#60YFf1t!Gsr+N5)<{IXsqLo0LZw3@rn!S?$mNk|FLgQrw)LRRJ4jxmofBc7Ov9B%`0s`ProK znNl{+@^Hs#S((;>q~@h#kkUV5P(&3x6c$mM=E&_yg%8_`o;|{9$=6^b?0HwOxb}2k zcR+$JbPRW;UP{I%a|B9`Z~Wtk!C@cSF=(%s%{V|;Th7_T(bC@B!7%fwZk?za4X+uYI{SF7L9AS{2N^Pn~U`M74FCa(2{d(+nee3 znAXH0Z(5YRQruH?^58LhH>F7#?uA>~0&Jcr-S5gB9kB;}fw#wpkpJ;c((vH=ZH;Vq z=vn$+q+jS`1x`KPwqIU>MUj4)0b0SOwZ$Xu@?(L)BVHir0I@lwLJOFSHVu;Sd%ZP+ zr}SA5j%7mTTRN`PB7{fi&lIrI$2GJML7ZZVM+!h?AR`nQSmghS^N&XNua^kfM zt1;2C;^4QJ7g5d6=xNGuZsdrgG2!uRuX)2x;7R>3Y@tpVOz{!U(aZ|?6FT0p0ww`V z_v2AeU9U(HJ~eslyWjJqRG}7k)7l_8#Tz2(P>!8J?!wFPG6}RT+BF|yqsE6YB3&PR zJwjJA$zQ06m}eTflrC=K<{&@uJtu4qxaQV_fCI)8*9uUY1aP%tSPcG(cU@~;p^0u( zT&oPkA)O!dA1be8ooTEvPO2k3JgFQTMn-CW8E*sV&=xwshxaE!;-UL~bS*02Nv+WN zJ-+%ccy9ua;-!W6SB`!kkBmCXKO3~8N!B}9e;j>j{Bw#XV*j9@=!_al@R{^{3FL^r zObo4qqxG9XGY7E~}?}(kPSCokWhfdOhX?94*jb2-Bh3W@QT9|d1dL_xP*) zmpM7+R_xlM8Hfii!erQjvs)Wo$ZpzXhvy!5s5d)E&rLMfU<2Nib0kgIP`QduWAXY$ zJNQppW){RL-^G{@>4Y=12@%O(=Z{06VI0saK$;48D#t8|yEZgB3KQ!Rc}q(?cLJv8 zn#Yhg7NMEv8@|NIe&sRCn_jaVIp+KB*hokDbqIqf7G$mrB!-U1o~!>h{z_ENATnD8 z(%lzNr8n3f_f+2c;GXm`)RJhfJFN!|P3<2d847)hgC0FZ;_G5?3D9v4?GC*bZj91p zo@1})4t=my4-KPRgl|j!?ey&>aA2fw$3l(6^=%TkXy0Cf1mJLe`wnKJD0{wPkkOyr z^*{Oc=C=;zTl60-H0ZyV;bGH%9S9VUThX4Wg@fJP8!mHK5o166DwJn|=X4LqcyWhr zIA~v;;^-?ghjfmD@o*ved>H!OE8+gs>zjsu;(!CWLJh>EK!Z%jWM5){aVL}Q5Xj(T zu6cB-#xG|0nCmH8grg7FS~ol9TBQ%!++*dKOJQ}#c;v%1A6VFjYmW8Z1(7-~&O&D4 zxa(=`{t{k_m`|Zr(0hQ!Yx(aqZSm_{vPWzwqW5=Z9ub%xxQ=(u>y zLgvJ@)AgL_@$~4aCq$kLjDC(avLCYMh_wpmBN4<9MtZM^%zVsh`5VAQPPTBkIo8Yc zREH1b%+K*^IaKWEXUP>v(o}%iR1dmcq+iLf|L)cL$)I&~-tnmF&btvSy-6V?wkTgA z9cCi`W*y|_w7!|a7ZU!)x;lSLgv4{fOiOGNmZ7`*hQYt)dy2H*0e866z zcXo1Z_C-^p6f|`6pG*wjVq43{#{^Br%R1_K&rs?Zy-@L5Dh#h`mDefU;%` za0oRa&n8Z41XSX60JS&rX3)}(kq%t+GtFfr_9pBT7&$#0^KZg4|KlaYR-|qCZE!D0 z(SdjiG7Wp)BEhWJA1o1-Y$%E6Caq_9??LCHtmYVTIG<^`!xtl;1QFXRF-*1{Yly~% zZF{dYLb1-aVqamIHt7NRNXxEJ4%)AAg4se^HcMw-pkWQii-vmV;Fu>Xlr)iUouEex z=_ci;j=76|2IA`^i5R#OWi`%d@o45gBx`i55OX%NZbweYx=<*|`sG~bdc#&jANKKj zY=v#vtCR3Ub;<%wjEZ#Hf=X_YrtiDN(WwHbi113B#&<{M-opW;i&$o%pCqkLEo8S={ylzrs5f}8 zVHZT>i8;lSIQr^ZSmY##q+jgu1aJU!W|wCJzhNL5_aa7!j*+o^5>iPCfOoerzZHI@ zPg8qq2sgu4GoA*Z{w68z-&6)Nc9VEIDUlm>_p`PkqWTi&k)KT1E*vLO&Aa`RNR6L* z)%2HM!`QM|7H)i0MYk^h!bcOsJ}QJ9+eh{EN-9#~xscdw-ReS-0>9~9IN#!OBuSl+ z$6G!0BSPpn3Ofhe*YtZ(JzF=LMh+pGwL*BBwp%Qm|d4bX;+ zI1?0R{-D_74bMfP=b=PPu73}m8Fsyo#vcDU63L^l0XOk^Dz?`4PxIzzfsw9`1%@`c zFH!^B4n0psymP7K%*~!BO^zE~^52kXq=~Yw75~Kr>=BTwceu9%F5u>92pIZ?b-Y!3Si!jAR! z>!&$FD%3=x#5)M)2P+FPKB&%IP=E9%JCt4mjU!Qb7FFH7892&vuE(IK(Ok3_-jCM7 z_`#IyLq5C!6}fpO*iyhEUJQ;y?_;s91F=G7ir!<%T9BQNoYSG)CAM;Q$@lwgju25-V%zT!L3)D?ukT#a-V6^@5sfi8Zb(oameGh>oZiln zZ!Gb&!`TQQWU@5_qH+te@Dv+Hd_8o@SY+j`mCiQx?NRlzaNXn<)>`}=lg?@#zHfR@ zztTFE6}LCfCu2B4J6B0eT`b+im34A)SscT51{*!iAP2qs$R|HFkf42m412GfU$5~q8Gm9F{cMYOO_U&+q&cDpq!rVlx@YhOc@`j|9QA*acx4ggzL zocjnSsf{@7Ph>&(ZY><9cPH!@)~6aKh@Xrej;WEy8*+KxvXCES?m--#1|X&8vapwK zd4Ao{nZ{| z(9O2}Brkp0e|eesz~)yO!dn^u?fBteaEuR7e1`L`rd@nEgEx^BqTC}*k4rjGPor(Q zmg-0V8GH-CdLbC`DzEd#_u9Yu^>#R;Y!#t|}IF-Yw*vdVpeP=KSvHO=fLpon?2#>(N*s(|iT?_?8MZ z(Cmqf=!}W`fL2<9-OE!1k6ysd_{5r?V&(^|6PVLE z(=&6stSJwDONu+!6hn`7fO-JyId*FM3NsFTLO;sTTsN=KH4nL zaq36&Jnn1IPsNxu-H}69!TV1=IC;2AME``v97}YYQo^sJ1P3$Bs)*T#MpLYy@8qN| zzCtm3vp))v=blEB9?ZAC9NwoGzYd2Sz3%C$!oJP&3uMXsMz}Vd{tj46@Q)7yfAS#k zhYte3%fKOYN#1>^nfad{(D&quyuD#KHS2j*uh;n@>P;A41Jfu(<;bF2l?h*iu+2u` za|ag?WOvGJx-R+;{L!D5xQjNM#heD%+g-+=2YfwpylB-iX5OVxexb(=X`V-`xwGG> z6CLvuD}LQ*oHh` z)t!|`-{ir&TBp?6K;qZ9bw@`w)IKZ?`Odo*X3n@5#{is_aIA#qL(@+AfWtSbPP+}x zXArG%OkBZ=C3#SvE!RKccd;fz#Q zCUmI%3?9N8-aMH z;Z_9enwDSbQLFJK{AqhVuu31T>oO+38|LYAtTD=qaw`67YKrOHDLELU`X%3@##wlH zC`NnJi1n%g zD6cRu%p1L|k?K6uuhy_=y13)4q3UF`U9Q`Q#-r?N{T8cwSl`4#oeyHwFl$>Z+MkYv zF%QM6xmF-nEsC*zjZyc+SYO7dM`EmZW7N|z*2^*Kj#iq_d7Z4MW7LTje%H{)`Y1*X zw!Vu|qpXFoYNB;_teR=9i&gWj7h=_t7;8tYS`lOIi6ur#HNqBP5xrG z`m(K6Ia@v4&MF6_odrlmJ8J!)-StHG(Gk}AIjZgmYxx}YOq^9XN9~9s$cJ%bsCjdH ztA38!-9Gu_IqJ{$*7iAS%aPV*K#sHk`Qk`wZ8(xSHFmHb@~K7fR?w$DiMJN|RAW3r zZtGYYL#*EFWHtEImz|P7^{HTIYo|}`>}+lFsgL=&p|e%yQwtL;wBDIOn8gWf>+1>D zzjD;#E|xz>J=BG5{keNhNcpP)umt+;qQ%jPqKj*5?ldb>ERr`_&vZ(97W69RHpI}wZQ_r1f?VP7}p2*Sh?TOZR^VDxAvNdZ?vJT8sTTbH2`zKiy zxvK7@FY0uG*by1#;CF{JbyKx;Ix9cC*lWZ#TkR*NtVo-OUQ+s-lyvy}4@r z$?UN|PPYC4)+x;PiBqi2H>%f9Nq+1`^}{LFiW}9FY1UFe(kwvUPovh))7Z|hPPKlx zQT=+V^~sIumG0KA8`THh3G!uk_Sp8*tW7tm>eG@RzDX_VVHMq^cJ#0c0O?@?@_i3# zJtLKXS9WueY`AW>wYO zI&hQvnx7B!wie&4f_*HsKG26SAML}o?!u&dvs(5K>+PG>Lgxw|<_lYWiD%{HH&)=AFZw3I>eEl>pm33As!9UZ@&XEiNQ|2qGy?-r=a^Xd81^Vyn39&6Pt>NStG>=sq! z;pq6qV?B3^@(*Hbo*HD8-=cQt%4dVDJ8xCL4od#x7PWe?RdccK(BxgWs*i?RuimOwc&%pv@mhdv z@lxws-pLfwFI`~myH)MJfaw}8u-4_psM{{I?nbfWLhE)E)fY1G`wJKGc=umqeG6#u zMafktDlW3#K(Xjz>m>qSY!UGBiy7s`i&?^k;Z_rXTZglVPlsC@7sjZD;nw{qUKnBB zf#RJJ4BR_Hi}-1z^&_BxOOiiB@!TcW+b9|@v0fwKr4|A2yOdGZU&eNlN7Wr}9KPkBpz$YeIU!eGYlJ##C{>c^r@1D#kt0(hd`eCy5 z00{1!!sL%nv9_bOWs3DYia)1VkD*we$-p(4=a4atnbtl47iC#rqo~NTK1T6r76a?E z7-h>;YXdOfood~KqUaiH35xaCFz~r+D&6c8%0+e}`Qnv2e)uca6Rp*qtx} z;YgI2&|=kjU&lNWt9DX4g@0&@r^KK;7XAUqa%Aym*l~dMbHLi*7j%QIE7VBfPse{m z``_iX@$JFtA4g4Bm*S4V&B7|)>WdWf0(C0tV>OI(5J!6J9%>C&6Qr$w?A1tpmAXOd zlms1Poubatb$2RKSV>>wTj1R>*&2_OZ6>F|c_v9Z8kYx7w+5(-9ZXNKrmG9jVte0= z9f*ce)*T(ywdWs*SI@-IV;NCb#T@r$f_e~{PDk}@tc5?y_!>XI8`}|Ze=F%Mh5d3zx^-yQ^u-giDRwexWiaQbT-`&=> z&g$;A)~3$t!M61LXj_7R-PZcNv--2G^u0tunH3JU`S^JCQH=HDaq63x*?6vxwH76*{jv1i)XI7wNd;Z>e3xaFB&k)_d^|tZ z+Il@nZE3xbhYkya@ z^(bQW=+V~F*4li$3LZ<(KObwo1v8H8 zjpyCRk>|cR4nAC%g8yOuh&uu0Kdh_mK^#?YPl3{7*sbbc5)PecV|#Ho%)ImPz?(Fl z$M}DqS3O*oZRIj=@$0&tU7<_Qy8{0};2#S7Gl734@NcC4v($f;vJJwO{x!U+%kckc z@2@;ct(1L#xaI#n{eR(s8Pg|UGiA!vbFR*u*XQ)!Ju_#_P*-0)JL{V1b9`B|ubw)4 z()C$aPoFt;mSV(fPw(AF<6J!V>QRCwcVM}J(J=S-h9lW3>UyyhH7%SCXrw4Nh+r3{&s zGt+mD8kByKdA!s&Eo(LdE~1zjl{IyC)*QI933HbIr}FeOZWcF<&hib>#%ImGV*1R? zS@YDq+`+T{4|`_=80A&v{X5ANLP^`Sr7gC!OD{FBV-K^p+R#a40X^V=A8e6KUR8dh;QRCWGR9x9zblF|D^Zw4g z_nCQSGDC)WeLp4bpL_1T=bZa>?ztb&Gc$wjG6K?hYJIdnR@oPeuEh?O(nD1_ZJ?_= z5c4OdcSU>p!V$V*Ae`u~Y`Ei&%KG^&mDN>MHPZ(MD{Z&ZAk7#^gjdsrnzd#|-EFR> znO?4J>h13d#o&vIb=|0D@ZVjGcbM_*VZ8gWhr2+4SRXbKB40*53a=W)mmr-IOW&RYxyB5A4SNn3;+0>zzRwfGM zmAN~XUhxz4v?+$(0adML;|=8Bv(;PXXkrYhHrnJ@EohhV3=yH zXGV*M5w8@0O3!ggliKBy7u_A`iqSsdk-iqq=b}58e2dnD>8lAh*UhL|qo!Zz5S1oj z2~%CEo2fOwCqbDJ!ba*IK~ks?p4RbA^|Z8w)SGCD^hBTTx42DNs$=Q2#kwVxs@1lp z7b{*5?_%PEXvj`qd5@~UN3EnTqVZ;=<=;9+u9o_+{adN#)vGnpSWh5P8Se{qh1Z0c zyuoNF-g|4JlGLv5%0O%_vk+gCSl_2Ra2hE>SKh+JA6%fNt#_JRA6x4;^li7v8emxq z@VW6sY+WD*zxMO_%fQi^YR>DpXYSl}fk=NSv#7LRONwWcy;(9TzHMNT+AWdlM#P(~ zz(vjPU$X|kmX$Lq&MyB+pIVIn9jJ`qV8cD3$~A#-q(2s#d!eeVye}L}^amoZ>kq}& z>x@val3uO6Ias-ReIgXEq-ujF&bV+4nblzikXBKo=6(Jz`JI6u)~mq_>y`Z4*Ji@j3H3T{$foTg ztRyY_?*RP!$df-V-&Gy7gq{34P)Ql@0+D}^w2j1tJ_>-ST>Y0n#RHZdm&m0((%|p~GH4VC(@dX8*mW$z>|Mhc9#+?{Hw)Fh*JO^%!s zR@bGN-A!<^RW-_%1lEPuYIo7pE5i|Nks4*za+G4LA-6n*no8#r%P2py?Tg!2kXwWO zEN*Nu8}0Nk>-5Z;nSK@irK=wbbtTNGJEJP;9o=lBgUz8xUnpj1qd&7;s|UNJ+=hr8 zJWLyOMh*68?JL!fMgm>wywo72^02dv5o+n_=}#D=7jx^wgU=CD))cgzCelIlqG^($ zovKTE%1rJ~O#`lav_4aE+D1gv+5co7AKb_j`}=&Vmgn?`p^t(QNdqUrX;AqAA2$>} zRR$^~BwqHkPfdod1k*qjr~^LG3@RS+sW!rqoj$ewJ3h4%dKKsfeP9r51e?KDFa&mh zU7+oQKDCE%9~cJZ=r};yp>LrJoILDPyTL*74ug(wQ*Xi%a2%Wjkwer6oQ6J1`RY;X z3=Y6siEIV*WUvdp+liMyM>_Fopq6WpRuBY-p9V*1AL%2{!V6A(6WtOg&qvq{+Q3RM zNL^PEc7r}pj_yIijbJmV_z>+S90EJQY3j3!a1YoAb|XJbcn};0N5Kd<4o-qb_)in6 z$7m0z0Fyx_mM9x(J{ z>P9#Ww(Rq%sp$5BBlOQ+%9`>+>Py~Xa1f zunN?HW3+ENx=#@Lh&O{auoA2S-JlN)f)kX#k#IBE3WmTA5TU*uU>Ecrun!D_gWxbY z3P!+ja1xvb>TT2wRDj8#5^VZ1bt4?Yj;aW&2uDBy-9f@S(tKbibTeTaSP52vZqNq? z!A7tdYz0H$0QKHMxC`t7`@pKt`P3x(aVi)lK9BfO`er5JLE?wO{%?{-I0BA?W5iDq zo(Af1>JKWwA<`!kR)T2~zMr}i)&U=A23vnbeF--ct|YAe5HcVFhQVfV477pMVA6+a zC!zcA5dNd?Bglb`>}@w3!9KZ4EC)LNUE-vbgIs^dkY7dFb-U34&ei{PBije2F~_Sw z9q@rc(zbk@_ejX4kj;(vz8t0Mg%;bG9q+^8e|r|>@qWS*ca+y5MuvPgIQ&j|73;D3w%`5^Om zC$>#_+5YD=X_en+oW=jp58{97ptJqYact1-f7BDq4*_$%0!)^W_+(HCrhzJu?SJY> zYlC+sSOt8<`v?a?GxSEnHo}!)2zm!_{Ld=Vy1_ouhJoAv^pT$Je+EgbcpG&Bm0%PBA}+ zK0#Z-sei!d(eD|5oGn%{9u=Qttl&96f6e^eNI(6bn!kq_hv7$=w~WjGt@F1HzdY9b z-Ao^zpTC*&cU#u{le4|dzbVi7)R{f!=U*juKMkB;KhM5?7Bc@1vtFE^f0^@dI~Tw* z=PG%oT>)f|>tGlAc85Mn-*w6w0J>Q>CH;U69Ucis;M+@jzQ2u>HSbyGH#i7y_TLcs zdq_X{Db{!Jr(Az?o!>X&YyNNDKTqA8-al{Pe6104RYJH}da2p`}B$H54w1I~KB6*~LxApYYxzT+U6@>$N%KVTog zo!(v`e@7%=d@6T*$I0ISc6@_92iOY6`a5CQ|NY2s2Y=4%|Np7`v-9hJ`u^kv~k`p(D^oCFHr^Fz>TTA9T{!ZD53S_g@Eja{jq-jZfVN zoc=lge!%(n1J1u6@aOk_Kms3-{eHlc59NP9KzxY@L`L{{>R&5WY3UbT^w%vtm8PGXoTmT& zRcZQTSEuQ(Uz4WqzBWz&hl{@a`gHnDbhz}n)PKeeX?n?xY5K!c)AW~bPSbC_B~8EY z)-?U`X=(b?x25Ut-=3zMrl)DXdL>nd>u03tyY5QUbF0$y1J!Bz*_mnjrP?%o!R$0W zdrq2;*QM#5ccqRhhnv!L?SeG@-!6LO+v)UQy66`VrPIG* z>6IfMm9%sp^j}qg@n4WXZQ(OqP36QRFo z?L_FGT00SXv9%MSFS2$b^sUxTgq~yVMCkd}PJ~`d)7{bzR22%(Dzt7 z5&AEzod~_#+KJG=wss=4Z=Oo^)l1f9Bz@{@)9F{#r|B+hKf)8Y_9OH>YcoP$urSU4 zahE+jy=E7p7g4V57x0!UICs^72@ZaU4{Z@~pUodR=g}%EE|~ej557+Ydr7wRE%S zJYe|ipuhBGL+^mzez~D{L$_MrCG>+=q|?`0{~+mcJ0EvJ|J?EqK~J|nP3Upfj|p98 z{g}``Z1yTV09|}L)MQ;`VVeMr+??BG+k$Xm+)L>{gu#- z)?W#I=WS_z|Ltjd{~c-iJ$I(*gVtY(>@(J13H=M}uY~?-O`5;a`Y1`?Fe{z@E$go& z{WsQM3H=)Dql8{*eU#82f3-@reV+AElK#{^>GVy$G~GTwO&@MZ(-&HQC9>aj(c7)> zlJuWHX!!R)ue0MQ^ncoM5&BWvw?dDzzFp{d+V%?lOL=iZX0 zzi9Ogk{+`BLO*3~M(B@OI}!TVR*%q^TYC_ChF!~qexubd^!x0%3;k(3)P5uqQp z^Frt&*8YY5y=|k=oz|X(97Gn$ni7oo?kF!Uzq$85ULEjE1%^p9=2(6d^UmKFLb z7yWA&{ce}+FI;r>%Czhwmfnn>hI>uA(C>HA|L&q+wM(FvUGU@yH!ol5T9^EdXr(c+++g$0b6VmBBUG#{H-r}NtE_%OFVax*d0=M_lRqT30m7bfGs`dOJK#!zNwmH`u+3(8qV1banpk|9B5f zvNiC$X_@kDDDzxX?)7f=nDJrBR@qh2SxkXa;N8WC+(K&EKF|IU^2;eG+o~7DDK6v z%iGwWguAKh6+1-DE!p5td^4P|u`~AMN z%T>ZE{Wux9Uc%IPwb9r2o9-E_jVIoiOip&ob`&-*4k5=eE?s8y>~)oT3JxMyOy8WS zPbN90rOWddSRQjn=2)t478cgN-47SnFP+G7{7IL$tg!ORdx|UX^gYGd_K_mxh8Gl9 z-u5Epn%|b~?+feCRre>87rDowr7*cV`bKc;W2Y$~qaKJ2HM_MT>b6eD+FZZbKKcBba>U}62cV@)#2 zkv}bWe_?W)k;~jK6eicq&jnoIE>AB~WA8J{ij)(7dM@QvQa9=U4gO^EA$L6=V9i)m z*qX74G0bJNW34r6aWZ+ayUcqFo0G?1pG?-e<@yR6iwgFbUv$frd`=6FRnJ|>6|-in zLhfAJS4rJuEE?;QNv>4VHoEAs(uWG2zw}KpV{x!EnY_+j<^zTG>5)0fB-cghx~?ru zuKX><_343NGI^D|y!OJ%^S$ZZ)~QO$kg+IhOeVSZO1E#xW2LJL9E(n5m%C+4URRL4 z9cxG?xrR!YSu$VCNqyum-x}bYG4^mKdJ;bGFI;QD8s>9LdcQrE%}+&#tRpG^T2fz7 z-FLGuyT>i}rozT&Gv$qU%QY0{3)<+bbJ-(H9}1>Fz-P1E<&~I&<5>N&kM*(AEmz=q zb6z}|l=V5)pKmCvU$*1V&&7v0?Mw6}lUy~X%PVOrX#DnKv&HOpD)QKDzWx+jmAWPv z_toxtKKR%Lk9(geE%Cij|CRc$>eVuzj!LUTY=B3l>9%s-iH$Kw9oa*af3C7l9}o3r z`Mz*rV_J2Na!y@aqsb(XWHQ>>Q)oLWvsl?W%FQ@W%Gup-3eGn+P9JT=4lj4td1+zu zx|TAFvHR1=6?5LIWZ%r=gmmAoC~PeId`0>n$}6UQ+aksJ$v(zk#w6u`3OtW=6e+in z^FU_%3hU1UMYgY!@`~A`dD(*&a~^1ZZE@?s&WEz+Lt*{WM|tP6uXpBOCH;B3d))2a z-B|N>5V=d;a*GR_w?W3Cn05RB{ZfqF$ZL{G-4^GZ-BwtCuH?*8OnFDTv+cUDeyIu< zXP;_bGFjmsht|T{w+Xpo+Bc=haZp9dbRdxq}&kulFap~u>S2EwVx@hp5@3DW9R$X&t%@m7gpZERmIuQG~|k@ z=Z+%f&al78+#eR!zP;R66;sds$nk1PdLPtp#=THG-+6a3d9T>H_b0y8UJ5(^i!t`$ z&U!o*d0vr8m%qNS_U;SiK0l6CZ|6>+jXR}IsrMvTy}8SXr>_L`c}%L7Z>~GKhPi_Y zimqucT@RkyGt3cmN8s&rmB0A0 z($Xh9cE*@{fI{r<)NRRRzv$ZO(zTSfyg&WycdU9j_OqLIEs=itrAya+%m-VmLiOxL zk6!own5n1aUZZF%Jx)JKKR+k+8TZo+zfuxD_qNT$#~cz}Q(U?pp`SJvRwt*A&d}ey z_M^NrU3z3q-(mEOWxIR%Vc%SL(PQay5{HCn(|EjR^r*JmVWo6m!SoK!O z{m<09{H|njoz(ljta`64tlqV)x%Vbx^_yc$$5<=$xUF%OFVAz{ly>%G^}&8@=oZ?L zTH{t1woXlAADGKujaA-Z_HpNOey^lz(jR-6H?kh5>dBfmV7htCXPt+UE#^$U8M$10 z$Fau8vFl3CF1=LQyTLU+A;xD6pDgDHDI>wNNc}9^#tHJS*-n}BIKSvJw-i_ANo>j~ zbF8u4!&%}wsgyJC3VfE-%H4V~XMi2&Xmd_Kww^3+2?~(#!>b;h4$MZ zWfaqId+G0D`fby##mPuhAe)J=IIqc#=^r(_|sVQ!M)bT)ZYkW85<=PvJ)o#ZwPTrqPzFqq9!k_t4 z~*Sq>k=1_rq&>{A~((kE$ zF7UZQ1#)d}xe{|>J(evFV^im{{}Y=LY%9_hgGI`fv3Dz`Uyc=NKbw%tWd~#R=RD3{ z4eoJxq_8z>m^G^yxo+f&sb?)~x}N{eUa`REl9Q3kH6O?FCC>bq$C@6cf4p~P&5u=Q zUl$y^J9K+-_1@Q!+Xs#{p3TS=XWbt!E6ffKaP}|eY_yy5irI@siY#wlk#Z-O z6*mrhxzEZx`xVxoN0G~AuVan-M&yc_S0^VYlYE>q?Z0D%)wA-N;_TXsT(0&NIv=O_ zlF7|7A77g_AJ-Q+A9+4r%-;SKc2JC+cg`$M?sT5L@mO|{U|!|gJB=lGx=1@OFS5L2 zlvj*D-%o!Qb01$xd71OEurpnEk>fY~x~%sp))Y3cf^Egsa~^WVjQa^bUr|iI94S(6 zOObL3HvII9B-`$lu`huLXVvZyNSf%(xsc z(hfTD%f-CcR#v3k)FS14Mamt%y14e8C{k`(k#a*t%55l8?#Qch_sdxGqY9grJ@SSp zUP*Rx5b*k4^Wy>YZf@zT8`3Z5!YlV2E5XjMzmi;3ip+#xrO)T>a_>}HQ|eqi!8g|2 z+V#>aIrltL_j%yM1?0Ocq zS2)I*pRa>S+shjYlRJpqr;VM|Q+#vEXVJz&KZkUPXSv1P$?e1rrTkQx1%B3LOObMAe2$|SdmHAi zldlL$*K?q-v5?P66;oc{tBbRlowwvZBabx?Tahc~4xsM(;?6457>8osIh64$M(#M{ zP>kI4>xygN9_|h^J`3}f!t6yA*`Jj~woer)H^SX!G5vXpe!1N}j|x27o|$rP{aHyF z;Yb}@OIE&KHyb9GdmAYtMt@(A0<%_nwCU<+rk~?!#_Ig=Z zzaQdWu$X)AJ+~IO=MEy5Yd=tEAIm!my)s^_Tz%Zgcr8tj*I0ce&qQ+7VJx|w>`5~B z;iiuZt^3PW$>c_<`^Q~%7h8KUUH3wD&AT|6e7)%Ul1mrweXdSF!XI;vZ>2q%`|iU0 z^d#gODLXaCOMF^ztp3|~N7g&ms|qXc2@Vi=v8OWX`Hq78^;YES+;W9J<1A8cCHH^D z)bluU#nf{!kK9;mu=9>X-+#T5?52Obzi_R=@?CK4=5C}=J*TcnCO3$l-@Ek4`{b`L z?2cs{^2MBAn|Y_C7(b#YuNeP2k7r7Y-S$+{Qji_)My{B3HBzM9mLk{H?N=7}Y<357 zGu{1C;CK5qBUjA0mzO7#x4O&gD$GyrnV7wQD{TMkyr;Q^{e$>tZ_;Jw`aR9%?$}A8%GytlrOWXPo2b`2 zq+WNqbhR^|?T4SnS|5h~<(1?N=>TVc_E2HtvlY2q?|+X~-ocZvB>nF4O3aJnW66y? z_e#!nTKZ`o_$~Rl&X!~Kqhl|hLVhWFyp67Y?2vo*K!&{te+M`M|C@!s!^Lmj176`4 zkbB-u;KseLBqLPN8+7rnw$I??`%axN$bnbtT*00H17FPEE10p7{3`N$$#m-KH z2>Jg?=zZ?jX`5Y+Z9JPcT5Xf)s`--T{r=f`+u%J7??giHf4F$D-;x(I&z48vIXe7G z@)p9BeU;wmkyc2#r%3~{uzDBUH(dl23y`QGAxB=p|;n{<1agQZV- zQVo*v5xu?xuO!D+z`NST`>=j)GJKJ1fTS-slqhxOk^Ijgx08P%`MKVu6#4z+-$}mE zsdg0j9J21J;y#;on02F=dxe#(r@7>G-Kl;)Kj`?IO8n}h@Ok(CHe(D*-dNcFVGrx1 zp6iakaXs&q?i;K8Gfw#jiYtE;^8YjCC#e5+Y5x&d`LDzFA1|!^`;Nbo^Ij*P|Er`d z8Ji*QW%~(J_9b^YYYN-@$^4P=tKz+k_Yr#k$yImJ<66Y>)kEqa*o=-R2vhYac}zQ{ zd~%hrirg;lD_0Yy702J?(#~^httLy z_Xy7u9?y__^sF|@GNEmBD$iwge-a<7jDy^7yvAL|7~lQil(Col%tFhMJCt1OyA(TC z{eqN}Ypg`}{NMlIJ+S348zB2Pe0z!7b(G)Aa;25uQKF8LcG{H|A#D=BAJY2mwCpC* zx=HJFrJW>gkhFcSv=cQY>IiAM{tk@r8${p?sQ5X*cLe5vHn0jLz$UOA$lq?l1K=3= z4LAeJ+4NO{8qf$7?=gWO*a&uj1EBIaWr9wy5o`x1!IWQ99!P+_;4nA=8h-;X*bMf9 z5m514bc0o3E7%7{z@!r%)eI6~3)l&U!OOt=J8S}UgKgjdDF0{15zGVKU>n#E&VbtA z)0bcf90lqmzjFx^Uya zRI<@;1)ITMa1u=U1LFd=fCJzZnEFTT4QvDlzz9(Pjy&*zRbUg?2@Zi%VA5&Y0y;nf zYzKS6A#es%{Rd+Nc7TK6WuX3(@UC8`x9z;191RFsyeMz9$i0;j-KFMOa6Yy-pKB$#>u>0l$+2@Ze}P&Tea zRe~zu107%^*an8d2~aVfe9!>~!FF&6oCK4~N>npg1$Kgc;3zl&d=roX5wHnt2M56k z;JuJKfjY1fYy>;OA#ehePo#f98`uDLfkWUVs3@nOz)G+g>;i|tNigXmbb=t*40eOV z;1rm8F?|3MUtkz-}-MMu57Uwt-b(8`upFf|o)0By@w7U<()qXTZEG&;_=DJ>UrNUdgzC zm0%Ot1rCGLV9Hh428e)dU>KYPQzv5^U?(^N)T^iqSP8a(VQ>ccuBLuq6W9Yr!1QZ~ zgFWCFD4#;Vfe1JNPJqeRGG<^i*bh#Fs_RGtePA2d3yy%(pz?a^2_j%K*awb*Ghj+3 z^#H5DMz8}6gX5t52K0gsFbKAT1EB0i`VVx1O<)%|1Wth|H!)^l2pj}21Mk!lH4U_a z1Q-JQ!3Ze3nKD2lSP3FvBiIIZf&Jhxcp01q<+sotPzTyTH`oYOeDC2_j%8*ar@QW8frEx4{ppKqKe`8^8{*4;%u= zz;SQ}RNRhDfI83yy1@n@f9LlG=l2HZ_Xg+p2Iuz%_}lY)gY$cX^LvBT-k?;q1^f#` ziN?^HKz}6B(%aV$y)N7p;{G}_Z)txboq0hjPk0-m{k;h_E+eg_cTJSvg0X4M(RiZ1 zFKigulT64=*UXpNwEIG_csSatF3XH}ga#Ap3Q23}4JX2ZNcc@5b)}BS7er${fkbP>`V*~#iEPt#yH z(H4t#h2n9w$wL=4M0V0qA}Otbc%ms5i^i0?w1oKLXs|yLYKAESi>jA2 zQBfZZ#zc*JgQT=40`a|jq-uuFo7Kl)f1Z`I8OHRt``a`kxO3hJz|JqQZCqPfd z*2dLh;(dO9sCQjBMhB^-CcdU88l)e)h{Zz*f1t0=pIF~V%3mvgSBSpi*`3E9j;>bf z5syFGtCK$F5oRN~uq3dW!BI;}7!b|hUcx{`qFqY8v1Cmwgs$}^YX%q~sAo$0V&UGz z8l|2q!RorY12MH%D0=bvl6ZJ+Zy=)7H%j7(SXWOU?|hf6TjNOlN6A1}JQAYrN?ok{ z%Xv^E^4!~APvfu4{*tj$%eB`;UbCzbz!C5zfuw+yr= zs;i|s{zNPgPQ+UVn%V>W(=;p8)0bG^#FtopW=!7M)NXB}8|Gh>pjRtss215@rs9n{ zerc)XpS9RnARcOZ=pm)%kHt$7ZHoq$Eo!gUuLd*~zz=hcFyC7MuY7;!gJbcTW~n!f z#TRIox+;GGd`=y&DU-fRRL@!+h=;rUm_{hjv$}sxOIP-obu~3L)JS)$>&``{(a3yn zf-a{n9F6-aDpV^|*bJZgL9RU8=5Lq#ALzJmNp+Rq-!(WGSRGzhT|Ean5{Sq3WYR|1 zq`o(ruLhZTcr>f}eh%Jhzkgj%4(7kO$p1hv(k9JTk3jbhJf!~WBB@Nk-`5`t`I+(J zDkOgg>1ziE{eAf2D03~GSnpp~g>7S>)l~z7OMC0%@lY($wx}!IUghV187-wgmp304 z@}Flst7`@Z7vm2DYeVy+gUc4x4Ggv?nC)w^%Saos70lP@KsXHlD_;2T9vH--`3D9Y zqP^={!>ha65;0nF?*;w`YS1O!UmuCI^q|l<-&kB4dC@rk19JujaqzMAZPnGyElb)v z>X$S$`E_->M7<1Y=uyRVK?o!JdoO(N9fs)wtF%NC)qEsAGawrDohW(f+nwa@fN zmMyBT9vH;uhJ%4bG}c~AW4DPdi0)P#&3wmDnrO|U(E1MTJk>|Cd901?cBeNaVv*{q zmR{-bmPDwhDWdECqih~+h}x{xkF)b~wrf;lvfJTmx>7&O);WiD$kxZjsOvV8&0E(H z2?b&dld0eC3vJ&lVOf#BVLrCG`>L(okLPJyw`>vpB^^kgF<#$E%OygqqtS=Or=?9M z$N12slz}Pr&l7FAvYV(+Bx0^UyMwu;$4m00&s}+UW#{GW&s0IV>oM9yRLjLuCZ<#0 zyMBIuA`$I11~By!o4!C+C+VQ-s>bNT@VZcQDAL#DYSU_UOAcN}W^t&ue|{j=)J6wd zTB%!e^L9j|5r@~(TtSWItwAsQmqdSDb4$fjQ8i;U|IEfvBGA?CRArsID;G~x@|X89 zb3*Z^Hs+PYm8#Ccn<`(%$lVQC-OSPawM%I!?s!wUZIPZ=jiY#(I5@k7 zqtcBXz0pZu7z+o*UB#F%Vg8s)pLX)J=ae}%lbtG^$_TV{$=(ltK8nXN{BU?RO5Rgp z?X)e>8^VO*At(P_W~EX;a`Y@?lUmcrps@j9VV5=IotN4&bp6HQ$fU=2={RkXqL!|H zNVd0IbMmGgLTp*v3qVAi{U01X+Ad|qG2?Q2RJs|LNmodlSiG9nCG472 zUB!;P9~%wzCUo;OMIEoq!CPaGCWd>NEOR2KDs%A8YK&q|4UsSmje$gKKgywQAj z!#6*)CK{vfQWg5nWINX4Ho{$EAvq_>SyvCeqfqLOS6N-gRhfl>%~3<3FToa0JGkk& zcx02*$e}s9R`cAMiw7%N9MH4MI02bo*x!tNyy0Hq$l#rmgSUEFs3*!fwmTdNHnFaw zQr(k-uck4&B(N^LmSv!&w<)aZbMdqW)<^pjZQXbpoPhR;^T*(0XQBC;bMevU)UJ(| zWGvCtb4d=FneCxo?Muz%)4G@E;H%a1LAt1!4I@5W|0#8UF5bn`L(yIiEgZxX@bbMp zx%i}Pvy?F_;)z!xSO%~%6%dJC3*Boke%k{FS z;<p~w>!kOg={CotNUdWBgYT*Xf9rABvr{6YO3{k z4qlua+@_)T1!Ca=&zt}};`(ELzb7pW_?B^NKHq(`JZu^!J~jrYn* zQ-7I9Hr*C!S-goWAoZ?1ylpWq7o+|0e75yBIpprns9!@g679zi%dXzg($_$1sQrlX!6tz+hba6;S47e?pJZtB$= z0~uoVr5yRjJsbOfVRZhSMvO5Q)z@U|#a#JO?b`AFN*x-VU&jVDV;y-Z2Tx{~Y^6eDFrC{V@va!|bdpY=Wt@+>2!DrVGz4-oMbpG87*mo?5cJ+%}IGQsb_Z$xg zMZphqwO5bj%Cmy+uC#J` z<*>V1o7a4U&9hG}^xfB-`uK_lu9dNYX*b$@Ig-gegx=-VnEM~xSbtA%lU&r;n@(R*)KoKo%b5ze820@qFb{uC6OSJjQ=!&qw2wA+=Hu&$%X1FdIxXmJQtI`2_-1mz@6peN z%-xK1mrZC3#JIGhU90lQazSBP^@Ak#bEAa=`DC5?#S?+vt`Ie3UgqJQ4sea1D1QD7jm9^6)(cYy4y`i94v#UAZ=-qF7H%^j*znO>6xWr{VpNjSxUOh`p0&RL%jn!|? zliXS8MNBS5oC`U9lOb#9j!a&0zcYn-}?Oga~cA@St9Gyo6f>-_G}H2Xgp-DnAu0X zB|m?i9xyp&r#G`=A!cirO5+()UL7@6%R+DLXREgy@5dIDW-$z6Z*R>nQ{CPj9as@g zbW?IYPv_UMh>15)kL8uA6%l>j*p!!N*0MmD2c!(b<9T_QaUI=(0hpf1%QHu=MA>z?T2W69*WFM9SYGK@+g+dTF8{5oo8 zvyG7}Wwu&QOZ54HnZ~V1H0 z%Sm6Brd+7mZSfJ0v+&rhrMhUD=#fiT9I)FDn~~F-ZRad<@mYDRX%3#oFzfSP#aa1h z!LOM+qUMCA*N)X4wm$8Npt*iDE=aFfbC!klTRhBwuCwvo&8g3NE?GYesZ{W6GS=_@ zBtZFWsn{~g6IAEDp{8Zwwl;ZQ{Zn`T3JzM!`%({zew)s#sb1QfdeNq(*S#}fT+)1V zWP$HpF1t#l{xzMhhwu;SxE`*PUDS>3teisZD+215L6autLlaz6Qs(shFz@N~$)jVr zT=<=f*O5OKLLO(W9~)NB#P_-$zhyiLRO%<0yk~t#^|MS~)-AJu$<~dRPxSM$kxZUZ zx1|4=k*|ICg8oRPy$d(k+q7EicsYZ|{p3O{Mqi7nUuN>OMdJ)>wAXpOrtR){2G1Pl z5nSE}cfZc$cXX!t(fYd#o;nVK`oW@jL;I+8o%%O7Pfa!bBu^;p`OCiaAa~$xvlD@} zi`y4sCh8Av8P_xCKf2S4d}8q5ZXMQ1Jh{f$h@OM`w#U6IQ|cq`d@D1&7MaxCJNL?D z-K^`U8JBk(oekYl-XSyvUFoFhTfTH>Tp2dJ=DE_$WuZ094IU+2m61Mcd9UO!R!`V; zbKEdI_mQ4H||8TqD-wGks@W>9q%Mq=MBY zEcJ9;=KtMUeCS!)yCj+juUXG?={7x|=H}vI#zlD%Bb-RI&2CB#Eb^`+ z&n>9ayqx)Mym4dl@5#zv5s3Ba_hdLkNL^o>na@#3uJ=t3$W^J7;Ty%n)RI}<5$@A( zF<2T_wIGYv)HkKCO`c)Px-Yy7vw69K*FC^2r)<5pHRt15jR{Eo;A$Do)6YeOTu190 z|7Dr^Gxc~h#sUNSrh^3sgXkE|Gs=-J&*GsM8e`GE4qngXPDUR-(s9~%-)R1(K`wpF zO@2f@keSb8Df_f=F&FW6pZee^o@Kl*8;Eo9nBrNR#gpsZita2PIkd`Kmd#Olfk%2$ zsh&)pS-eRW?Fw^0WlnC`xHU@J)|&KciZOm0vUpmff#4DjD(gb_;VQn}wP>q17s5}Uyd{fI7LL%G zQKq3D$;GFy)UEAP$Bm|Y>ZA%yt$1%A&FdWfn%1mg(l)J@&5l0X={ProPi4v!d`8_mTF!Koo*WAzfwksc zpLb{S-EBGyE0HrO_p-ffdB+xyI+VpnJ4Y|?sg^4Bw;B93Rc4cAsvx!}mTvX~X`6pK zQ${usoMPs$ogeFucXN=j4?t!$H#YeF_IdgTGi7*@C)($FAekyk)}#+-^3Fy-ecc}k z@^CKSpnWt$CcQ3yEHhn?nK;ysXQa<+jSiUGq}`e6sk8YfGSbuM@lR%^oAV$G{4*Kp z93*&wJl@^3+U@wC&E(NjKJ(^Zsl6FI9LZb{xIUGg=Q^){Ix}xs$X?!LUk!aGlh1KG z`h2i&RGxke;@eA<_OJ~JQv4Xj2{=lQ6n84mS)2A|o7?CW74!j%+f zBYirowuA2+fIgd*Ut>=Q`n`8r@VV^#_Q0CZ{ozoAr(N#n^|BH9LKZJ~=5iWy?!I$f zd#m9r8Sb#%*Xq2fHu|#s%b7BWEbH&3uFq$t&z9qyeaxv2Waiy%s=^9>nm8TzchVkE2Po2!cKSydP8o4O22SeH3s$chO-7IbC zmucjQ81+ow`e1Xv&Be#yr1Ydc@;|1^u=kA<*)Xw#$$>($N)U@zgLcEK|Jn|}wUb()Ii;ojnrZ%O1oQrQ(OI#M5 z-le_l=j9GzojR6_kL3h?u2(vwSCslyF5WqCii2hHu&vVXV(EKA_^a&3jysejMMYj$z$i5J@&-*xIg^YL1< zjmCJ#OILoKDj6JY)A~`iQ`a10Gd`D$Ji|_R%P6Ufa>>l84?ff{Ppe`)yQTk)ylwL` zxiQvr<&qq-GqG>I88mwV*XvWx=MwdzVXhpu6m?loONgl30xWVCdwyfNm#qSnV&{FP=hkWYm zg|}$_91q;>K~3y4`|ZHR^w5HJsi*Kw?KAcJo?pqQYjy*l0o0FtjZ#)B+5D$Hq+abb zgMEu4rJE+HO^@q3=6tYL)e@I$R3@`I-@WZDy!d$MIg4g~{A|3elDgC6MY%{@kJRJs zXW_3g{KlSeJx`v6*SJ2%(Cwr||5N#8YHE#s_tByu%7l_9L5@>Nxg<<@w%`0O_1>Ca zuPn*t!4Tf^UHSQ}H<9aE<0;>=S;i#K!y|mLnNl55>$DyBsva3b&hR^)F5NeeolR~| zOT0cZ5Lll%ysGR;T}Lbpw=n8KNevI6<9s4V&qR6QE%nSu7LF(0p=FtKvdD|Pez~Qk zr>8$5%z86prc^tZDEfoOvf{M8Q{?Q!2zj?kzo;iK51RF8nLFRSeH)y0Ql8ArA63dbGV^OG#rbGBQ_0kGOD2zd2ctc>GobIxs_`yGmdk*qCMi(nNjBb^?Nh(?{4VnTf(&(*J+01Q%=5F z-}FA8w%IE=<1;>!#iIv{D`)+YAG&&9u6%j7)0)g@bL2BexZ1^kaBKUy?EEZ0^!cp( ze7*LIs%Mb#H_@M!Z)o2DAh;t}IA^tSMS$wicWW5-ooy7Mt`D$uY+p27CeT|{MpUwY2v8MeXOP9O@kkKb{hi1IKuIrC8 z`Aw5}>s2z3W#)}q>&pL1{DkWgMJ6$aFEj16dUbI&kM^p(fEEd?=e=Hi1Aj?2Po9pw z!r`+G$mLkB%;p)j3Mh3|HcyT-*Q>I5Ms=iV-__ZCQg?k8>FH5KEhe}R*ODf&ymR5+K*PHarm$pg&tVw5o;@&p%9Vn@E_lw0!{V|oU z52PINOv(SAk?y>Rtkm46b-UQeWbA*`4^2Afg>*fgHca`TmSJPExUt2!VKYUjZ^Gp1 zOD4S+lb66mHQ)6yC0yyhPo>K|OlKrX zcRW`r^^>G^hs>97*psvLn>x(*+!csOc(r_8QlLK=j^0@_BN)MdPC~XAENWV|q^WhL z)uPli^!zE&6JOUAOPD&&L$?Fku(pfudhrJbYVNEy`EBI?uK;Dm6Tz-K?znTdE+|Mj z=W)Id&fCCw8#r$R=WXEsXB!w8?1>N5++Eur^vin71Byhn$1Jyc+wtf6&#@F z_vhCGuWFmGrhsX~^~&p;|L4~Mf95Tg-#;tSec&}cQQ_nJ57V8pZGz-?T`1qAD}P%q z_oDRd;Z$T_tf%S=xaW{*OuRI`-)G=?{~d?(5D_IJn(g&x`A+q4F?IQ z5$}e7Ct*2pxidffBO|xphTY$w9FZe_HQ~#I@?~D9Ui7KSgyobolh8*feB0mSQ#TRr zpy@Xdv{_`e2yv>wz#D=H0n|S&AO?dLhraitrJ|(~F)uoAt!yfk@6+%J7B^D{W6dE<}dlwwS>C}rGIwNt_uiIbk>90>TYDeCkQUT0&{xDcXAvVHx@3C4Ab9#}w+j ziulM*(_h=a<5N?K*M8g7tMRZ;wGiJ={!N5!A2j+;Jnd7fiIAP*%fnFK^qm(1{KVZulCNAS!3Ewq@yU=$v;dbKEKl2Es-fi&7_(W`Y_-T{h ziXO=yAuj!N<{2|ygSK7e$V+(@A2Q>18vfgfZ${6hguCGr`9`Z}i1;Mp&E!k^8QLTD z*oq#}KScd12s^CYRLkeH;SsB6FZpHg)!B4K`J(3-`654^^a}}35SOqMerfmdLp~KE zj1b;NSZBk{*tN(H5ne9&gi^l_LYYrP@QI!(LP;O7VZ!nUZG0!;-Q*wouut7fxP|aF zge$*m#=nYqC-D>1FG$!%y6D^SlHuP#DD^ym-rEU>h)aILh9?LE#82!p<8@}pr~cLh z-+O(kpK$v}eQJs16G}TfKIT);5te=2rw$QTFrPmuaq?#p4l^%5KzQ<#Mql|JlfLq4 zpIRsR%*%@jcm2@F9r_2Kx`lY>_f5Up2xZ*5NtgB>AiRKZFQLe7dB)gf)<>5c{3iJlq2o+IsE&5%16A);fGK7`<^xYgUmk}$Ho_pADBuges}k~ed-9| zcEU>tPkzp)UQ5{V2{Ye<)LZ)b6!m-q;SlN4AA^LV|G*1AwVUube&QLzz0dnp9pMSW zhY44bFX0jRW!`MG{c(u6)PEcCw-atAypXVw{uTWdHauy|+4Fa%pLfwN@%t+Y+X#L1 zgY;{w?T-!{R$0Dzv|sd1A(Z--f7+)S2oHYBgu4hOeYf?$2ML9L`tv>&B|L*YNWCj< zy^nv-)bk*r*!vOkWgJftm;OEB#BDf2y0r5caT({j-A4apLMeB6yHCv~oW}S_IgNx; z{`LbtwV7}Wp^VE3{H>I;WuFOGF-{^s$+q|KQB%$o8=p!j`sTqW<9C#NDSst#(Q};m z?Su!=E9plFrJno0Y2wFhc*uq)2p5oFN&krat}px4TM0K2-axqZM@DWlq3Egokny9F zZ5XlPunjlc@R$wTYHlymS4 zW}fy@p4jaf(yIt}pL{HUm4>vlkoUwt)0Rr<5SDH zOeSo#VbF#n*t6tsCzSPVD)pE62AjXlhP!Qe+Ulz#6n^LL%y`+CO^$l)zHILUJ~e@b zHbQ^Z!nA|1lCX_>PPanW6CPuJO1)Qo#DtPn2{sXbl(1}<3HQ=Y;p^OQ`YUMrYvZsP z#|WY1w=pk!35N+KE`L{;AQcxs(rw3Gvc&G>&k4mZ$lp#A=>4FOH-qC}_o6%k5=uSVUNZZYAff1w*l-8qc&WsHWcUsu?~J2U?@IFJ z&*^8UT`eYA`xhY>+PD+mY2!{f-^QI#>_+~a@*KTRe_vy=b-RUh@}2&fV)LCaJKq@x zXWT0-zf+zw-cCDjxB1c^^5>M}gp*8iD%~m1DW@VU-;v7>#lOj)lkVtod zBk%OPlkUhn@$696Gx>Am9Y5mmiM;P=b1s-lD0Wo)EuXrBa24UJ2{-(m@!Mxu7at*B z_Yt!{nM^3{*>J?Co+50-57rTu+i=5oZ94fn-G+_m>4vXjpHFQdJoy=;=hzR-ygEc& z?8-;DnD98E)PKZ=bvE4iIkW!nCltHfPAK&mV!gYSFhMBua4Y=Mj!8p4^&sKAj~f5j zNBkYc5B!Z!%^*BQD1JXk=qHpv=@0oUCVUxxaSO70zhL}C;!A0NA@;Okzga(8NtgL@ z6uw6ZcMv-BN9N;U^0yPp-xVfE&2PspopcF1wwZlE}<6srM1SO!x%hJoK+7 zoM!8#2*q9_)aN2X`ICJ46aAfEH2D&D@|}57MOwvYOn&3{jXyo|Y17}+h`);bl|M4= zKDFDY62v2fj}vwtGyK!PY|ce(HeOErI`ZXD##R2DxMTm5O>$}-bK*|^e48)96i}i* zTUTb+f4RT?{HXka1}8uG4xbtsmEYayw?2#^#3T8-%9>}l{mRh`MVc6^36~A z)ce!fwthW}o&4kE50A?K+UuPBU0Z$1{%o>~>pc0tx(8%Vm|>MWzTPrE+0KjL4a+)s zxkG;8Nj*gp@ASyG#kf+RBbAT5$xk4ifOLK<>4y`b`G|j)`kkfL=>~W`j_Y}0DK!dWfXdB}IEha57f9)aX{x7H5m`b84)Aiq83 zUlWaWh17>e<g&Lt&Xo1LM9G~07pMm%)8EzC?_a}@!SHc-+toiEl}>_L z4EV`aCVY&TE}9>s3dKX>-8jF25+(lNI}FwPSi1OGo$$=M+5Q+mn-=EBr(z*~21|Z7 zM)Nmme(?`qX6*M7qyMA2rGbcF#yGD2kd-Q5mLFGb@caE@g<8>7T9G)C-;^r#8j#A8 z{X~gcfe(=~JAm%3#X>24dr_jq!yKo!End;;AK>LPJ*AxmlWRF}VEX|1bWVqi3cRBJ+O>p`FYAgnB_@{2E&S8np_x1ud;j>L5hpilxgM z)%T$0-`~-s__cV|cHh#LMkT*%?qATll=sw@EcCb6-^Xin%bM!dDLhP$8f7?(U*t?!)w-1%nD+T zzv_#J`teBGZTZ8zk?UVqt)4qOLyek*Y39_hu7-9wZq)I6J4ji>uYmZeg5;{VffD6- zvlGNjS6oc1^<=slLQk)|q(^kpe5i)z`emvUqP49Zs|Z6`a~Xj2^P6DHI+nLdPw^O+ zHe@8cDl1bhs@oFn?)bbWHVc*pniRq$*1(%?#d21J#VjE{Ho zj&5aUs`mn&>Aiptx~t8!mrEfFYW#j#8`2HMK`nbt2C(idRsnRD* zyaI8`kR`Bmvq_qSivBhDEmitMwGBbTI`1yL8U8eoU1;3GrSmO1ri9`bl zRc8F_xcmN{)Ct}Tb1l?;<5rD-8FQFu-`ZcnC%)9Uz<62p>C1`o#&>_*q^`E9-iaN7 zwI->{CY4RpUj)8%%^KN0jtko4a+7R6Ps%=VT*zirOq5SKI_zs~>Li_-=3Z;lCr@;~ zkV`(vuf}!jDwo_eWnx3DYDu4dEj^^hg)Mu8yBn%6dytFT8HJ^)G{;_K+(YAK_i}M+ zKXRyK+{5F4fVbCu!Mp78Jxg&lE@IWHiH)%;HLk}-y+o_kxLzAABU+=zMQya4=u9=P z&qir)Y_=NrMjNG}u{mm7%tj|qTp$D1&d=tmaq;m|acNw8XzfDEPiU^vo3?l)WdSMu zHf5_$X(DBvP1&YX8c7+jDMOx#O~J4lH)!MAiN}erxA7gsR}ZRjZ?f^7!cY7!Y~8GvndDRkdHP7LqW$D$lavJtMsNr9y8>!rMyIjJn2!T&USL#+s4c0pft6=9JhAD z1ok&xudrV@dZ*bou6x3ZFi>Kb`H|uV`Pk05@C0X8q%v$f9~m)zu4rD^pkCF9}~WDj1NYs)(BAIf9s_j(8U_fn5+vM-a?Uncd|lq!>-ZBFgF z$Ip9O^Y7C9dxc*duDaY?a-;0X$M=Q?^zSm4++-Xvo-bpUUNTjLCYcl4%o=@^*C$K; zBhe)@JhyX8a7D1cr)PcYx1vjGbfVtu>)*0fCACr*^%l>5Wu`K7`1Uk-JXbjfL)R^d zXTL`l#!D{sNww9_DQz-e?wL@YFG_{wO#LcPt~0vlTaxejAOcsbYkooT4e03yp6`8| z#3@en+7eFZaXUTMZV z=r(#!Z0iP3*6os~)Z>Xz{3dV|z8gHF@0vW9dOVW99e8nuH+t;Nljk~*-Wf>7$3PXC zFH_r_Sc?^zzce*hQ$1dfUF9*>-LcOxa+mTn}k7v0Gr2UkxvP?>u52&#gD1yX1N!bgMj0@!S&CIn(6Gd9%H< z@yxLtFes1DL~hef`T*RQN&VIBizM?}&nLCK*ZVHQ+jIqA6dq}Xy8TY!nd7jQZx8 z8WlQ$dagE1UsGy-oNDE3wQI+ME#Fc(NL*0RqlP3 z{EI%rKNtUuUN0~GtY_zY*hYP)oRKN5@qU)`V#VZ(S>kKib+E6x^g8?-k#8e{@swWn z9UgNM7wwn*BOVO;(GHKg-1FKAI+F37Bz0W8#N^UAJjmBO{URYL^wD~!HKgqAtK*_B2CZ={ubd6jZz?wk^F6_m26C2W@@SD z?~wjbN`t!6BQv~oj@R=U62B-JW)9hmIqQ~G_bn9gXE)n5yuCv`b} zb2&&&%pnnbO&*J*ns%D-yh*i*oUt}*>e^gin@1RPwZd;j@GnORYg28^BdkqTew($n zOTIf%?uE5`YBHAdU9|lyt?>iK__gge<96d!saJb8pV0QJZ^yjn}Y>+zK*Vt?j=hk4A0(`8D>SZGD!; ztBg%A_Lh3KkToRP+NdYW6i|~*_7bu`lFr)An3{Zb@vaJ4^xD0CLbK?t@LrN$^j3Jk zf?RshTj5&tR(SWkHnr%j$ZS)#ap|@1_o(vB#0$O_O!|VXUm0tk){&ZG*(&rB_<{(n zL;TI6;%gFf)}yma>y=PT??v+SZfU&|o?V)?){$RWS=M zR1Zw`9Tj?AJiCfMSsry81`*$sR^eVA&#t0JR!Obm^N4?SlnU*3w2JhPOl1#5YO(x< zbVw<$7F`1Dcz%l^aTd!l^klA?i_o!RlpgJO#?X_wbpACu{(h7m?QO=;levQa7#+VF zrAPaiG4y0Eq&KmVybEOZkM=BM=*e79A4bQ(C_UPrjG-rUS$z&2U&_+sEURNEa#mMy z38kJhC@E#jY8uAsDz2pT0k7u@5~oOpnVvS|L9b^9iE~pK6Sb+>+)l5jm7JC7+#eZ3 z(rfP<&hA|F8myhmR^$Pa|b4|Xcg)f}+H5g|*iUL8$z zt5S6hcc1c$J0X6#OTJ}po}6s&QDvovE-HP6t@@OgWte|?kv%wH+vAUfdR6JKF4{xI zxgx#JlchkF9=^yk8OiIxq~B@}eVykmqqx3(k>?&b7Nxi*eH4uzb-l-2d#lnT7rA#_ z)w8lxv~)GiHmn@0^rrWn=z4ET-^4GX4|VnN_O2-3S8m#;N`EeDUEVE+-s;*+A$FAg zwAb@{l>bo*_!r%*$__5`DB}kCnITpBg6E7Rdv4_5taqu;U$mVCQWj5=x}1KN-(BN~ zTYB}yGB|W$=}qnZoU3D0Q2%mxgDU-vXN4SfuF1%d6YxCL#1obF&g6C(s2_St55YX; zCzm7fW6uNM)$8@OdYF!R>@Z#Fxrlm~{?y}n4&DP`((52E#%l&3bFQ+3}u2Xt#gwtDwe+WHMqHK%?STqw2q)gv~~Id;#T>uiee zVHR!mKF@1dm!07>RQlImITo7>fv(w6{3UO5L`>5(__O5f-8Tsw)+6M#wY zkd`VvmGt$AyYR8O-;2T ziwR3@{|9Z~0bf;-{XfHd5n_U(LDvq71p$#j5)u$KY`Ftkty_xg<&dixJ z^`3jLyaUXCBKFI;P&twZ*lRY2mxtY-iFDw0I7>nBNckM(+RY*5g(8#A2jLQrRM~(& zB)OiLy@g`mw7|>98>!NFg7khOR_&rWZ`?f2W#Q%kJzkrZ{9pkUD&(8_prxl3d>XFABflvj(t=u?PXaFL*UA|3xYBP!_14y+c$@q zr&VTN3f2`#%)Dq`zZuHlr8E;i0@7!Rn61GSnNOPT+zet~U^DS9@NFN7;3sBX+_Raw z!08B{_fDg=Tn*-viJkj#7LOA8jOxD4VONWBu=7m%8kpZpV&}#91DnIHmgt7PU`LF9 z2>!D368)jgVOI-x!+su^uS#O)h5N&s!>*R|hW!gL|CGee%lSt)hg~i54SO{vn)wKR z&3uM~iOA!d!>*S8hW%DB-y2}ZOv&@lwh_u?V{{|Zdz~G55#r6AYK~mEhn*v7A#CQz zfjj%>NLr`cIkFh3(-DR?2j<8_5V^=p$Rb7q!yLI1DJR<0_RX6kgBx2rRZ^klTln`L zWwLtnrpjb`o-s!dcM;s=4@U5~`#EDKbMwT>+~Ye25gQ-H~pMjlQZBcmNR$;!eKjIW;F|;-8_bF^SGcaMgtwnrFYgy0_vO(? z<1`>5@A3-lmUXL?48HeIt2+PWQP?`~Bk}C*x^}9hhz$3!6?rK*q#{H_7VN1N1|KJ+ zmN(&z=nA^K51KpPO~uRGtC!Nn)rdUV5Gb{egmSXzpV&t_WPAF^io6uB92*P`aL9>{ zo>VdR@*#6`okS1(y$8;b!;p2Pla{C6mOPinAP zW=cB2Jj@(j{v~cW2_Leq1i^_!G6)Y$(if42Ny<=pSmTX;Q`x4E3sNS@;F5FbCATY3 zF8Ma{_{8SJmoCw1;FI00-aJQid_!R5MZW@yLWGbNy;Y$EB_n5W?$!}GZEx7aU)CeL zeWY!Kk9~B7CV?)HFDUD&?as}mgW3cg=yrFN^~7r%@GmaNt0f{YCd#p765Fc*;6G7$ zGve+*Pu~vl6UVSVwL!OP~Ype*f@ENTxZX`qg z5y_8P5ppQVGiAxlkl!JBi;-TXX%sZ6Ss8K%r0nZYRl}n$#^4M& z8Oh}V5$+6HWa|uhIFh>qA~bOMio1P=JQ*_QSh;Q->6)_akRh)_%ANjHUs-m_kbgn) zYXK3rEW2dLe<7Kk#-SUT@?aKUSw>{YZ6ULpmE#d!*J!T{ISwhs{#0LC_Q{a7NM0Ba zam%uAhFpQdKr@;uYk<&t=uq-6-F;(Gvxh9dBUcSa#Hg$UtDq z$ZwF6Im*)prTP?cV20co$z!Ytbt|7peTF;`$uq1-r&EGNhMbR-B{p?2r4EyL9b5Op zIbG})CnC!-e8+XU zf@hQCAblz!c+rEp(e3h)YyFu_K%~jy4ha9rW}yMs`LfXRoWZ-0{m5pJBln`~C^z~u zDCjhWqALY*`!Tr99l@(PV{Y>0VIkMi`EeP@Vek}ATP{2J27 zyO}WJR$nFtz*($=@On3kbLq<7Mt>FsxNNkzdkHe3J}2LX{6F1H;)HqLkI5rvVj$=$ zkKA@YIQ%1cbw556h!^~b!q$eBxCg?brUOysqSjOOU-F~!o9M+K$cT?9e+}{DUC6X8 z0D099DQs-$XlQI^6c_JyAnviKQSzjLK)psN&%!2>cYo&!dKssD2eO}%yjoQAKr~7| zLYBPA2Py!FDzp^ugQ;(8=1Y8X*jORPAvkC?Gv>%^vq15kzqAEid>s%=J=KE_2eh5w zc9o-skYTjY6OqUkzTQ6pk*47;2J9*iiUrm~q|gjM0PkGncc~baZUo?E7t|C5XrLd2 zcdh9b_z|Mf{Y~*`T)|z#jaV6pNG4%Cg%+9w(kRA3IT5H5Li)7&AvHyo51DVuCznF} zNDm@L$)zMc*e_jzE8U$ap1cT>S6b;DdFa-V-o{@OdgWwSV>7)zoGt1Z0A3=H87IrS z)E!GAlH*4fniK;aG!9NM2o98Q7mRG@2Nsm^orMN=5FpcBSOae3+|dsi-_Q-U(Rxa@ zL3FhzZcG6yZML?^&VC>*!S%wM(d&S`CkahC?nXebwN!?*^pbyv_{Ris+G&^s@0`wN zeubvWc(@PGFST_AP<&?FFBgkI1ea~JtTn|S;bWPoTtvdV;8Sbc;4E z2x(D`wF%-MScqG)=|PBY-@y|w^dq?KJ51uGKXkaT+FzY~jz-hGupW~}DNvP!G)=?4 z48Qh6^5|wQgIERWH5M^U!o!0%V#A&NRpb~yL*oi}`(>ye1y$4t^wi@NHjgdQ%T7zgj5r=P|i9Wtza(VZn zLSlA>`^$66CdYEiopvT^Mewv9o0ol(X>wFBzr#&=^-=lH!{kQ@Z4;YVWKL8Rb za!PGr3&LUUXmJNQ%1r^d%p)+R#>0qss8|wUQSr!}v8#Yv<6%+eZiPD9kILt0Q{r0z zxYL4i)Ped*1n~wJ9H`@nEuq$_e~0kL1W@xPAB}0$*~ut(a#8qUlY|(Jpz(Z&pz-Gg z@$SBX*2sqfx7cE7iGig7Ujn!~h|R@&HT9+gZ^u@7UkdDv7EkK{gTFS2Z&Z+t5Z`1W zJjm%RuMa|&D2SYlTj>yV4R^)H$mDWk5YnR{c@RI?Lik)w=dvycLDy8EW&oC35FfW` z&^^f@ozoQn{N952EJ}l3O$O;2y$HbHEr>^74SFL8s+l<+nh$e<#9^!|_#Ovf=ts~B z;!`F~_qTq~HEOyeL3OmH<0Gvcc?7L}Kl0JFbUAi>BM|E?O4~7`{u@MjDt{Az4=qTm zoIzhDgS5)GnS!Ga1YKRNat3`91O-mRd1p8a*uyMd_ZY^1AH)ZH%v#_swpiU`82dvI z8`fi<0rqu^*FA>uKL+vIEa|-=*$BG2y2mi&ryxYz*93?kWFfl8Fy!YTL@RX>#Nm@| za?w48Ap-`vgBLe?uO@jXsQzr}v`I1@?u2&6!t`{;B%=r6&LIS?18tJ?$Ru$iwL@1> z+|fY?Say6p2(XzJs_l}Y+k`?r<6H&QNfxP%laVuTqetY!;)(a`4TyoUR^s>@TMoeXauEI?Jh>n#;L!C?~IlxF@~=*e4cB z8dfXH9Le}yQ{a2_8PCqeIR8d)MgkvxSSa4FY)!QYG(DEep0?|v?4E)ujmkAM9u6U% zx6Gt-Um-{Im$}CraqTn}Q$K>PK55>^ymu(G9ny3G8$i)%X=qII(TohG@sDnrY8_}E zuv9d>`KU&PQekJn=I}2dzqe=_>3rxhq39(VJ+cHxKL}bIH0b%zV?)u#lokQmW6?DJ zDfBQ|-PuHAiinK&F-+9)&RBCZ2<~=Bu*Sklg-5*^J}gE(D%3B;=`WF$d` z$&%I%5m`zQyG5LUNZMNpY6$sjU``>NIvnR2K;v3MaXkvR9+(F$j>mtEYYV~gWW^bN z0?;=W$di=@c7y_b`vIodf0_`J5p#fS#Vpd}=Pg33s3g_dm}G}i-1>r`E2<~<@97Z!*M2yT(rfWJuwu1b zU7$|l=K#9I56_|_dRQ!ToS2FO)}bjb0sLl5!PA#ZadK*k9!>EU;GbCvvJ_t_ev^vA zKZ$9!(bMq+e*~xPeQc+vW=mrQn*3A{Uu?;F9&>BEb~DI(;+p(@5PxOKc`9?s&q_sZ zXEV*Te;KxZ2wDd`o4HKqq+;?(=U9T9&_|S24U-kkm=>?{ z6HGv)cX{yv;5J$;T}UvK@&xLs*ZQ%IEgte~pa#wK>TQw3Bp#pH+1)j#nQkt<$xo0w z&L;9$QH^sJs;w*q*Idg-!$lT7qyQ5^yltUoyT#8|Xj1%C=YZzgaH{gAMYz~?o1Z3+ zVzFprmxX5me8YnI(#kMd)4X^IfOq(T`5bMKndP|u3&B`6bcw=GZNek-o2E5);wegz zyZrdvTqiYdy9z0V3o{>dtqvtE{j^dPk}htE$h`sDaW*lG^$svT63$w@h#dA*VIK&x z+C+y{6*Qv)cY7e1y3+*5<|k398sP?8KTm;*Pa-)V1Xm}K zaHb;isGlRBqmw9J1N^-tii(D1P4(Er;$q4ZMB^PA7I`T+YUJhej+H_TM^FnPs6*qP z3}nyI0C9^~0#HvNa{-!Y%iDV>!>5xoY3RVk z-4-}AVElOAmu+r7mBg2m9R4D}vDnMO)OJfqg;CKf0su=j!g zo;d7P5cU!_ovxyx8y5%h0E8`w&FIAhf;E8@*Ef&ED#!{%M>B?R?o{ugfTlw+0 zvPbdp>K5E$MazUXAdj`^gJ_vR2FMikiereM16N`>Azt08N!EklQA-l{kr0RC$?@~h zWjm7?uU@ISeg@BwI^BKz^W-xrP#%fhiW*%>USfsf46wQeoM51ck{EL6e`7P zc)NN$E*3#IvR}g`s>~uX+|N@4o(uQL%;_znS+;MbSSCilg;5^0M z1cEF&R8W?p@LnPI@e||{fhmSz_}I@tXHIxLDjt?U)(0+7(J=Phyq=Y~@1YgXH&;Hk zJV=h7EyP3w-HT@7KoE_iK(q0jI3F3#uPBe%YHh1eJX(Q1#xn3K`NnHu{$u3fTf#o3 z`X~xi5yHyDig^G`SzHR}giDK3%<@r8)bXYijQfsoHt!s{br$lT?E~f)x8OxdSQ~S< z-D?h>|AwGzejD}J9C;bR=J{X+wM$U#Wmpt2?l52$dN?QxkN?mbjVvLc9cqfaByW#$ zDUj<3tP%1BpZhWG< zOmdwE`RMbOlDR_EA?R|_)$J^L7#r#fe@^(~!WYR;TcF~hCaRP?5#ZB30>GwqHXVr< zH~2w$6zi;A)Qs~Ga8G+!DrWuYl;8zDYawO+{kq_+Utxu(I1n3?=(CqZ9vr+QP0#)Zt zV3M(X3WjfqMfWJ$=jBKyxIC1=zs6M-H~vsO{|Z5q=!>jOaz!YK9{iR9v%=!^l~%@G z8H!t?^1B9@TP;psZe`q6p*X!n+yu-A7DsDZQ`xISadths-F!R}3PD#hu6o7hH_AaboAUx-Iyb>l5^`dUAan&$#}rA2e@xHS&0%q_S(@diHu z{E;c%jJVGN_lk!#_sZOyDL+KyPn6&+0quyY?~A!LQw}){RY%ZrbW?|UbOYarx;|4* zKyu8A@WCvv+%?NRnQ}Ig7h4fNc;z*^7TJ&~S0VW{E5erq^%8s@4Fi9rdU`8^f(Co# z8P4^*fxHjs=Pd)zXtqkYvPd*9rle6M;&5F5M{x84D`DuHp-|epaQ2gcnr4xD@50FU zQXusO>~5geSft*(F!GZWNOKYI4}d*np{#qVvnlg`Q{c^oxPJpnuh`Yq<%V(bKZfE1 zyC<%G5m@4u$!)ryQ;?+FJ~8K7@Lg;<_4bJsBex7Uhm@S=0{T1P`_yvs{z*4*Bn78? z*+<*jK1bl~%LrO;Y^|=|GEz{bEFP_`xX#Cc^&HF02mdbf!2U9O7qH&|>nE0(PyJoy ztWaj(?JwAW$UPeHLIMO`bz(3c``j|a!f66i2iLU~G{*#}^!&k8yN6Th4tFz<_Xp5= z7Gd;=aJ2T?`3T7G0%$##FnX_WwC=L|EI|7sxJuA73ZwT8MTgy%Om~2@GFF4`bW3SR zT5X-Vp_CYYu&-AP_XGWmWv~OWW|)wIp~qwR3FxgGy=vKUS~KK_GWdrBdY~0o2m_;- zZ^_&d{ZBa*ozkGP6>=Z zAqCz{2?Lridm!kFyHf(=*M{Q#HlP}?94vD!lb#ZoM*DS??U>@Tx7 zC42_fpDnXHB{1`)q0C8BLjGbbeGuHyP%mb%trW8!2cdX;nNh8eH2dSEnv24#Ucp7EsX9qjQ=7P zUXO{Dz$OBC-E|oMZ7RH3%$y1E^#KC6g_tFh6{=Gl5$Xx_WiWgkU`cA3t-@K7Cc8b_ zu=Gc8b>eP!0({&+Y%H2@V()zZA-8q{ ziuycg{~4gR^NyyT+&i_;4)*Rq{Sn;y)6*!-M)<*P(AB5=Nb_+wGfvA*A6)|UD$BrN z`iFxX2G@mwefC2eM>d@Gpn23%abwUwLv?7cREp<&a11=sS1CM|;WL_-8~qfG=BW&0 zA)fC+km0pkK)TH*jfZdWwP{q{8&?(qbCid(;}YMhX=qlZrqRR8EueTLj7E#n=QXJ~scgnn&IQ%_ zFghxo)tyn>`c#}e`Eh;U2b<^$sjoY{{Tz`$}qNy_3Lr zRTwL`j~*sirQi0I+O*c&p#46Knp?}Ij%2&Ds@IEy`nA1i@^UPK5R!D^_M+^*u_pDY zi$J_SjGT&WhFw<4&V9=vVc3{6+3C_v2L%vj|m38Nz$*|h-v(SqrG$5)w#Ffi_0WANJm{>OsZEz-@oF$|2slfk>L#;xB7#=M=J zXJ?~(H2cB%ox%QbIKb@=0lJncIuo>p5;z^?N`UXQ1W6r)Svpg&cs=BOFnn)Wl6nZU z^n|kXsf)yaEySS+sk#XBuj((q?IYKN`##H`)JK^A*D3hJI>}cc%Rb60a8f5>!ec@S zlX}Ti@YGr^x0f)@Z$fE8yUAM6Tw$r)Zo*WjhfMI0Nq5VH@3A08*;>P zuzv(yU$-HdZGG=-wlzCIbetvgl!sPevOD`iW}5T?5N)(%p6(3U-F+eR+BNHVYyuEc zwJVqJPko{E8uxI}bz4fWfTnSo^1j|FlUn$0kUn9F-4<@!5-q$Tz*%5>X>tQcPr$8j z2q6v3WDoU9X4|(KJPnr1ZC~bkxDQ;Wc`pOcEtbpE9-5cA9_<5{*Sa5p=x0mj70fgR zGd|u2Mz3)vpNRc0f>xVXJRjo|y)q`X?YZE*&hol#%M;huf!ALK=F-rW#XrIKjpcM# z7RcGp5?BcPgW}oleE`Ul4Q!UB&TOu}^?1d58_w+mWC=-qN}j#_klMr#?r!Tpa2x~i_$m}$RWnT*n^z;c9Ta+StCJ2$`-7`yz}bIkr9e1Ei@ z-ewKc0CP_0jWf;lbe+W(r{U5!f>wZc#$&R`-|Q^N^@h(9nnAzXX5sB&O%@Y-%OY$a zy8(nRSyE3mW(s2c6!pfOPCvTz`KvN?2PPI|14y3gU!fOlgWrjz5@dp z`3Lq;Bl-}W-&$TzQ&2xs9^4CMIvuG7o^b{aK@fr}GC54$+#Ea;S_k$AY!04oOb)SL zatN~^u@+q)L6_Roi%;LvdLd4y9Bn{LkjF7LAFqe`@|nK5`B?jT4tacF^YL_K^5I!v zW+0!CIWN?R_WLbveL)B+3k|@$6@B4Nrz~Yj=OK&hZ8n~+Og2^jr))fP`W{(ipXt@c zQs1q2#)8zycYal^0Iby zGV;01=H=TtsRWqtBh{9f7U3&i>fPv?FAleZd z(DG!Ez5qTBfCDUuHoXRPR1oAhbCqcaut!-uwV=VDkc>~$Op5M7P(5Yo$eaz`N&TQR zp5a@aheL1#tw(AHLw9PB&hFb)QDy))+hS?kZm{PCvHqQb!k-501r|>m1A{+5h}Vxd zd;sEqwUC>jO9Qze2+@uHImCak5ZW{t$b~_Oc9R})K3;u*pp`wD8{!Kr zgth@*pv^98;Im^$kn&=5<7~5)>x}X!ghT@Rj=hcquQSRPN71sbQhQ47?emy}fgx znDX5KiW(Q4^22~2ihw{t9`I3m(&T_>bWuw?UNtjdP;?UO|7b{tEy0u zl~px$(^YhBRXx74=$v@1;)@sK3(*yqmy6GdC014wFR3f5s!UWA*Um&76>2Ipgy$_q zr~EtPyo$uX@tQ;|KCQUEyv~iPtg4KQHETE@Emd1rTvt{?k%N$fi7czAE@w%q zn2xL|E~|~lNRkR=BZXW72eINZhE|eN!>`U3EAE^1xqT z!?iDqC5r3osuJZ@tlTvx9|Nd~oQ`HAmEYE(A~~<4)%V(Xb#YB`T~&=Rh{}25Se2&9 zPT5EOtg40@PSrn3%ByPQ;y3zNWqgh}O@FT~o*fsbJ3oqR#Toi%O&pKUs1s}T&-mQ3 zI`LcmqrNg$CC>B&@#8H0V|u*2TAZzaR6`YY;vDx^qBdR!gFM&76_>_!)OjWf8JD4q z=j-3aQ(=wb0{x5l6D3tpdSzX$xX_I)tBl3xii_N++IVqI$#ikC8&^_QQBhnOs}+~H zvDGzICGl8&O}tiI>c(?cbL+%qI+AsnpgwT9j;XGRPm9;YD@y>qLdV5pP}U6wg5uw3 zzE@Sx6TdS^bSXT=!X%ZWu;Tan*EG~d+^m1Z>Z{AkN{S)8PRG>7%hC6!7`GT1#6aLy z{j(-sU0#f5!~Vhln@fJ1jzgWR(Zppa`0YB1G>@)YS6o(JEADV3%ZqDD<7H4styu5I z*H)kuD*lgde0dqH_)a&b76VL-DsfjZwz3#K@$O)3ZP_7laZfO|ZeDd<{7J`7D?`7& z*ZGkv?sI;P7xz2ACWr@|UwLAK^DAFG==>@W4>`Xkia$HQ3dO_DuOjh?{#7+oe4@XW z6vG(G#i#mbadmb1Jn@oR*ZP+>=mdAvZ*(LIRaZsU^sSDltWv+f)4$OP zF&2EUf7Qh6YUYU_^sll~OdWCYqY=denSb&GE2=QU{H%YXh5@}Cv)a}E$Y~hm#Wf}l_LnHG zMQg@rdcD?-j>WzB>r8xgyu3V7GCf{0Q(SN2Ff-4L*G;dfsxO@`ZZNU)FbG#9D&m#( z;yE3s2Ki|aAYDs1#iI+{jE8~d_lz;tdXUNIE0 zvcw!%QPrHpG}ifN$q6OUMR6=HLXzq*C?=*?)f^)Jos8o|wu;Y_6N_tViYqZ8tKs}V z$pV<`W{WS9<16EsBEL+IXCpE3Rkd?j&AL*@@2i(8h@M`CsstmdutKY;6(=}ywbQHS z&@gqP6GttV;EYTx%?h#d(o%88Sd|4ul+@Lfiw!!$tg`++Rz!PN&Ve^ndk+?A2M*E8 z@!IxIEaqD~8u0X4ypxj`*;7v1@@6dHGNZTSe=Z3=hA&y(KcqZ`i18Dm8FS`NpM&Xp z%DGu@G2$QipGQ#%IQ&v7TicWivVP#?0oPJ8*F&dIt*OTdIOU?OU6GU-9l-(|X&7Q9 zV#+mH2XOLKPL7r6w2InNG3A!5xfI*ou$X>Qrm>phIb|?)EKuu9>co^gvYtlnNT|Tl z9t$5gZM{jG)4XtTQ@5CMSJp-dM^`i?TAK0H+=+|6ME&!7R$@!Jg5FpvcO8q3Rl%cC zY?c0vj3>^7PDNDizEf3C8ydRgp{1+oKp_z`8=IN- z&Wfhis*bLT_7x3yGT#B%{ngGXM~-+;d@H?dY!qZg;a`wLwj4&!>nVC~D`Yv2sxn}N z7}T0*Q4jr+xwoN&14cv<)k*Jk%6p4{55n(uE|M%D{D2W#PLf>gedKTP`#OZ7ui&3# z74Q*I*7L|uOqR#gw{>GXun>F7MNR4z^m3GZ$U~T&;s7~dL3?`(8jh3#1G8^9QcxlP z^A%E1J)1%s4V8KTZO{*d@=A{WJ$AeN*1~Lg{eVxd$N4ugqN4I3$?@_=8AJ3T2t$ie zW0`dr{%6r9QkD8(dfg~q-bwrGH0L>a#5MtouAp(^b(|bmRyahD9 zvOqFkjmxBRQ%I?VnEGxG_&=l4q9Ow#Z*GB~)95HMj9k(+G43hBjb!`?Id0*jz;gm|wNthtF6p(+XyXghmo}fp=s9!`0Q{&| z7*v+tIw~(q4>xZi zOmPz6XSfvfo?3ZjFBEt?>{9VPEL=VY?DHO8nOIEH+rj14y^sW67rr%SmF*F9Wv0m= z$xiPCNt#ZVn~QEsPa&f&j5=}0lBMzrGKzcCV++dK8u7+Epa#e@kny>cx$1PK@_5Sp z&%F@DnmmGM0Dj3O_$?Xj)9DG+BeA)Um9%%Ps$y?s^o0R(7Yu$Q5!{Y2ot||_azcKP zo_C@aRHFb{+W@&7Y|bz+yiV`|tT#Zb+ZCH4ahTn)h0r>NXBTzDd z^H4R#$ZNc0GsEdIoFL8^P6y5282$!8?-Fn;Druj_@Sb?c7>+UyC5G|qpt2qDt?y$v z`21tI;W~!6yf!dpZjomq{!#>0=B!c3txsckPuv^B$5B~d1;;-EJl+`oUnIy|OeXyq z!+YY+7|sT8FnCIdtAMiY;~3r(w`2Hm0H5j=#*Dye$8cECLN(HG5gq~h$s~sKW4NWD z29;afiYLJ!=vwq?4DX547)~#UW{NVvt6hpdjNv_T9>X7mk;>lyd%lP7#~9ud4~*e2 zf@PD(B>FOjlb!mn9BuQdo8 z!`}wgCmx;ZP<A*=7NFS!FlImys^TP;(6RLj)q17R{h%MBoT7GWq! z%B-vKUs=xfk|hR9`3{mlwIZ}G$74Y`b*Pj-BPHv0kKkqy;MyL&qgMV(Vt&iTOUC`B zD{G{ohAtxCMR^_!aJeO*5*UKv=?HM`8gndDoB;TlmV(QmOSrd`S0m*Xn|i;K!RYiE zq*<}3jDG;_An)J7?%<7b^sz28Y) zB;_Lf?6j$DC7OA$lqVwPESrjgVMVR-ZI<#1o5J1#P{qTje|Ge4Bn*CyzdlS~|HogS zp|3CC-;DP%AQky5jbz)<9zC*$q}!CCmB=>RW#RX}lCr`MJ+g<{l1D~MYSRHD21RB{ zB8WT_k$e6Ts|tjnjkxkGvxr3P%1IP+H_N>!XF_C-729`!O?*i%K|;4p-2O$I__90+ z31{2HW_qUeL3A;=1mh1cfg-QU-$USTPe{+&2jc=ZFB(PUU3Ax(uG7p!orD>R+%)9J z0DnOQIjDfdOuO5*sNX+=Ff*$$X4sv0699t7PJSKQ@_pxE^4W_b-*Zn8`<`7dhA4});Cq2kB+ z4IcR{h;e)bRtSC*{Mi6qWPx4-V^<&|Uk35H<8&h<8Cj2`mVFWEO@0QLQ8T@t`CDvBJ*u)$%l76nTHvdqHV+_nybEmg1!0J+A(ctpe_8K{uk zCBa&oO(CBFcs2e@n34l3)wzQU0t+fe})-HwM>-uu05}(%y40{mYCFL-Jr+ zqh?0we|D61L)k2m89jg!^+we{(H!7xQNLbzALb+yb5=5Hv!+)vr-J8VgrOuUvzFq2 za3ymylJB-6ftAc(k@B)lT@C`X5J|O?;Y}00SYQq1x#hi>&k&pv7=lzQ8E4nU6cvEa zvlLtgyOLRklpdRUvQxrTD;b?Z%9RZK);QzGLHk00y7!d~7T*4q%wQC0M+B{cKCWay zV^=cOfX@$5*d=l?8YnZ`f%L&G_?jL4HGQSn?5O%r_Jn20oKC#K4Cn7;QszA5TzM0u z_wpx(ESry)m*4NKfVSo1-IF9O!MB$WW8#;mNcjz@q7WN;3q3_8i`RZSb*+@!BV`Yp zx}F}1GR#gQ>5DLFlIaMegglG--FRGFaBE}>jnys0C*d_>}*hIb9HW*)A4u_3O_yNLmBqx|SwZ6QxxTdVQ zQVb0yl~m_Vm?*YOjxNj}C$eF`%-wU85I&+C`JckeJBxP#6vK0PaVoFF_ zU2#QOxi~N^C0<@%S}zU?Nt#()Sy>z_7VJ3eYjLz6d>o5sAXJDyCrwI7t}UyaUR+MC z_;6VARMejm9|=pG3CE8b@n~35-Spxz@mN?&d2v-8je(DcC6>mkYDy{fFJY<0C`%=! zJ`t9xEv^8jo(xN@sH!ZjDkt)%!jj>8RaR9E8+tk{l^peIpvC$c z@l06y?6TrIaukIkH-@Dvizi2DUk-*O(C%*74S_A8@mXQoCgo7DaOs@!Lhy)&Sr4_N*ZTNr;eYH zJ3e`~oLW|zpFAtpPA{8QmzYsjn>_2%&_A;#d48QyHNALh@_YjiXk%vki2SbZh2miG z*|RufP9zpKEm*!-%*?GWp88K5FIE??-H2^&b@7?^yg(mky}%!5f59K;?1EFj>f&=J z^T&Bj{Biz?{Bgkz{Bhx5_~W9t`Qzd*_~VjYUn1P4)A{4FcK*2h8veNAA^y1LP5!v{ zNB+2O*Ov)!LySMxE#;3}&*G2UZs(6Xp68GCU-QQww||8IcjoiQUG@BNcQ=3Ba~^;E z=}G>$_dWi&FY{Fb+&`8-9;oGy4JY%*gV*!NpP%H9M?T_@M+dz|fXBx0$K$j3<1Z`t zm)>^895B_$OUPhgo?I z^a@Tov@{G(j73zJOx)=s6uqSIt$)!+(a-p(SG+1y zvd+R+{8e-|t!V1(YDzTY7?G=!NGxt!o@iXTa?+$kqK)2M+F}#c0WFntlrG!y!Fr;Bj<0iF@NucU{`?RllT0aal4_#sKHO~hC483eCI_;petZVRf zbDKvOB(#P8PxacYruzR}nrM&eMi4j4$n|Zc{^R+5v`awHve7++#3I&`HhQ z?Q`(@LkyB4s_|m_X=5Q#*9`~MM04Asb|D|d?|E|nA-F)hh%N!tb{Bc6d2J1?bau^G zdhUnd&<#J4hp0FN`sx<>2PO`w?at%xl(CeDCKAo<%~b#VnJ1xYQsjF>kSLpWInS2g zsc}4_$MGnSeXK%E%xTmEV>p4n&L3Ipu4@NQB2xbQm2))0#sM0 z4ws7-H+54|2d8{ODfAB~@cUza*6Ceh(ywVgkUS~yKU#elNjhhRWXE!5I+B@aL77Df zN=PSz=qN%;eh?o2)43f1`N1TAm|WD;ys$}3nkZS4)}qYemAFU0*n84q7NAwC5+J^@ zRFa;q#)h^f{Q z^2-6##eMn-Rna<|MU|SzC|Oz?t7()*AqPOBT|CxIDpZwRNTT!)WJ~ce*f4f=5+&3& zR&ieDaq>61=$EAnL75ybbt4?h#ixaM7JXCo)2Up)H7r0&bQS=z%V@2gwMNVKEU%eJ zt;^fA-Z~je3wmD*uUO=@%u=SHwZ2t+Rwh~(G!WCxa|uh)Rv<*mD-JJoCG8Y!aXcjE)poYF7tJ=DsSZI@MhIHXYGZZYX~)d{fO zQ0+?UL5(Q6wL?sLgY%#bi!G^6ZpUkxC%wt(w3A6nhp+IYx0s!FI7#eX9WcSaQEN-u z`UK&Nx|>_kW5~-($Ok*!*=qz$A+n{f&i>uz5Tq3gee#wBepLxol@>TDI0KH|F2=F?`R^hhe| zZik8Hsj-4~rmD{(rM0P*n_;R-qwT8Ig@-38YKIaYz$NWwLvn#sYx@e=(KIDSyWMax zx&vdb8UH?~(h|5Sz|`>?qIj`T-bh-w=lxluCL?1}_<{yfPLoMelB(R3^vL4GFaP4T=?3 zVu2~kKhF6ZoHe$G<5~Hqv{;Ua9DMzZw0VT2H#nC67t7(=?%Z5wCy$HB1r7Rbx{WpT zc%Qc@2cpW_5&uMkY&ueulk-n9a(vT~csvQe=8Ja`JG`M$2HMgs%E|F31lrQ=gVy?? zVs?9|ds4yqA1%Jc7`~IGJW5y6lX;BuGkK(vxO~Y_ z07x$}DIXLLR4+3DY-tU>51I0lJH6@VtTeEn-T($D%bsn>DfxaUsDM6Pzt^jQm3;;CrOV zKDX&e7v4-Si({+2)f7OLVu5VJ0AId$IL!nkVt>e4H%fZmHA~|?IAI1fQ44Tw8Kd%m zOA6IJJ30*Y9!y{13WcwkI=XPD9k?nSXgY9@>cEtre8XU~*yOeP zR0pn2L8Cfw55GS0Vc9?3QwWLfz=wu&7$d0eS=uAGAUAm& zT$|}=TGN3O;T%aFxLXiPend?FL6uAgZcKp>bl@IQNAQuP3|6M@z>8DC{T;a5>%i^A zKm|~x&>eVLIF0VWoVC+|+ry=u4&1GZtMWkCrMhQF-$u5B>1!r`&D7CfQ#9qVXwT z;tu!Xvz|aI5*K3PpHVK`k#Llk=-qlX27}^^Dhy9Ei@cMm+zGyeB~0=Y8x}6ay{}#I znnsZW68QlB{gv2Wq#|Td`Qg^hWHo&!!zjHQv1R=OuD=MH?S92}9xh1Cm;<(SB`egY zVtC(W^~@EjaEE|u8PTzRvNoV>{HOx4SUyjj4ZU#Yj$7E|(emBg>%jJaW#nxH(W1`B zlLDK1t8-y%!^#9*hQaJGh^qV(*fLO0Rb`ZH^#JfJ>!oBxe2&|>ypirQ=k?Y!aLged zRaY(<>n|=BHep%XzDmqk(F^NDEeTHC0u8cj{e)t8qOOBaP`xj(ZCWH|N68H?Gx`Gz zIy<@%u)*{-Gy1yuT6hjkQ5Ex7zYiZkN@F)%GWCiCoBF$0C{;9a2RMhmE~L^=l5cK} z@5|&~fQ>;IN_aALj&GGy@UzT|&su}8Nc<2wtmu-5;MZa=QJebQMyx*g6xe)0G3nA$ zcJyS(4yLb*CaLZi|1hjO((+z+N7^xZ-SMx0KS$Zk0?g@-?;!3|FFtDyQUTK4@h2o? zePBTS>W;WM%N}!i{q(mx;ubq!cf{Rra`Hd1l0(pp)G$oj$L@$?I^FR?s`6T}okxtUz`k|I zp19i`)%xjWaC{Ib8SAgl-4PAqbw@17_J;DuBREx6-I11g+#Purn;oT(>?j?0W=2m( zm9nFU0XCSvW=5BouZ7pC?wI)zx+A59cSr63sykBYz3%u&{J0NcDB+#%_%z~P^Ww9% zMylV4Y_KcQ)zl#>$Eo<}pv9f-%R9&gw=!2n4H`rngvRBaow%0O zx&TipsT{9TvIkLYQ(NOIFJ*#C88WD~y$#+EzO3_9+E7jlWS_6ncHlHygaQ@4%bck9XlQH_l?SMV(SzFjfGH||4C4KO169I) z#Dt=w8M;vZgH-HT61TAjtJwWN zoupFAFqKpvtWxIg8^t|cNcFym!Sr)5?OKwt6MZi706ofiXHVTeT`(|>(S)=5Scg-UypegUz^|95=Dxg8JYbF9{BTO4&u+g5#>Wh zMc*JPc8>ZLEow(KW9QQKTHHe@rX*_68M0#+M1J#cboJeUrmnu^n+*7jUlI5AsJ4<}l7{v0S(h zsckVXfn&r;cm$Y~o&)$zkHE}Ff24Z8Zdr22fdv=X^-C4g4zZw$!UMX=;=Vt^U&=3q8wnx$fsajvqlWCBt>Y%Mt)Kd-U6$M1gq&1 zFsP}Sm&@!yvsmVlbK3B3jW{^<%DPk` zdpwj<7cb?--m-_PJB*49YbJ#|trU^B19cA}%h|59_y|=)Pa11zYLoHKl<)<*dPJ5& zxY7bRhi1BsJjSxxi}2U!jA<17SQ;R^0PB9?C}L;V+si2NNS|3K1Q4``{8O!@#_ zB3AK|rp9Ho|7sL87)9h85dXx>K$#WoU`2}@2djw1G&q+!Vk4pTqsw5G#1fIa{zr%j z2ucl(VKU9$^2Mz|!#B92f>ef<=GNwJdbP1C!C}C*h2T;ATLuGKXG)L@EDU-nJ?W00 zEkMInL|zW;O%~6&?n`>&!_?SeR!{EvX+*vQjJnE15H$!ejt_!ji!9DPyp6~mzevWl z%5N|v$JE(F7d5>;tK)Olh^+81++}IX6|Hhl!W^&i$(=y`9S6L8vf73Hox7KwnB>P$ zR-35piu2GCk?TBqny9kqpP@f_GwIQ`%%7KlinG5oRi@c5i~bq@(^B!Hd;~4^pw!h= z*U~lrZ7L|`jl=ecJkbMl6LHgyl<(3UevSr@W3}-k5Xq!yfe?{*6OJ{_!$pg{oQ9iM z6ogu*b$K@)!3MxmNdFDe|3%Qf-@_@BXpt9SvY+*Y&JQpgk@T->OJ4w;#QO56swBOn)8bUP=^S}$ccBG-5cYOtN8Qg4=LBjIwJ*bGuE z;)!$CpX9Abc+{WhC_{@qB438c=c&Ye`8_M;|8VMqpjG5`7@ycHawJ5G0%ANp=}g~} zGmv_OmGF9lBHCUKq4|Hi0kRV^$9i&lBch%;65CZx8|qm`U80-D>}w!HaJ(w=DVZ390S=2o;+?2X3<6x z#rWsR{)o|6&V^ipWYsiB)7mr%hqi^E6Qe7QP*V_qf7z z^cQo#s=*3n!9PW#Kmcc{0hP-vlt~$!X9JR*J?z;v$eXKI&n1- z>)4ARbX|aal>Cf#Bs&v1?5z=tgH903MVXNd@miK2%J5HWlO4Xr(1)Pp)Oe|dOH}?W`zFc8AXiPYYK_GM^Dqggn%G>;JH7#{ z0>Q*bLh$GSu@YXZc$F*@UktXIHnGc;uzCxQ9x0xN=xbJ7j}-J4oY?iAxHnRK z2gxnI^GdBp3YNarlhz}}-jEw_W%WogkJ@gUYP*7ljt=T5SdMpz)iiD$0{KN2qf5gW zbrGq{#bCN()LB5>;72(le2!#1KP~{~tMf#aGD-#=0w>@i#nEfErEwKR;K78wQ5%crWY5 zYF^jTVD<_)Ke4>LbhP9-lF1+SlhaOAcckrp#8ZC|oDOZ~T}EySK^oQ10(f86 z%xcz_r7K5!4sFKg1AlXX!?k5DL?lCv;SO9W^+h1x3qhkT7`RgaXc{ByCmbpvxV27F z+GszxPc72On?$(`+(%h{ZWKL|JV;|yiK5QOT{76$8+~34#2ps30jpqRjEt)Fq54!F zRh;iYy2a04b$MrzBZ+g?sj6jkN&3V|2O+c-ma{;)z)!1oEjjX9ni^ND@?x&*Xu%OE z>S^t6EpXRbtXEfKd`xgwD1lMebAW%Iio$1uL^kV6Ra2)l)(UsV5m^C3l0CSl$VIv@ zsYu?Ka0Cc2MTe8XA{qZe65iP4m4MzG24~%9>tT-f0vvs9HLLwBDRF7eD=OP3|I>pm zXYJ=|-YzE;Uo{#(fA%T!qz8Y^X>>gYjRpn;p2JO}2Xn=FuEh0q`LQ>tht>~Z8 z;=Ikw4dVt49h}=4$mzzg+&rC{+!{ACw@N&8vWzN7=PUU-+elQ3-4K#0X-`Dq1 zh}>Be-&NqcFO1LjmXY#qv_tGBrIXx3J~qKq?#MxbikBFV4Gy|FomcBq(E%BFgrQd= zwL|ZakI~qF3HLd^&|uvhg7~Q+_+iS$an?&zFDxZ+2NwG@Vr1s6&r)F4Am|+h+pDJ@ zEE^Np^vxQil#|hrC8Dd*(VG0G&_vn=3Yb}~P0e3~%JHEjGJWX+V08Dm4*WjYk ztanYl7vaSd{LpV*@kWTQ^2F60Cbr^utQ%dD${DD&#%LOpHTr5G@33eZi_QGcz}%jcW;;gx-3}=1oD=t=EjM{pj6vGj8Bkc}lo7`q+qnHQj-10kv9JKhzucrZ)O#&68E`lk8(q0ICW=|PI_DsTQ}ts2KhIJmRh^4+eu|=49Xtk#S3+o@ z17`SL3I?N$4BSz(JwiyUFQLucT?QX~(YmLLQlRHr23HrvFzaBW3qOOSir)ZzrDaG` z1sCLn@Pb%PJPU@mLs*~*CU`%bz$jv?Eu|QS5TXcrX?5&N0}p*;xTlSJP%N@Et~Q8f zR=v?i2#uqX%Rq65rAbl=7iq{K)vt0>aH)R%Ptbf5LIu5Wi5k+B$S7xYmJ|mdgeZqz zP#qgvg5h|};_8N2X0@1-B(pfGxf=}6Se7K!aDir~E|9etnJvY(2)b4t z6Ewr+IWBd1jACMd*INpA(7ZMaidm-1QP8z~0j6cz+R^Rd@+e@|TAVv4(47;pqczU+ zwC1(?O_=QE-GDt}p`=9=m{7CM*9G=adWXfhe*=>-*sHCVrP*OI!*$^dC^mCU0KPUA zhgww-uPM)6K{n`K5gi4RQ!Npx-hdtpg488R&VM~1f3+}M2bbXGPy$_p{{cM&D${N5 zXHe5l^6l#EB1P^bX_CZXnng3CH6R~MZJZfZ|H*G8JF5P-o5XBRRR52pLXbB{rh2~@`(8p%4a=d)UVVYG5^Ss;=pl0 zJ03Br;MyaGyy((+#4IT53EqIx6UQRACms*?m1!WY_q-JiSr69zNK2W0T0NBe#W_BPb>C!&B4~ zRDAwDy^#k!f3U0PMmh(~cH~sVuHR2@ysQVuBM7{56F5Kcm)P$Q)HhP=DMY0Eqti}C zaMVB@fYwIrC)6iS>p{e-Jpp{Dd7OR^qTcv?zD2pX35gk>_t;cJ@pKgMEK0-dc@eE( zx`^Css1#!mhVI8b%I9;`7oO@KP1FXG)kIWCM0{&)vNuv1M(d|UW)qPc!1b70FxO8h z4Uf-*DT*;TA~UzcoQR<7nC!unhK>}beVY{7Y!Dr0$&!4Vdg1cCo~{7L^~A&a3V1!G zAqn_H(Nq+XAA{%zuTZMH@sh#s50!>CwWn0+_NXv|(w@_mIRn3^RGJKYK2)mISA+GA z5axgnRT|RVfOl07UkQ%L@4-6oS6-RwkM$({e70{+X(=FI4eU4%2XhU7b{zZ)3;B1gSm$5WtNzP=Wcfwmp5%l2g zF)}xtDjjFCG_*Kb4Z4|~2-4F%V&QW$OV8wYK#TF5u)XJi_9i(0VR@|s+W!zKclK(+ zPlEFt(6&XwZZ>fSNUa0f{z!=X6CKrh4rsF>a%3towY<@?&&uN=cafFlR%hM1#d<~F z1d)3KVy?tn@+qXgV z-?a2h^zI4fIT;-1SROWdpVwM?9?kMJ7+$k1RCnyB9e=hoES~ooP2h6-U8UF+LC?E> zPq)6XY)vfl!O%!7YT4xTflEW8ecrerR|0;6Er{Pcu6GVD#y^1nmo0|RZ!Qgmb&}hQ zDzooyQjA0JRKnhO+M}*dG&>PZ2Pk@oM(M=oYnO(_xYlt|{s{biZc*4@PkZ6@frJb4 zEeLQS#TLZ%&r3(5J@;sJA`b*X+$C{6_tKDV;44IzEo0@hXA;o?OT0MGda@-`- z^XRE*F&Mfmi=LVs2g)=o##<4m->BT72cgaG0@cHoPFK$Ht?Vlu&a|0u;GUT95Oghx z&{@~`+%Ee-4drRx1UNe^ueZ3tFyJ_3_Ki|46>bOH1AazLrd>7rK!(i_*ZN2BY_*qH zYj4Hmcj8P#=(u-c=qv}-TuaA|?7DZRA#$8JO&ebVrW-7qZez#uv#)e$V_sx^3^KX5 zSKFjEcAP@{KyBN&1e|r2*KK3RU9@kMs-)+G?P@Tyo{j+DBs5viE`W=@4F+3R5aS z*S=61TN%8s6eAE^JtQ@p@__9FZ7=5|)yiOc1TXYYMYb2)tl4JtEa5oErpeX1&9d3Q z2Ck1RAJ>BZK{<}wZ0~%1tAwgU`6!Hk2#($B?6gPiFO?k~DY4czAh&1zIjW;2pR;Y6 zY(3vfzDR7k*>$r*2fDAZC#dqn?FYB%ZCzkKHx;|D%TYesMYnJH*iQEvGWaqq z6SwDaJ(TlqpZQhan>a>_3WOvL5j`56nUz~_-KPko{IJV4|WzIOb2+A4w7cBy}Aot))ko%osB}i&P!Mo3bGJ^l4L9{-{RfmPJv zESn2*2MX~_SV7n>+-6Ze$-ihp&`D_U<$_EeC&g@pB#qb;eytJZuKbG@q!K>b@MPBK z{6)G*8&RB(!ir>1{(Y{@X^Ir)t4KMbIY=-2c2L@HQk4__1I2BXCE=D zb-#Ze4m=UG?ma7H%g4O$`f~Tm%hRnUJ{6=FTVii>YB;r7_?`XT75a}Oc21E1>}o`%mljnm^|ne7i-p?GAvM&PpO(7XT+03n7+WWz6 zwEhKHBZXekU9G#$?&+BQPVBDIt3Z5&C3ltX`oZ^|+|l{X;Qh1ZPO@v)L%x3*D3#L- zKXyR~wkcHZ`p);A+Gu@=RhxsWcFMsx-1jpF zNB8?ol41%%P&dZjxgOdTe=~AX4R8iBxI82iGyvB^JL&h6-?YNN!2G>scU!@A-%rP$ z?2_*`!pzB1%tz2VavQ<*?C)O&P8-||?mt`pB>Q)L{`;SaYJ#l~z)2uNu$|Ea?%e?W zqc<(k0^*}Bx!VHneFAC7ojVBVRdjL#*q*hFb`_}Z1u%CIq+#^#65y6pe)&VEKnVy= zL!$of%>{iSG$%^zv%eU0M_Wp-pyoKiz3-qO?})YRYHbssP%0OeWup>cm=%wNyV*H!FMr` z3G(~+CjSjz=#k8HcR-pXF_@NZnb8T@A`B)I%#5o4bQ?f+RQ<0uiP@ZJ{!=2JE=!5@ zHQKYXwtL|mybr9FUsEb-N_XCf+Zs69L{)S`oTEDN4B4{QjuyOo@HdF-dINXXL~DO_ zWF2Pn({bgvcGsUS5Td&^v7(`6d6TI9^Zk&b+a4xK60IF3KSsnC2tx@&kIm)y5i)YH z6k8*x_^jQb6O*{79FBxBHgQ)xJ;)@Elv9vUW)n%9CULYp1PP05BH5`)93y*>aDq*w z#y5%k$@7qKl}%ji(2kY2BjEv?Nbesq$o=I;By6&YXFIgxZ$?9m^8HW)O7#GSX{I9*TRV zYvX>@#Imm9uEyqO^Bkg;037Rr4!~J&?F>Jt2w4?(QKs}zbbfx(ZNS{`;nYLtV)A6l zG2sU{u4qJNyQ3cReE>f3Kq%qau=Ls^g7QlsPYo=$n~IeXf>)!T!BV>*DBlBlYI7Nf zY`H5xo8%V;<*D*KTrG#}QLg+*JPon7DJZ`b@?;tEddRMG<+sO;UbTyY@-2`jW05aI z_Dxs*L|94f;-GvhBoy+~=4Gr-HO8iTR z{_Kfo5d}=*E6QReFxJwQuU|qeCcEA@hVdUkcaT=Z8Puv|RPK1Mztl7>QVh$0?y(HI zi*dfIgA9!das|YHZy{vNCevRBAxjkGd5FJdAv|E|+8rH)^e9L)4%dGKT{RxYH00PI zq**~GLcGL6cyQB@H9^Qy1z8I56&Auno`xJ3gtREgI`3QU*6To3u%Ek?Ic3QV`cybk&IEhc>vwJ6Ns=~C>7 zpmnfVb+;7xv?@$76c}v^|Azec7Nboe1xA~~h;k|RNAR0M3XC>|IgoF( z7;Os61|^RQcN_qJHX>8&i8lj&a#g?VPgve4yHK}Vggw1h6Io;xggsJNk+14p~%u% zK3feH7pf_tg&ukfAp}ARJp>G)LqhL_5_$`vhK@tv|GwYM?(E*}o(=vz&tK0otG$_d z-*3t{v-8c)%ooV+>8ipxfc(M2j4DLHj4C{W z_|IF6QH2PMQ3bUR>~T1w-9{B6Fh&(7Aby#}7*&YC7*)t3e!s;SRfxbCRk#50FSi(@ z3K1Bi3Qr*ZOBQ2PAp&DmVL%n$f5MqEFscxNF{&^H@hdFGs6qtBs6q$gud*1U3h&eM z$QdihhZ$W}xCD@EEzGDw1k9+ybBO<@#TZqHz!+6X@2i~6;ex6VfibGE7vj&h7^4ai z7^4b(h`-umj4DK6j4J#Q@o%&kqY4oiqYD2-{C`=DQH2PMQH3GZF#X|zst|!Os!)#j zRTg7ZAp&DmVI|@pWidt-E~YB*1U8=q(UH}?0*gsq1IVowW>i67=jFl7F)ME%{zn#L zR6#K3=V6xU8H&wnuo4t5s0xC)AP-|EG%FFm&SFFrW~%8uT^*AbW&50(-velk>&b2a z4hH5lQe2$~te$U(_&g+zmmBr7szku*u0gN`U3Gu4&5f>N}zhq3NxYCBH`)8A)qWi z0++o{8pavT2WT5E=SeIz4|9!Ye7g>Q;gJ9x4_8vpOkjRP<`3V^N9N8U-UiS;exS@D{yQHSGLQHba0yJ)X`${sqD;no zoL5>h5oD{^*`EpB4e04)&}H8WKX-2C({Kj#=Ys45YPCf!^&!6qL$+wxm4N)k!c04s zB!3wOTcTmF1M;DTnFqYUz7B(}(6BA)l(RjY$)4%h1@_-CSXRTT09j~Zrf(M*KO!Df z1(fSj4Lb&q-&mOG-UY^UMe<<18g@G%4_cV%;RW_>7_3jjJ_khAdwDa@dx3oy25Z-_ zp8+!2!la+qyL4;t)$fp0c4%BHFx?g>ef=;!XB{Ulr-Aq;t}g`Y4@BywF-%Wf%faP& zAaC~iDF9yZgJkx)C{&U+g*|9KCc)r5NzfRlMn0XMC&`<~{sjQ#evr&#CqpH9li580 ztn!06|5~$AD9D>}z6^k?{UDifPKAQJY3F|e@P;2G)8_IW_q;rLbLX2hU=Rf76@<*4 z7l%snCeWt?Fvky)32yn=d!8h3mir(8j_`wImV1*BNp`CH8pOZJkCCbFp&=MM-~9&S zf8=5GeD^RtSt;LP&q-lm#&YWgu>avqHz{4!y0E^EQf56{fbX&#(oq)XFk{&B0s5l_ zN{3e%Xa=&+0Q8y#N|#p{XhyReFI3J5IFsEeXajf?D-(bbMP-JxGXScwK`=8-V z`4|N(jLlWR4Dbh74x@mDIE(^b2+$Q4XcVvz&?w+P0D8j$jRF<|8U-BE4Eq<(WY;KQ zA)ryfSpdzmK%;<#fJOn20O%wOGzwS%%~1(bQiFa-?E311A{)fQ_MurM}P0pAAx6U$)~un>n)z^z;G z{D%wnfQ5iY0qX&3wLqhQg@8r@PX*`#3p5H?2xt`WL4cmMK%;<#fJOo1tr+^k1$)3k zK%;;^2WX}R8U-u_Gz!=c(BT$n6tED`DB#rqt+7C(fQ5iY0p9`WQwuZ-D6^Ge3K*D) z9+koS54d0tSQwkDfNj9{S`MRtg*c1?UIfrp7Pze*kre_O1$-W$w=B>oU?HGUz@dwA z{uNxX2P_0M3RnqHodp^NECe(PcoaaVSfJ?v3jvJ+{uQ7HEYK)mA)ryfF93=`drTgU z0u}-q1>6;&-7U~4U?HGUz$J*pv-9NuRsUqm;$rz+b>m4DV!-Eqkw|lF~sy1tWN=15h@FOzvVCrC>%H*1_VMWJ0kdkJH+5RqNq-e)%H&D%SJe`r7MyOdgFjzpD1yo8b|Eaudg?z44d_ zF}J@fRyfxFS+-@qj<@EuH&t+9@^|<~4E?+tXC*h=Cg$B9+a9y1n>>wmi1Y4>F$yNi zV?#+e?}6A+ARkJac@M_U zBRmIf-ZvCexj|KegVL%ivs$y>fs{`vNi-`pLu)Wk@tWuGO9>k!NDdy$>O!mP!u&s+ zE;Lur*=#Ba3fizNb+=2FL<`nH%KelS$kJSD!JrM!QpYS2BziEa8{=QNV3ydA&P#82 zmgHe!^x;HEIfs&bS=w4H!sj5Jc|$f_)Oa#MyV-xKuOY|5jp=K=9<}~ryn5uGj(i_< zq$CoFldr)t$ksxeMxgFc%BYCa(W?l-yQzWjp&aJx)x1nb(^|@?%zKpOcik z*v2{mw8SM{J$#nbuR1dTG8pOULE6p6dVe$93OAZwAM*R_IvG+EA;m`7{;va_tro03M4iv5r@wT z?e(^fG$=mxO+51Udygd)8sh#xEfAvQGh^5o zB7rBVcM$NY4U{cLCh!!M=*9YPID@uO_3{hMi36|r@Bo*fzsLFZ`$*V|3ZplnCp&tBhKp(L1 zgJdVeejkQF-Te%Eq3Gi33lEc;&Z=>W2jO2baXm_}`|LD6Ph|`G<-cHA$FP&J)TW;{0Tq}dXTmK3VJqWzR z4g&9Famxp5YVWFa2Z8jH4oWn6C671ZAaLSAb`Z!A{~+)n%IuB7!J46aL*9u0~r{2gMRrl>%s)_XL#4oPM>D4802Aii4#7tGZ9 z4+qyJip%|sQd|Hrms6B4Q{QVmxDHwFfRKHe+6b3T;7qsU^{&AIp>(|N5D^am7JfQ0 z6}VysB@=Uat9|u#e|o%tXvxHrH?Z69q6cr2i354diHT(5SG>Jf9ud4I6Z-yq>wOt- zZ^1VLlLA~CLYw`3;8&bwd zCx#u2u+4Fqvts3(snalob5!vj7#U#MoU|b1&9w9(GZ;bQ+rV8t(>tBI9lu5FWa6kp@N_!^=~KKLu6Ucs zDzy!M{RFP$I9#x6RUPW`IEkf7CFom@%f4P5^Ff8#G7dpeU?4Kt#keDo`~Dku)Cv!h zdI-NA=Mc(BCdk?QEX=FT>qbxi74G>hxS{+~YYv zsH3T`ndADprVjB*$hPphre4PVKw#&Ac{fG6x+b+2KT?MxXNMw!N|7c-q>Zs9LPvQq zFf`QBOY6J(t;NboAa?|TILxl*q9&U`&GqE}*!F`H9%LitaE)0s(~ z%d(m;i(}S^rNmKDCz)6vwKSqYCW zdc;PRNTe24bgfpd1E?a1xtpSf*6pgwR%4h0S8^!>Qp`b$67+=$PceDUo@_au$~bj< zs)K+!)T{{ynGq6m>XIf5XV({cXPm4b-%t9CmAn_%Gl zCFbP;sjAFRRe*>o5~3qPa(oVv*8ACc6f7u)0ZY|VcK~;P4mQv>=H^k9j%UT$hXAOk zplYKd@%)D~`B|#wu}M||A1Dnj-=5A^<0fBB`nl3-_RSN<^fJti+yw#4t&nCl9Un^< z<#6h%b45+@0x=}QGsKwp(pJ}iBqcKyRP{=*?6cUZtIJEkWH%6BWOw(|dXsaDl6ps)pEJ&S};0 zz=B{=yJ3hPQ&%9x*D=-7(Kvw4>+JEs%X7h{V{EYC{|)SaEWT2AU@PM)4s9L`XL_)| z;MUQz2z5LUA&?~Z0sdD+imNF(C(#6U&Q zTDcrff~)Q2<(cc1>5&~D?oSlAG|q)!Xd%ny!qTQLaA7bS8Byd{;JHZk^^L% z#DF&STL6z%S=3D%8Ufx!w?X}mRv4n`^O+*u?E})?!q?oq@(B)&keR_Xq3+9HK{0ij^xH9n<#Df8fYbl%C6Szrv7liyn z!e7F*m?p(BstzTy`G6}DkG&ap4!H!K9(P#{f=As6m=2_6fqQlwThg+?JtLv7*z#eF z+P$BNp)@A&^D{6H<T7jb_iP(yDax^)`AAJ?S^2*(m0o~lfTAG063kt z^~7eKi-@fNZeI`UPF``6te|k2U=!g-1AL-K0O9L{HNx)(?okix3a5orxY&Zm%^;{i z37r&O>JP{kzC749;M&U6GLF$>Az?B?S3YO#e&-)QTTy2g7xU>8hS6 ztWmLZA#=DGwTPr1$FJ9zirZK*De}q17v)m~ul1t%0@5d9>kFL0-VJOa1q?NNuLrT3 z2a<&(`a2U*K$mhUL>-?Z=xo4$>!s!UQDmLxN%11!?|2lhVnk_HZc;=!B`Kp$!uQYN zbg>jr&JYTdl*NGecoc3@B6T#ED5$D8fangAN$I9uW;GR1)m*Zm#(tAS+=r_2MQLMZa`Q+k@G6TVLy(qqbU&6E93rPJGSd=X%62YARP#-&1PbG$GaS?db zb-3J2!d;n|0ty&KCAz#D!QX%;{u?)6Ka48t>_w^>psDew)}_%=NfW)6q@4w>3q3w0 zEvj)8pfX8&9W)<$RBqBDwL6M1sN<8Mdeh)^Eu<<~tp)nvDAJ&&ADcty?7@*LG+7P( zrS2^G?jbNXFum&|U&NiEMvtGu{csuUQg>ek=lkS+8Qv;Iqrw;ZEkZUr!wY>AaeSd$ zB4lS9O5v%G7)5V-)(RXPSh_~x=ajY(*d-P(?89VQDOC6UGfV#^{(NA6PrMTQd6?Q4 zFGE71oMxKcEOSzVd={ve{K!$>Kv)cSI}#p0t1r`m#U%nOJ`?A^!D)dhW*B+pOW07U z@+DH4eVqTipo%K*y@(cn0vOIFi>@m&L;N*3DJZO{F>BC^ zbwznBPlDm)@6EC_H!)kBg;5WjNsLtf`lhIKqTkq{@t@1S0?fx+c9wq1u&=As+N+l9 z;<^?1hb>1NN-Op7#ZqPK;=no{mqne8ZXeF%Q|9jti}HHuppuu4DbMsZw=7}CDys4` ztCQCN(#4jTSwwA4;*5m!{P~>inZ8UUr&!ikgKte9XTPVQIh}BPjPeG9Ord0ADg58zXrouWRd2cqWSI| ztJFGZs(mvVy5M6&;;#d%UIf=WmapS2semVSPn!Seoj51M`H?`nq?|^bi}jyy{&Wpf zCujpdpJ&pn&eqJzeHQ!6`*LWdS_Y04mdBL$B}i46LX79sM@7~2Y*poQe4(hqQC9-{ z7vgpIa3WQGv|2abSI{-%Nc0&`nCIKr7vkBJx*HeY6X|LM-(jPq z@mxspf`-M<+=rE;_hEZZ*Qk#G{=y>&z;cw-!_n4OKRF+t!-F%ABK;luFmdMN^&)H` z04)Sbh2e`z==4n*bA%a`h&m03bF3)+x%x0s_5<}awA6#ZJYl8zaXHc~kmFw!zW{>( zIFmR1N%}B>?nmfF+!P@8B1&h@kIE6}!bDg%ASGoPT$l*k1CR>B^ayRVTB>I{^M*X7W4il$mo(`dR#*Cl1L_Fi zPx3_T7C&0O9`Wp*(%scl<_o_3PS>K1MgVLRg@P-LaXWW%OH{u~U znbJ+Usoepa>Omo#A7~C$Wxi5u>#Uet)08_IgBP1MSQMd-0ngbUSBf2h?%3ANiti)) zi}MMvyl$CnFRH%^9GX7!h3D!@q|lpIM$&w|(xL7AJ1l*Ja|?@8HPSXIEl3NGJBfH7Rc-l8J8^n-BDKVm7YDyrdJHrNsJ5j1JO?t#Dub zI&PCPC7c#WGBJVPe59unAL3ff3#j3{_nufdlBl0`DDJT;PZm_w&*3jjW&Bzx2G>`r zeef>FJ^m&U2ILaK0v)bl8^GCco>*#Ij?#Xs!dY$^EZ^J{yRDxsC zaxA)Y>g(TwS7K^^%QCD`!HJIyaq8!PL*J^Nu9i%1ul8!#@^T#9pvwC@I#vY&7V^0@ zDNlas#N4PARbxy2(PXUy)9NroF;#yldPd$lCn%+jFp5UH8zV%N`eI?s6UAROT^j>2 zbst5DRbGnRq;96LnS8%2i*s~Fxl}PMKU})yMJpi9Ws9kMACj4@Et#t9zBFJ5GIX1lzbk zyo$)uURovnVb$~w7)~_8s;1*A)>ur9lN2{f zZH0|__>oNf2iJ|*F0_s&6EO%aWn{^PTts`5iQ|!vWMVsp(?>FBg7`0)prpaoF!fda zzOntUT!4))z6cit?Y^Qk_FaR3s>^n6<$nS5Jw3a}h0qivkm9-ZJIzI~9qM<{s!0UfFBUKi4?2L6Q+`DJfi*`(&U?w;b z6pV(s1e2mHC}nM^v$Nt3KR?wkL#W0FBZ0`I?|KqRs(z+6XK`ji&|`XK^$bhaCgFV| zr6>$?@j8)4<_@R;EmJS*u3wUmbSHkhSXukxqANYsk6ZTdzd&^)6HD={n73*1NGA?* zJqDMQP=%JoZn%mn#9#HDG4)%7UkX=3Z%>7~D8I6FoU1|=2lO|$2X%;J7@56!4d_qE za0?kkjRLxKKm-@64h>y%Y!B!}IKv@xguJ*a-51Hx;&EhwKhScp3Ik=jQpz-#CAU!T z1x{T`uoOe;LEN%XS0i7^#2xrm%-ghhq!W+39>ts!#ioKw&_wUUH<324|1`G$pRll| zuhhZqUod4dQm=rxhTE5$`?6h~O<3eru~Ia0{Dk!eRUzZf zJt!P?CQ@)QQ?WTyk$RPdXxg46<@=_@Risg*`^d{)>ZkaP{V#`rzxa4u(uoV?dIhe@ zM7LbGLY!jWV&k@4cXd4mZv}&Q2uf}4U6?)K`l&7P<1+;B!Qq^|xZ?UKc(NKty5Hu* z?>a=n620MyDEwB(!sB$f5^||jEv^n$iRrb|_7DkR)D~O^Tek0VII!^W0OZ%t@GZA+m#y^e44@KabKL#|zv&Re2ia<4j zB5-w{uACo~Xm|aeywE?JVjqE=r#)H!rxLOb8;RQW2Aux|r?aVFrpvlVNz=>l7*Ge#7B3*hvV%^zuzrLbZA1N?!-nZZ?)0Ll#;r`-zaZ`- zPvi!xMu?!S!=|D3{j+i!;dGH04dK!R6bpOx4c3rc(V{4ns2;}UDT=;JYGdej97KK^ zdlyuIW zBuCUXS&mMRmn7=Ov7x@9n5;TM7*jda48b_^>yWqD2*4xkhxA;0e{SD(N6nCxGc3kIhUDZmn4DO^dMzUWOn)xXdjL9&S`1^$ldj zZ*fzP_mf(in3f+XWuj()0IHs91bM3!pl7guIJ=$az6qp%wZ!`EpCOP`$o+2;ML-|O5AqA(o5T$e5PaYGB$%JK?E0uEdnAAphqc{5rN8M)1yQ^mdhu)=}Wet#3 zU;?xXZ-~T>s&JT$nA+{H=xI5GmBB2Mz}eId6g}DHsPKu?BmPS$j<=4O82j|t)hHWK z$a27xp9|&vJx%rMMaCv6za2-P!(k%VDLIS$eNlTt_gvaH{UybOHK0LK+-qRA&c)2{|KKNYX{nC~uMuME1xR|E()374Y8>YB zOpGSj*fyZ;Om;btN9?JNsWNE15hFt&%pH}%LQW?d5Kzpy9_^7#48aTq-f)V~3I=MA zBX~>SbmBz!Hkn}Onl@KWas>nFjaQz+!OlIM;5C`}8w2$%t&v?s0Z#uzrBohPBofd1vHt6OCuSEml+*bR2`0*hY`=#;YJVY{+r%Z*NJROYy z&Kl{-Q%<;!w3DaPC2){CdCE+YOS^<-~w%tZDl=@tnx;vrLJcz-jZUodNlN z7EqKESU+`+Gcez;sl2SV%o&s$P&Ef9p9a%jUo}TZ-Y6%acGmRiPAcCs%x}6)U^*WW z9XMmwbf-8606z=ZI47`b?hI#ezMlmQ$qC%Ms$zz-NrYYvV{VZU3Zs4qGwa#WCo))rsPAIfyuCqmmr-h8j2`!sD%lT1`AM13>9KYHbWzNVP zzd2>opo%s7R6AQmg;>E`=S8V^w#o4;n>*hb>-0X3C(EFsw}w5A1wSjDqIWOHBMA?z zL94v`#BPd_ir!ZTpvA6)D`9LN$0hzBsN>*wuIDfFhI;a_T=8haqW|Dg!}o7k6D&sz zV&Jg_8SVn+5sTXzemG}~2mcj)Vj*&Xp9}d6(VYj~B%}=F?=ZrP_~lL`rFFlGtQPTO z#2sVfGj|YCKLqhVv++A-yYXug_m_F`h5vIKAN)%-|51p0ij6O4+8Ta-57eXw{3ia5 zhk#9f^iU-BPkbK_LMTwt5?FmF>4r;WjM*@rF z)1EE{lBTYz$YvdN0Ae3u5mY9v=LRMp_YA_SD<`d3DMw%9{9{0Gv+&VWcY_?j^Eq;n z(0TPH;(u&0k~M>ouYv|KNaLoDC}&$ZQx>A|qt!N8)>9;31a$!@36rZCh+Q8b2&y;HPwDbgQ&F=ax;d>4^IdopE#K`BR< zX>;8kA;sEb3~wjkvT+8bHK@pmvGhjb$rq1NE*^a&@#u4ZV7UO}6%B}~PJsI1N-lYd z#ccwLV(J)pp6vzro_;3EK%D=D;G1leE5LyIl4oO@MxEP7xKGDFQ^YF(yk|kTYLGk~ zi~S(?`6!^0C(!@H=~Si+NF)vRgG7=kMMscxfoibG3w`2-_>m$mfIJqc)ANv`Si^|) zy1;17dI<0*NpU}O?wpDpLq}vWkiyiu#nw9()UGZb;Gb>KJgchEG&{ z{FoX($@~~JTrpk|S0eyf2UkJ>Yghu1mMlCE^a4_3k&7GUG^I{J@Yyzs^n#{_&!Ud= zB(~~IbGtkW+-g3Na_$G}NsIgq{Gc0B&1dH!-MSW}gQ#gZo!*o|N?o6uhYVD>!1n~S z(!!;}XKTUd<-r5BF7N|$peXvykd3sto`c|G?ZFzsqI0M+j=;6v+T$*I@HUxX+Z?JtOzrqIHi5#GkdyT) z0T*NL3!V$T0NZlyog4WNX#a?Kn2pRDPDwQzzDr~3R0Lm~6Ge*EM7cbsZba~%Hj0G0 zo#8doSkSbpanx_1GyFFY{~_uN$$>n)(0g7>fEynjZ#|zU!=J(HVK|*z{UjF&=sd5_ zmEd)rvw^4~$|nJU8*(Jfr-YS=cT|o9^ThhIUqIdy>pFmLwn9cLd7R$llM#5FzKW>t z=gE<$+|7PD`A@kK&teb+XL4hn!MFGX1)srtBkq2TFB;-2v#PG%EEfejMYsAT%K9cZ z49_3xuR0k7XIi0BNp2jc=r*5l>B-z6JSE-k-%(Ei|B6kB=$BL;Z6~Mbc7Gy#=ZW&! zrUw55x((;ALJhmaCwmg~guW2#cH(LlQ1gh?**9JPoiwWM3P_yQThYf#%~b& zw?z09Nnl3a13nyeU5f+oVSt_s14_X>=z~oJjO7B5dLDfzT%I(yU>@?}sPU#;0R1^& zGjpI)Fre01e1ur)2zw)WBV&S4I->7~0e&-fvq|ME5y89l@l+m*FW6=I2N+(qERrRkFsJBgf40VZ)v9&LpS5jY#33+nMn7x` z`J_5U&*akiLIa&sH>eKIp>uUcFiz1w0vRmTO;{())cc=7a2rW-%SdYMxjejpeR}gb zu-{m`t2aje^ZvZh76DR=_m|6*e`4t$TzI)iLSD!v^3vfaIszmoiz>nL)Q9 z$vM)}Z;3*iQh5j@Pm;)2DhziO=cPcoki&+$dNcSX^#5>%!>2bo9WVQ{L}OQzOEUbK zssl$0d2}CUwZ@8cieAYjvw;DfxdcSl=8z3jf8Z3~>plt`ys@~wxi2I3_ZxVa{S%lE zEbfvsG34e2Lt_EI5eD`>(#WyR%lPyQoXLoJtO;B`n&|f5o@_@ERtHFnh54nvMX7F| z=uh**7{#hn0Qwz)Qi+mWH_Zpr%6{LUW*EtV3_k+k%R#9D@CRYwoD6UB3dYQE!3>M+ z55wSi4lu(r0jaexlVO2<6v(hI&64560XmJq+zjue_F25RmlG^s_~cI1o>IrR0rp5x zrp~T~%GVlA9l21yo_+^->QyhdqNl^u-dNpV^tDgw6nyiIrwX?8K`}Y`#4PU)+zg8y z2tR0+VAuMyoC}t0uLSTYf_1h9yfweE%5kFNxBg73Cy1X_WM=nS>WU;2iyo%|?w3VNtbc_wsr6(*OgW;PT7GqJh&+2eY-Bh7CeJ_&$YGw5>m_lU;uy$U0J4=K_5t8LWnA zqXN@djGyTt&yIOK^!M|;9L51lnL@Dt8==L#O^Zi5Q4BQ}k7LxiXa<`P_>-KM{9kzQ zA*cFaAp+(q7^c)7@ZB4(lj}6h4fHE-U5T{F5O=l?#aI;nHuedOrEE6bqIV+u5QIAO zsj}r^7%0>oxZF>!!zBs&TY(L+^`p^Wg=Rq)y@N&%=h1K*N`t(?T4!QpkI4xXKN}1+ zWYOiJH#jxO3$68G$vOv<)7f$p#9e$1@-}SU^%dNy*9)%0$R~A{lKP)5$L%)AYqE9o1$X1! zk;A1A$W1h)Rq^}STPwOgSe=HljSmrJ-&l#ZZzI!&{XmrS{(8$~9KgV|7{{*99`;XE>F z99xBGQ6v{q^`O`J5EQ6ya7Y6v!+tHHR2tWvKj(IeAze*Aq{gAK?*Gx0Mz zo1D(>uSapsELuiY28inf!A=fdKNi)iMiSm5%^>he+(Qyg6Ds|09`Exb*f5# z0Midnqx9i2=Ra+EPgA=fbkCd^tN&qRoUW=6+ME-E-xYS#cBbk<=%F^oc1R&sb9}*s zpAE&x>E7Kz-_Fd)p8&fh2P)rH^F1w{hI7?3i1WG^&y394)W29z7v{^D;7&-ZjX%U9 z5I9qAZE7hY7llBkxkql5A^J=|WH7c|H(VS7kz-!4hDY@w`f3lNk9lcR=M#5HK5k;o z5_}4WC-td60d%7WM5*0IE%+laxy^~w(9`&NBfzK`>R*6;MCdrth;qf5`GzY(pp$YY z=(qa_AHjh$$i1-LgP%=mxGDrYAs}-hP%Rc&u6PP_!?pQYbPr*E@nBT)X+T^^l<2N5 z9RvMKh)5U9kMpQUfqTJ|=wi9>xnWJdSUJC0WEOplCE0L6nS#4DM5X{m;GO_g5-7#$ z3VfW^^4ENUBJ)7N4)bd3oel@1>h z;^EZ|kLNSFhgbU&w-hboB(Pi(!sND*R#=@4Pv+C{HHcO{kURx~S1gH1$q*P$4NvDo zCsw#JZMs)1{I3n0ria7TMC;UDOj@K$vqC?S=eaB#WAJo9AGXYPIuUP$6~|O5OLmS z#FL170+{Fg^0<$(VOp3x56IF}8-0%NKf-wer(?#Vp*##Yxuv$2X z&Y)(7i8C-Mm2JMj_mAPcQsLgthFKxP%&v|=8*)T+EAai~&j%mUXe^~$U zm2$R+^QY2wFnTL^LtR*j`FD9sn`i({GpTd~9<6w&a6^4af&!-sp9;v?UJB4*@krx_ z`5~~tnZ~{@>nWgL@fdW!r%wZKXb7RPr-5^JOKtKsJ^}{kHOuXp>;++2wn$DBs7j!! ziFA9cciEsDLqxhKf{VZ-06EStP+)3(#l5r*XUP4nPBCo6P)rZ-WoHQ$;2_d)xO%}Y1~K7Gh&7O-*g}thqCq%Bri& z=F5IH<59KGthu!n)v(-8SBZviRQwLaKU(2iwwRq(G^dYN&72_SEHrh<+2kq?M!r`2 z;s<9eFe5>Qa~js#NsHIn9KTbP%}I;lipgzwP^rZKFii+o!gQl*b#7AeoA)YY^}%q@l+Mn z2GUNC*!`?-gW`MQh{ADaKJErAt}Y>1*U#T^%a=gqs3&~?6u*jjn-&k8_UL*f@Y<}a zvyIMKu;49t?|sKcSg*cd*#R5Jc=}I!yR)EH^@5Emvzub*f^IeJI}`$3$%K(HRD$vE zQ9HqJlINcy&JFBSGZ8S~27bu37T@f>9+s8Pew&2t#XorS=3)n`= z%d70%g846>D{vN^ABvu+gDYA!MH%38EQM^&+Kc-vW@eoQzXwBQezi}KV6+XYi8>PK zI% zEE}9CYAG<2iPNp4Tn&M~Ex0;7t?c0BQ`eA6l~!FqF852V?b7Y@x^RMtIvj9ngt{8g zKU;XYx)Ht$IJFg0%9Crm%Deg(wR70PYEkb4`Kcd$Hg)9gaCA41WX8Bd3TuaW%oz)( zt0+~c+XQzBEVvi&{2F%GPe_rq0@+Qp6q$<_;eU%1zEVrR2)L`PWYaH*xw@Ez{7iU0JKG>p zO88jdP9|12f^u~vX3iG;BUIwVxtTVub2Ev(2e1b{vFJ5K;tSytJF>oN{U1=t0j^ND zVz_N&!9PPKyIV)3kah=h2GN0P72&T$3OCid0=OfsWK%aH`L!s?rdqED>~<^GK>4a_ z!CT?b4!5K}0PHglijor4yHO}pt)q%!&aQAKpQcy;C2Z~mABN|%9Sf&)wKf5_j995w zS0z?sW@W+0p<>%>0F~lB7qCn7#C{EHZ~=E6hcx&ePwcb6y`Cp_B*k(^aejO4@x*R2 z5ULLs%FF*oyuh;7!(jdX^q09{D9&amkz?qgwbuWr8N=DAuSCC?`Td9D?1(8seW zd>1aftH-C$j{y37j__gXaSm$~2W|P%yQ+QC(}QBp=5T(6#&NxrE{hmK@}BAPiJuK@ zJ@LN0V?iLEGYj;}g}et+W*?vUUjuqpo_MYcSsF&heSv`w2`_osZZ6m(fjik^jp(bG zZ`maqlJC~#zGA-z*vE(u6uaOzjmS6fCg09RF((Zd%s2O=<2Plqqxw*vb&;sf~>yev)#DL3FvzP|!6 zk@CPsIN#4NkQe?T;K20$WMIoJURtU?+IoR`6Q7rO;M?_5&VB$7w_qcj?*vHo0WPFA@ABy+Dh6U z*9&VG^fJjIHZUymRn8KM8ZkKL>;R_)YkmXnj|Q*Q;5q?2S$V2HTVetU$S6R)nW}F91UKo!5iZ(@d!ARXECLXS!B zqrsSo$m=OJ-+AD=%<^^8=#q^=w5)$k#;{2Y&$brx_!Z#aCP$xWkHBeLpHv8Lt?R8e zi86w21A4Q-f9ClyC7kJV z^eV*#Yoc*ko#Hvb%=d8G+QCtQe6-#km0#B&l`NkG@VO)qb#)6&%)&b(q`M}mB>Yie zU$6-<6($L|r$7Qsfu~C_{)aQtO__=G`=X_r0-puoJc4yy8?YFd4@7}!m`b{j2Jl1= z3>_2PBhffh;I{+wpoen{Tujp^qw*`psg(9NKn~i}%dhEY#W;OBLbRBtlH@4>RuHW7 zYrtZjJ{Kig3{(-l0>~rtM2ms?LWF2BQAPBP0N$A=T1?cJqeQz#s!0DFU^U#!xKR@^ zQeTab?wYBRfC<2s5g%yRVy5aB4Iv$aYp6;BmIHi5UIN5WeJdgXuB9poxCz+1^AaGI z>c65&z%^AR0bc?f-z>KT_D27|Iwoko111jN?-Fe(AAu__5z3Gh*Q z2@ryh3lMbZj^-vH@3v_3sO65V1)oHepSwFtlK%y;vCVUnFZj=*@#Z-{4!}Jv*a#PU z^UJ8Dy9TS|y$|5kBnb3!Lhy9~0+aXafxO+Kjd(FR{~M8Z*JPEve*)~c#0RQQ@c)a( zo4oJ3MaQ{t6&(vS^cgu|DO9r_jXvEy(u({?ZZ{@j|e9lz7;`M*L5=j5!nF zg1Hy_b3_z6V8AW~c)2Ao;)P(#0t80+J+Ks+JL%ZJQN#E^h1_C~wKH&9oTIBx&qLz+IJx<(`iStl9OE)muGc>NS8ru>>qLz0G3>**UTf z7Hlr4s??txXB&^HF{5Dm!0A$uDoB;E9`LP`=tPx{m%S*{yKpuEmSW<}QXjFjUv(Tv z&kZA9CfiYTI&<|)Hl$?vwTr(4`aI#%>UFwAt2bRIJTECFKgO&VoS#eb*e?57!jt0e zXvty^2)_RZct;KelcOuYU13TtLharCC|R&v0EWwhELigSX(TqV5hbSn3FrqF?w8Lk zCE@wVJ1`-pe!P9m83Sj^!xY6WUbD-s6?Z2^Rb`f+KaQykz{@ScIn+U3kePL}U;#PH z;&R~ESdJUWaj8~3Zd8cSWB*PCLSpJa;P{F>x(C`pmf(jMg}b6Z%LVKw2zu8YV$NQ0 zrYPu{;sxr@rTpvQF(iE;SREumNo*JSAo3Q~XH8lB8Q_P41Su&HJ{OUc^`T;^>O94N zg8b0wrXzV0qV-D?YZ)Kgj;Ts;H0SX6tD5WI!wbm206wOE1CDb&9+V7Mz=s0^OW>&` zj{*0hhfSGmaAkNnfp2MeOr>|k{2!bt2$m?M-xJwXyv5;^JPW{vAUJiH)(q~z2^a6} zz|l2vBJihs9QYJc24CL}N18n<8h0Ns4_n+0^6fN@%X=hyB3U&t?C**>1K~__@QciY zy8B`TI0c}+ED%f3BcJ4U5qnH^19C87TG=?Z!YJUw$O^LG{JR3E>pUc$``j-SmC20% zh{-pBdXGrmJ8dINz}iz6HgS#*YD$+|hq|&gkF$?T-F+Ts!(N zk8i`_k{F{8{=0>tsu8Wpiy9pNhfA^s zz)8TJ;bC0^AOhuC0QUm%kRK%$Km^J&0oDRhw6m8b(KKTMghPEcz(nAF;bC1HKwy=n zxdoYL1oQ!U5Ybblj*JlyF4SiQv}64%P8H+Db-)HMXkk<*y!0Bn^94HyCuc(DXD_zD1T$^(lf5CJx(K)Y)SybtvM zECUNJU<#~*#y3vZw!qJK#nNv$T@oqb^VSplxss zNN)0oP:gd+pS0k!3Q0Kf9UDU%a14#F7%*1_oUF=ry2DGU}Xj`qw0%3cEG zsvtUbh}IA>55g(D!Md)GKY`#zkHobP!l7ocu7Pg@^nnE$13`fKt-}+_8j7jyCSVZ^ zoM{@yLWsZ`sT#Qus1}PfCPH{}{Wiksz+6O}ZcQA3xi&&L*cu6s0rsp1b&Z6G#0HNQ z*k~dKu5c!w#!85Q`ppDtf|?2BK7O>A2@z=5P7ve~Kpkh1uALB`Q)?*P0?2)Y=|YmH zn`moX4%{Xad&&JS^wzh$CKG#F55j$xf_+8s zmAoPtU|uNL7YY6t$B2*U?NU`MA_4+hSqHpNE7KCuXeIR;TFFxs0aI7u=M5A$6p^TvZoqx;e8LMz zk2d)gldM%B`ISfH zCM!atqv(Qq{V?dBBc+smN-8>1ucIh~+WjNwu%FJ^gR?`>S2fh9%tPgc?N{;LP~Jo3 zeeGBAy?D<93!%A{yqC$Fuj2diUgmDTigTW9xMG)1_5L+vokn?eh@u>sUhzL4)Kv() z#fxBOR)*;pY5D!Dn9hx)dJ~wBiEH66ZE_9MukZ3QjZ2dc?KLVd+h&j$rx*Qt{MxyXa1*i&c|eJA}IO9=dr0QU`;dH|>wh?LUPNEsB2 z)-SE{kgkEs7glQEo_OHF<)U?Ajn*b+9!0>qRF%Llv>evB9Ian><-zT%E_DoWzp+^6 z8$&*^N%LUV9Oa7{oOX6EfR9^nMn9BYwR#;PAKK6kD1uMvoV_sWgEJXtXsS6FWfX$P z+bFXbg%>1HEY-XOT3c7%f2mf0`+o?5yzWuI2lPe@w@K7ziD^yr>x6rb*gPasy$0mJ zJTw%M=Z}SH@1o`w9x}7B_ys|g08|sC+pix~=}(@AVj-%- zfjHJLN(^@q6+rpl*}rlBRknT(Fs6AyVA_2wM(%ScrQNF8CmZ2DDHrY{Px@I9pD_jr(; zmqR2iaos5~uOQVUfWMJL;cu=o&5)NC{|ti~QXX?QgEK0kkKf8K@W9*xmZ!`Bq{f5k z9z>tHm5;T@X3^?UM*w!52Ssi1z%7jm)Ru*DSg39R z{Gu!-Aj$=apzUA^{1nd93z;yv4EIv_g2}ve)rH2lqcQU~wCKJ=?&D*qiq{SndxJ=~oftE~U8AW^WnrkWR zS4eB+eOiR6>_)i(Ov%J8xQea(2yRl|1tER#7`zd-m8J)-jgOh<*~)9|7ez+PIoJBj(J4EBQGhdA2eF7QnO33rLX? z4_>jP5xNQ?zp|lI0frKbt-Mwbt=u!CnCA#%c{m8vJ-9qbra6)TV{!YUF zZPXJra3pTv3L@$QM`Xokd3`xxCAUV$?LaBTo4wIPYfPd5D za1$fu#d;NQ(6ZP8N;4Kt7ja4|%UBlc#TGOwx^tLZlX-1?(QK=n`=d<5mGgMueae}Y zh+;Bp^>$5WXxS@>`ZmRNv4&i;7Xe?vGqJZDkRqiobT~q`v!VR}`$ESfWG@@KnxWCE z8)ayT&3psos-wX5Yw{f}iHuafC?-+kQ;B^PEYEl;L!xBTCtv#tFlC*C$h3K7%=s~# zE;v_zA`~%-PE=YF)&{Chk1krJ3ox04T?dxGdQ5J@q7*xdFR0!Fpmv+U=?X|mbw{gr z6lYM$=jHG@dvLbYTF$z|)t2+x_*p1(Z8@)vU%-2@oY%(x$a}Gz*T%2ry;#m`WG*29!{Bck{%XZLZT-a+VRUW}mW9MXctc3wlWZRW(BpTU*f$zK86IRxh# z&jMWlSX&-cjOQ?@IU8M|X99MC2Zg$b^&Elht7Zbae+WEaK<9G=E$9GS5!dP7n^qL>UAn!)iyTGoscxFK-(pbd#DR9l`lMz+zScOBN;7k+q zgDL|G<)T;sYJVUyL`my`9*Z5FFUm8CR}ghN5a;=2SyWJ#2V+sHhX8rfFH9_H5f+5` zO=*^c8n7=$t#Brp#xBlJrm>49ky8+VFJg3`uGd5qCXHo07`06*nT<(d}S@o-{Sk#4R_yS+@{1R9`%wdv-xgKdT@9x#MHJI>* zGaZ8zyubO1O`V^bfKifpM+14n_cL7=(1g4gu6?wLGKHO(qkHXX6Xuw0b zrE$F$rJR;)GQmPfCuju~kAfwZOw{mJ`)ZF?dYpvATrZJiLJ-vCG7LT-eBoj}GTt4cOiTfEvd+^$O3}(s(HU8>>(__xu+6E`lcxF!> z9`UogTAJH&*z$}ywN0gCamG;an45ae=I&6DU42<|HnAR{8#F1%ztB@!TdMQ8Nz?#olW>}f-{u=COX6TZ<15O zf0La}`EQCdoc~J4IGf4;QfG7dKi1ho{*QA;jHs)qg^;?6npy~%h9A7*$KVka_`xfF z3>h(}rc$oukXiS5oYMwubRWqX})7I6KZSF+%?A_na8|BRPe9Kz9y5%!kiN=3lyFlVM&Ffs& z*|maz89hxkea+-+Wu^8sm9;nbE^B7J@_tcsXSSJjiy!^HeUPFt=10@ip6tpD$sciAGrrK&w*;J8nihcctfCz8o0@5F%l3EZ6in@JZv(_6 z!Tr@#s#(3?V-3{AZW^c2)0#V*TMc&Fl5D5{*5wfYCPOgoz)Z`MuHN>p<;}|=R0^Ry z)7jD7vy58a(^S#6sv93Wy*UENg*c?1h?5=I@U4+l3wy|~eG|kBLbToIa(luayG)-&nThi5@ZPA6``d0Py z<1}JZh}N^KFM|)lV)LnF1}f6rhZ^KJi*=6RlR?TPOEdein7e0{&eE)&jATjjJ*%&| zeU+BCH&z51HIiGmAoUMZkot$IhS|-1%aJf4nw{-i(k~h&5@+|X%yfw6NHNaM^exHs zP;9SGug{|iEB`yQoo&!PVG0Cg+FLbFXT%>iudfG{ijQNnJpBRFd$O5cTWfQ>dRE|^ zc;T+<5`R(MDtxQ1zYRU1{HpFkeYmAutxH@=wx*@4&z4tBHw#!0H7hc$NV8~0&5CT_ zfhM84AzF`|YV}2Js_OA-QJP3?f6ubaDqTYJYRYt)>(EK`>f8DKZBXK77Wx2Z3)#7e zT1_-AQu7v~H!vQ)F2VJ|C&!!n+xw7k*QXBJlj-TK=pg_lmN-gVeL)q43y3yYDY3V}~o7=S437_LC^%5TPuskL7_e$N4 zkOyt39QL-en$x^2<23$34l}`OsdAi^T$}C4Oy;Gbt1~m65pWzNQ?23rsG16rlE;`r$2mjQb~sx$u2H}KC8ijy_@+Lm@syV^bQ;-# z56L@?x2ZayklT@gpRti0Xk%VzV&oEjZrb}@G_nJw=rsP-pa+dyCBJVszc(7$fr@Xu zL)rvBLOF6(28z~rr;;W6qlVz5!M+2X##f}uVxvmp+pQ4%n+Csmd@H8E@gAjq)PzY; zxRMtnW7_|IrN+Up-1ASpiqO>CxZrTQS-m*zJlpCt%8^&{PRT9(v9%r8h9SWyHCcys zFi4Ih(fcA`nA!ucrW+s5tv4V`$DqiKrUK2zq}Bj=mzNAj7Dz~==ye*O3E`N6kJssN zoUp9E0y2RL(wWoKh(b}`yb1)*g%C^v0YeF&1n9IJI6jNQ4}SLdW!yH^_|FiU((%Dq z$WL4y>2W#EejU9{E!>xm-mTFYz@d(ctNm(c&8cXrZJJ&-t#+Skr*Xi5XRg9A_Ho4; zgxF*dkjZcWo9)2Cq`XlOHPlOml(Yz-#BY5X9c z!v-S@lFFT-0PGfZzuMgCq5qSM9$Ok3Gng1rlHYp?+F26R-_w)n?3*uItV(?AO#UT^=_HLY7)L8Mr3sBFf2`(B4K`mX?&gTUtnvK=`@QP!x$)6Bja%nR8e3}fcSw^A~hG^+iIMU zOEM1L=zK*whQShPN^Yd_^tZ8UyX1lYi`-}vB*2X~Tyg%Su_C6nYs2~vxRNjBDQyDw zj;Y<@Il~J`9fh$EhGqhr6I1gMlChy2CAgvc#MBCe9BD)U=L@ZlsWTArI~ywBlrhZn zV(JEjJZeMPEu?}MS5QMty^4q%Epbz?3((#lTb`=OX&09z++fNOu|!3dL1dj_t$9 zlj5EQ?sbcm!Z+AMBe3W^Fwm;d_~BU${or(+r=)re{_s$ItH#X*rorN*;tcMnP+TAW zH1s&YF0s(CnlVhj4rf9J+~7?Kx?=)VVOEeKg2&pg? zxHpjdSu~4Uqi2c%b4f0o8DWr%KOWdK!|-#mofuO)jhE&!OkqwW(B*g(_!q)BYBDWd zu+SU-7{;LkU5=sc`2H81DFvI4IoWm$0u0d=xkRPoB{g0Ym#!I99XWLR)Un1t<#OQ2 z0n_-;0^;`;C4H+wT^oY(HT@@mddVWC=^Nw?p~yhPAKVdhegv1-@N2uwAYfK!4F*~K z0V6b*aIEh9@}lOA8W=;S4@`%13}l{d<;sAC6A-#REd3t<`=nEP zRp_#PO#>Y(b;603^#ugCMRKBZ-SV?OH2rWM!EI!sWrM%qwm42`7JM~ek0EHT@r*R# z#59F|#v`=Dyd0=BRxql6HM{T{(EsHWUUl}&`X7seJmc_!R{@(X6=uVVB>Hjc0nVm~ zn+;dTc*re3Xj#&$9^I5B*&;a@d(^udJf9`LmQh*bB4u7SEYb41han9mY*7lbV>PE7 zj8{+K{MqfQdPS6TjmuQS^|^=NbTfBVoMhJ!v@%C8suz19c&PN_wwfve!=Oo4Zn(HP z`pSb(4T?%-BNnM-8Uzel-T?f2ZWarwMa$M6I7VAgZBTA8giZjQU9EI}C|})$j>xti zYM!6e;ReJ~kmv0X**@x2uEhkjXsPglu)^`?4lLK4uTHkAvat?m_nC-1=c;zMP2wqU z3w=7FJ0>T}Y0BG(;DzV15gx{?4{*AO)0D0OKX0I~$DkH1v%SKX+hcg~*#uVr`%uDH zMZ=Cy(%BJ2%N&miGu)B9Y*>vKz~WthHSn|umY!So^2m>|FG7rTj;<^c+rVi-X|4c< zP3)O`Z?|YObr9%Tf-GncBzjdfYx-;-R`Ny5TtDUrF_@nY!i#*FGkL<7xuR$0&x7_2 z4|7Uf7M^dqbyR9Ib(kSQ*(#VmoL74jB@FC$v&y~)5K z+zOe~(LB?71u$;31mekQ+fu_~50ZPG;XM$4WCEx=QObB#8cv^OuhmfliV_w!R45!JRKzZ0i zGL~P!kE(sq(&d4islzU$TQdRK`Ds>2>gN;@8@y5U&5%C*>F z1m{gVJ#Jm?0i?M=M9_7WRX?Zh-Kk}tOUFU~v?`t0Gg`NgzD+<*rv*}MY=JZXWpB##z zFPzqa#(=hRrLc5yIKj)$n3r!{rb@*a7#;#ftrp40TqPJg5B} z0RL=(nw6!wi;6mRqU9V9Y8*4>jz|O^pJ{p-yRVXJbT#XjSOkXCsYUy@P#dC)ON~Tz zV@9-GNGu$k5L$KA!FW}H+la#faT39(HsaqvQI%?9kU=i-$Vn9s2clA?=<*?uKW<85 z2~nR}lvy)Ky%y{R@W?`g1(L;z1^bJ~bD1TWkgun;RwdvzhvEDKoEDJhfOqC4jX{eP z^GY5$d90AIMM^d~;pHKKJ&vGNe`wdU?MbM>Rc2j3iu4rAU-~xz|KUi|D+cREmY(t5 z($78|??1uWrJo=-6l2lgRu3FZHhJ)HzB{X*%VkqN1k}e_2-B4Qh?yVg?&=uGF2`<} zmODIH@oA1IP#mw6GvHGId({UJJGBhByS;E&i8dqHz_vUBmw>}*{Y>d-8LMrmdrf7> z(5epXfNJ@@7ZM#1S2PBu#Vi(d7`VT3VuwuzCh!9uU?igsb>ck=tUngQqv<-8%@2D3 zX(8DNx9Pswkws!#IFIgtQU&U0E0gE|l}-9Auk4$b}9KI|3oVj)k=2n>d@iS=*7 z#vZg@m5TQQ_9-WHcyBVI36h?)X?fCv>v#<3Taa#alvBwGlAeQUdEQHD*Qo+jb3K&U zlqOnU@lZNm!083mS`X!?AyB^IrL^K}RjjwI=ey>#uqEh5 z;@1)#!Z5@}$D;oa=Y+VN(q^1TFMhGnfx&6B0Q3t9Lp5jie2#c9Y#B{;6BlYm`85zO zv`{{~lp961xe~WBeju#Q%K-Qbfv6N=5cP6q%Xl}4X)UH43=#Vk&cDJrA=p~VJldGn zVq&l^`-6TtVWFM#qLAvw~9tz~OBl4&ib zFbq*~T#=Xy=Y(KundH&Sv=$SC(`Gg3k0A_i+Wf+UVb=(aeF~Mlyc@)iS^(U9s<%d4 zrg#91M@E_QOHh69p^Vao)9LOMh)-|I4-9ssm$l6*FDDI9mS?S6t@g4sHQ?-IyaFqW zgG0G4^fB^7c;!(wPK%Gn)30zkjn?6s!SLhs_|ydXNAz)9_VjRyGbcQe(vzne!{mY+ zRH=(I60=9a=xrA>=18gHV4L_MY)4`_>jaE?;q3Z(l&l#uw~<&~0XDa}SaDKLlAL6z zOe5utXi0n6mD?lO6IEr>cR&rD=uiUV2ZKiSbQTu|)mrM~qG)AQqa?3kXqQ_3c(jS~Xf3^J{ z16ywCcJrBSvaw4&5{p9sf3iP|FKHOj@6Y0gXz?cCKl5jC0G0RE#KO_=&+ryN_iO>b z20!a$+n}Eay$M<&D3d(I!yrD@3dazZ!Hxyc$tD;~P(@J&_{)M2oDv#h1IVBC zLYQUa2rtSs#YIOkWEp=7Hi5t?#Y|ZcONn#zNI-UpmI5U23uKtLXgSUcHq(^Kh?ZQKlE5tgWwK@^itAo06 z;sSbw2fJ_qRj^k8@xdIUTDWaJB@oVeh0Goj-c8JvMDm93fW%@hA&b zXF8XUS4YD#v;1BFthYdPmNUqoMhDRuF3!N2VmO2=w5s%`hdSOuiNKqtKX*&V%SbvH2)ulEu9TnAB+r-b$h^?kqjp&b zu0z|hi;DPd;fPJNSC``+L+kAHORU1icI;dmYES2JAXK!3#<`V>m)m1NE}BjZ$Oi$T z)xtq|I@T5nz6`&J`bo=|#lc5W#T2u5DEJQwB=1m=Mq|b7Q5+0mxDeSCp9=dtxGd&K zq2Lq>rud;CMJf)?Hav=h)%25wSDS8uZs;a0Ta2UOF3u)lL&q}F@}hY*3CHuSeUw-> z-I|mnE2PAxd4PDiJwh@*W7TT9#)w=)eyD3@hZ_Ig3tnN$SmdOQ04EtdfN@(m(u@az zv}1|3ghGPt+yqz~;GlxMXP#s6HkRZjyHT*8i%=|vYQ+x>cW_6Yse`cllZ88%@cB$4 z>85tS{=!W(L$4s33egfJ(06i2oX!CT_Lnwn3J@(jy9p|IvD3uG3LRi~^TZ;512uK7 zB{BR(%kJ*z)8K8T1udrmwA>wQItLi2m)KA#K(tJA6I77DfxEdR<7=5QPQC)lH{qfo zDvsFG&jEXklb;jhc}4&yviguIDSOhBlQqQ3F>$Bon~WKVJpePGph}2Gcrz-(ns$L( zOpA0Iio6nJM_E!Zsh*&!bCdD}6?ih?jUc+$L&(!o^&WY7TFQ|215o{oC~eYCkUSZc z@lg6rxa@R3dIE4h0L^ZhUGyyaL7-hp^qeIsxh6=Scv|G9=ZPmn=PN*Tqlb{En-+Tr zyD<7RRQ@xF{_Y{XhGzH=@Ty#oBjR2C-EMC=xrsX?-AnyM}j z*^GvcPMXKk#asLa??1vRTG%gwMav4ejMLx^5|(lrqR$}`Rkrk`TaTNh*Cc31paB2glJeN$dwvwpkwGQYdvH$R1he6aqR_A{mG)7z%RGA9O@yn zUTzOwh&lnz#;d7su#4N8mcu=Sv}k688%*beyuk^<=}gU4%Tc}%Mz{fSBFIm-AoN=5 zu^w9Awbb<>e9LRRcqSZ9kK2PvKQFp?Mp-K*?;pP{i?S|79rK>iq6)wlM5eD8V zUOX(#+M?J1I{`N60@TZNr+Hu;%XF84>IM%bFVmgnrL-@Zy$-6sc_?|A?tBlWW0`KV zi?RL*XIFoMTC}sFVkJ?p>!BYpsRM#>R!n09%ACGdLo+o z5KtcFqBi?TcY5f#M{abKG{pTNf7AtG_UrEUhcG&KdRQRQP2B{}uD`9nh>Gf7FAnV! zv`0c2<}pSCfSN6^36f_SAM*hC%rdGuuG2vL8xw#>+D7N)sd4fKgxpP`s#W0uP@Uuf z(6b&Mo&nI`Ok5fW{0o0YzQt$LXRuOO+Vbyl1cwg$8tfp1)&m<+J3_Y!z^{kyF@fix z_6(BeD%FP@(teweUpw~|hqYHRF7hDs7O|1+x(o|GaM2yOw?yD-pTGGFN3E6Ng*MVd z0-8gmAwdNjU6&wn!ixbeBdE#+^fqcIWS?&AW z+)YqH{;*D#g!gA@=xy9F=~$qFz6)j1Pp?4#2hNsZXoeBXP<%T#1fR!aOM!%paRBHK z^25-Xvb`IEq~I_E;Zjhq^FvUkvV$9d_H}7%VF}&_?Z+N^6knYVI}(67aX`jKRCUv^3r~3b#ed8Fo6zE+SG@PcW$-8tvgGHHJn^_ymal=pp2x(ViZ8 zjiC`s8@w8~j>9P>m9%XcjF>~D% zP2f-A>~-D*$-}k-+{8R=W2$OUGU8^bn97M`R93xv$vaA#?NC$tO*T7# zyUEngdHL=^^;?L+W6)s;hUU)sZIP(F42!g(G)*H@M`Vtquuzq}9ULBni&7*R=4s|? zNhueqq1pvghS@d!9Vy&UH$>;j1{|sPB`CkQsOJJhERodH4%PcoJ5q30MAv+o66rt+ z>uXshlUrkhQ2J8b`&@?19D+txHRAacL>hbHTpXLwEd23|~x+qX1+ZpRLI z{ziU_B+Kpm)a3%dgV?B++a+;5B3~#&K@#aAxyf9yJ$yFF<@%TPirkbv@CQ;Gf46sZ zV}&j^g)*sVa!_0>cSG28xF~(dVrl^q3KIRnKf^qH8b3~z_alCZI8vUE?Rmjm1$iEK z1N!qJVP>plxHITC2&z9lHseq0`MFT%k3d=LcpD7ptwZJ z!(4c|N=Y2$Yxw-ljH$1@$BlAo$1AhWrMU60a3UC+Vkt_p$=qFBR9eKig!*lEh@L4 zFN>*G6pX&TEYkF5HP{51&39n2DYVe4q`)T3ye_A!%=p?Xtx&5kw{m+VUw%!Q9JAzE zg`(1N%(maf@)9JW;tN}%7@^>qh!UZn4XakuT+8YvT*BCen?SMG3ir0H8h;}yYV5w5h@g%`zcuP&`c0rs zjhWQqTyrLw&ZU!yYQ@&(xhS2=CdCo1sL932s%H4JC@S{+bYpXq!XTOKo(hAcv-=tt zB&qU;OvyA-m*gOs9li>L#CLcbC@O)flk*bIby;yo0P!-p>Qp*sczqubC={t)TxN%b z`i^{$z#Yvcd@`$B*m4o9X0&+kxPTWl;WUUQBddy zmF}UQTrXYD6?u?$ycA8|qzFE^TA`zt@>E0~Qr^*`%5|8yh&+7tqeQn&5o!g3l=3?8 zyB#ii*Yi{>{P$m6D^OVEO8FQ9o;CwfTd@CC@=f^t)AUyh*SuAS;6;)~Gknoj$@g=7`5K`9YQeF_b7}0NG`^8bw2#U<21Wp+nB4Ye zYyyXi-j3{)f)PvwMC7IdFg%L{{o8M~!O>;7iC=+ZjX&jJR5|e;BWAaQ~%>e{0N&LgpfIgg7@MZAsLU9JrN;dk%`Z~7Z7JX zkJ)B=lXjEH#MV3Tj1;w>k%{CzdkS9ij!e7-KT$2seu^p^{Z}wRZ%9QZJ`c1(s zNP-9GDW1s0`|0Oh=s-m#{`591fJnlROq2=yk%o&tf(SB9!2Gddv?25$Glr< zDVxgi#+i@$vy9Ee{6^HzK`XDt=SBi~g4h6rUUp)T(J=URsF#WAW6*y|7%b`!#OM;Q z6UNlri^QIAT3yN-0MWZpV-kj6gkoXV0OSZ0=m%nGVeSL{<0gh0>s514er(CBI`+m( z-eFkE7H}$w!*4@fj0iChqn#$iIS{WsvHxpxp}T5va(- zj}c9qDI2yj$Vzs6v2ZYZ|hO^Ntgz}#rc_T!+sM3kVu1kCp)lwmca znXp?lwqzEq>aHTO08S;_7%bTbN{e>)R8-YYsmg3G==g ze>_CYcc#1y6BK`}7%w99H8aMTEEo@^;2z+?4)YWT+wj={k=x#b{tsL{;d`@e=8Ibo8EayjfK2l;#*6e|G+H(+QYljqr0aX03=P7^iv*&uSwd`5_ZY#Zxq_QDXQ{r#0Vjv?7kU4=Wyp7#@-9KwFG$}d}a8o^FVC| zo`isNt-xi7Vg+7{fV-_gVjDh~VpPkoj1EeHo-l6JYCdOsZuw=9x!q zVQFoS-?hL<_Lqb+>j4~sfQueYe?f|U@%;9P5HymdyW{}3T^q`@E0kDL-nHZZCNX!|yb{nrYVKD2RI#4N- z2Ic{TtMZt5A$e}3i5eR76v9pQ4t5L-jez@GVBYbgaStjiaNr>bOHK*Qru9RmE=Owk z4&@s9+B*ufJ`8M6vshEchBfQ4W<+ip)&YGaD1~X zGmW5s2iSWoXqsUcS4;V)QKnzwQ`ID>Dk?MqNWUs!c#ybhr6U`UKvrRasED$$NKRUh zt}2|?g#yF;p_(Pk+1h6`?y?RManrC7IgVyFoW{p$#d{HYxE146s_*E7;!bbej+D;k zTemblC+`Hv{U(r|yiidv>1p0Xehu3H61{4^=Ss9`BeK`8*fR5q4VVsvQ;8yM#D$n^ zYGyqE$~8o7^c?76(vdW`9w?>ZvAhMecU#=6`C(J0FT~vfpz(V{|THBHIZ@}dPzxDkvBOuM|WiLr-865S*DUM;Q%6A@W&0`PDf)L>pc@)pjyy&$QFiJHsPojQQU1eA3`akiJ0VT<;(OO!9*4m*Me2>X1??mEk9G2@IT> z0@oNF(gIDR@zgbQPl2+Ds15EWbs_EsIWs>Vq^DWTJLN$YVS?*{n;CIlLoyA5M2kXy!s>U6E2`_2-Kk7!>&}3a3;JQ+1`P=dq*X zv2}lyyMVja!nPWRYPsDg`C&YetuA6P)^X0@<^ef=>cZ(ZMWgDsdBe@k+tn!&6 zu^3J#8nd#r>-@3W709M($fn!C?Fko0E3c~gLG+RANWZS`N>0HESNbe2frhhbgPMe% zSpVUOxVc7wb^vgt6Ew`L3!U;)qf1!8YNxk#s~ybpw*a`=1cAZ-b(HF9#vnZqMQis+ zOLIw$Hcdbz?Yyw)pu#2|lV z#x+)o>qi(>2vagazt!4T%oBFf`>ct_|{9Hd2~P_Q0-uj1ekzM&v>ghIiY6q|k)2M@;jb71yzSL;ls4^CYawg{{ioQs!KG?Z%)iln%j10uaoK7+)&%lxTrzUW*^ftQCVA) zSei;S@ZKiNdtM@q7h>%Z=cQA%i9|z&Hy2qE>nalsL_W?DxxOZmPF3MWSvx{HQ3=0| zy?(hw-TY)amEe6>7Bt7tRVHfEiBtn#y!Bw^lJl1|(VOMokW@OcFCNDA1}tbyS3}%l zpP<_2MTr!>o$jToOG3@Y20W$i6PBu6lEAy_-jMpnbYoRjBX2EptJPQ!DaQK{?VHFV z?aO>ZGKuEuR4zfulECBeUVfQoQZ4EivV@X%GoO%bb3-bFu+4qKniEMp+V17EBw3$q zz$@;K5O|RtZ0YdLWD@nsOcHOr+v8@k%@C&n55wDim()Wwv6aJbDa=Cr%;S}-f{2pfZuEsZFJMzn_^A@Z7gKDYkV)Ox7i^fslmT>JUKT($wlO3Kl z%~=?~*xuopBGVT?clu@O67>nOgTu2i!wL2ahi5vOg_%up_%+wf2P8z=(dnJ$q}|Ex zS(T`-Y^=_Ml|L{5pb|l9Br{Zb23;u)7$1DoNqPTzF00fzXTkEdyh&-(>( zW|NFB_=VOrFHWMSXim=;FZzYoqhO=qddV*=vnZ9xh?iYK)QB{QKRSG=%B&*qSDfC- z#x$3qS6$v|Y7_tDso}t{1QS1=gid;Ip{AKAS|d@MqsBR%*QA7p^pZ z(;1XbCTqo89=`@MWi(80J42e$wxOx`i_3Qbyt66sj>EeK+9t%iPQPSjI=M)^=k!d0 zm3ZIj*Np0uTZ_Ls{8LqE+E}u`IsF@|8&R|Fb@NbWVtWx&oIbYHP&5oSw-vYBU(eS5EI#!;(ZAH8ZN;uU#SO z`8BDf;v2VbGiB|+oxY6?5I-fpb@^h;e}nixr)P7zniBUv4&S;24hc}|e&_P04%2_# zehVq)_fB7Go9hzlM1$Fc)TOdD%@7RHk8}(!(8?!aT@-MXE1)hhKap7?j`nyj!PQ#! z#;YFumcZ4EW4->l%5-x>GL@^QPFsd!{mLg6V^AmeICo%{s_Wxjz744+^iRbJF7HP8 zo#^sI>8(kLlbpVdb=3(@ypvu24f7k>xKDBTHzbSPON<=>>utmNcNVR4dMKgkzMFLRVIt>GVyfFt9nx<=0r>D9(2HHKBKn zHu4;&Csc(2p6l{VXPf6YQ=*?&AOKm4@hB(L`OYZK4GS>x!KpTTLZJs=1>?h6HoI@S zCV_r*E=_~1swB>~IReR-Z1N(fH!O&JFZT0ACyoZ8Nt`dULt%82!bW?SS0hj^b9pw* zuW1yQyFD|tI3R>mGY)_%bmDUJ6X@nu;rxsvD4E94uK^3PKEBqN=xX0!H7vTu6V{lk zGCIoFx&m>7-JN-~?qI9ftd)6fC$O3L~`J?BGrto)8-@3+y zXg6;3c&fqXO-_GgEDFudPEWKBWQe!;`C>3VKiPoVFClLA4X=b1qbQ5pd_$|yHBE}! z{lgmT8tZAGen){|JXoLO9_yXH5vm*O(Pc$14kx;rnk&f|?(&U@vt)_t#gIGJBYy82T%TObL$CY%LmR8nB8vNc14+Gfg8CPK z@C`@K(Wv=>0)b4rL_FvpoJ5`akbh8fGEJ333LV^sePf_LhEz+$BmSWo@u+XW!em2o zX*0T6;xT79+H%zwS?}~;l%TPV*x>R_a?|~|pKoqniaVI%2}fuat!Z83eDS2qcR{LF zJmvDMPt}R1U4As<^o-LlS%)+b&pJJ85-IVV(<@b(q?Y4(m+yQGxX^gK;Pl3PKtjCe z^vkg4OHR+`bS)Lymp#5T@$^Th@1m4=#o^POnqSkL7Oy%zxdNx!^G{Cybak_M&Eut8 z+1I@RO$mrbD*xFTwzLx6zBjynR=xbDPoS!m-*SX3ME%&nRL z>k>_vW_ZWpyC_+QBz)KDhgxZ#c+cUtxTX=qjrSdXOH$QrgnxDVHl~{^6XI_U&!yD& zn=k(E^lYwc79Tjg_>?TplcE)^79UD6_&Ap1gC(>6_7TqY)1mZ|S@L7vL>;J=6jm}T zAWy}__62a!jS(cn5q!lpl6QEP%%WunoSAOw9v;Yd(=FkHRr&6=;cj|$0Vm^)ZJsd@ z1>~zhdC!H^r}+R7Aw?gWd`J+n51k}01WwZK41!rEkzS-oO6*VQ7()0c;!Wpx*bg_Y z02d)o2KadIRzrupJ7W>lJPDV+K ziosR+ep=?k9RNhFY>CW5(5YtMET-iovdmDB)>evx6A(M1eyXnu+{y4BydE3QwlCSc z>{Gnx*^}$1SK38Mj{d~v=m5NWItB-^Tr((2T1y|Iv&=#AZSU*JclC;rHhtWg{QCV2 zT9m9H#zm923FP$=S9-2)UKf^wO6b}GIyG89*ja^3)VupS;}vR$mk|f+dgg&;TIwXh z+fifKGsp8o22{=v~#@sMhd_T%dxImlJO^1ud>*sI7n;)=JI_Y zd}X4jB%n(w(ASlektGX@NUu7?5a?W>S zSx%JPsj`zZz8hzKapgzJT~Gdt@~@b)Sml%`xraCuO)kYAW)OaJUZ<-SVZvQJK-b%{E~*om>dGRyd_pm{I~u-Zx=8Q^}D& zknuQS>IKdu?N2oR5b`p>++aanj8#$t>ZnnnY^6ZRkAU*Eg~S$FEqB8{qmpBRRO?Q~ za(dGHLZ2f|ZzCmejSUWDf!foEVh>DWq{p6+VudGPO zHQow#F0d{pJY^Nt@;^|!W@ z3UD=Y!4JYb$i%JqN0DfRvx&*LVX9M%yoYEt9aM_c4Jsv<_%Y+xb#2k?NkZ(n4!i=a zZu4P>3LH$DdxvxZ?0_8&bWwG56?l29IqnIMl3CR5b)D34h5iWFVW!3V&zQGQokfSeWGzxrehO0I!pH?8cU3Me20`dj0eAk~X z?S?l?qq@1m#Va1S#j)cHs<;DkchvfOz}d21oWeD1Ltk&>Dtg$dI;#42umK8#6W(5 z&wtJMx-G$$f6nPgA-aE^K4N}zvxz<9%peUp+TfHxs$g)oUoTZq}e*V>R659}4b>95cS}B2t7ru#+ac95;rvCkF=l21KxQ&Y@rNW}0jbU8R$2 zXv`(kY0R(CM7ZUbPGZ$Djk!e{<7jWpMK@rAoOjZgF|#?to3_(v?^Cry* zsZ5n-G|rN#7WN5|s_$`#RE-gLRSoEEA}wgdBvvJ6qohT>DBm>%>IKoS?F!Kzmt19C zV^yu4o_&|3l6BQ~jo8|55*YjwEiSU(2`P@ey2fFGQDN zN*dM0aw#TCzmef@up1Cg#Wlxw$zI%eElR(oQjJqyF2d;?Oe2;BAl=s_7jtEHV2!5z z&YyOc5yNQpPXYN^7R1i7u7j?0DHYNmU^<5r^Yx&5#-iLp=|52h z4XH~f7Qi)z(hZcxauU8e{g_%DOb^acf~u?Dwm>N(gD4KB;1^Lp>02@bW5!_VZgRJ8 z`DWoZWa1z)QIzh*UX)XtONvw)m-0jqpF^x>Beo1r!&hugV{%uD*Y&lnpbVKQ<$Z|$ zgh`@vYzu|B4|IP6VIP>`;e80w(0w1$od)B+@J0$O07*b@i?0@oF+_x*I<9&V7^-fTW7xtYSa#xyROeQ zH((z^mD-GRxZ#O)wZ?2ZS>K4lWX8-kRwk-y=`t~Fr=XgN>9dVZ>EyyxV{=AueP=RM zrMGp#zW--3!xTKqX?96d5;I_!h)StihHz{_^HcLoc$+t3apmWVooHAh0R0Vyj z@R)Zm?&KZHEB5eO>KP>qz-B1{1-yR>Il~onx!f zv?AND7NqD>eu~)N!bOQhh7bG#-NZQz)z%@y@GFB;fmoSf<1?ifZObHale?R7w8cSJ z3c$^o2$}IA1{Cs2q`(|WKchR!c6hHKqAGl(pqrYO_pMsgHMBg5+aXaNqVr*k7_Tov z{ol7LUf~Q*(jb| zGMg#i;qD|jrGt$PkLha`!2qM&-8b>+yfgVz<#sue)joSi^+B;Bshr-JOYd;eYt68!> zvj{3x4G#|W^;C9N4&da9h+axHkg0QgwofEL7c2E2SI{>C_6~wprlg>o(CZ(nTHe*& zE~4w5pwj?q1t*)P*Hl;Kax~=UQCy((Nrk)d_h|dzboTBBrr~MNpmZn>u)g8$_NIZp z{yaS%Vj+s?vkr#kv%s)mY%8UnQfvK9IC`CfNl#n63k^6=W(NV+B*X~k>+C;XroKgG+be5w}45tZz3^FToS3{L4mDm)J8n*};G~08VE$ zm8LL%sOb-PptyxgHV%sL_xRYEE*y#J$Sl`^-Fl0qAEOEKm5>nQ_xCcvbqQUAZA$e~ zwc~O+aa=&mg&UKGn(^{c8IPzU^F0$hZ*}n$Br_SD9;)N9g2U4qGD)$gt)0x~JMkxBvQm2k_1qPk1O#6* zJpL-m>LQ*ncouhQjK4^hB5W(T=-K#4F40(ZDndto{N&wj7b3_K8YTHUnqDtz7hKA6+J_DMwnU9Qe0T}B7|)U7hQqR zm}EzZH{qSaHvpoUuns0H5}r77>KpQq^!Ky$s}K~SpI+(f>1eJg{lrLN=^p^dQ*hB8 z5oxT_oA6HQivckKF1kBmk@Un_6#^{}Nq;EVg@V%&6rrD9>6etj2u^jnGf88tQ5(GauSY z3M;WO!Y05)2k;q_5;WlBtE&j#sX`VQ`w`e`0wWcOHK|}xkOB`u^ic3HghlA5SAkS- z*HCpA?QgNHX*WD~SIC;42GpP6qLqj=CN0o&aWQeKG6_ICz(s2akyIi6q>77-^f?-= zL%{|_h|o{3K2_aV^|Vy^Ct?;-hr6+dK`MpolsbhzX0eHxM&)Y#-zt|bb9P8oQlK& zGYc-dnD9su;!cVD6RG-g=2I9NCnCkB{FaM87dM~Oq+ zY3$-45|a4Q_+^+ABt?s*E3u|E{`?T#K#5U|7078d{({i1kW&qBDA7W}BLEShpI!^e ztQoB8>&7$n*lUQFjN7fm*mLv3iSaT3{{k0Xh)82e3|a^DW#vqiT>)1C7u}z5DN#rY zu892PB+EG#V&IYyM2pZ*uZBFnsLJ;b4G(m9l-z!c!b;u)%*WuO2}Bx`k{CJ^;^x$I zGazmS7oAVgq$df&Wt_%mKGKq^oe&21q#$O5evYhaT_4?qYZUuXtgxP!1Mqin(aDH3 zCOwgvc0Em2P9?turUYbkN5Ul~NeZ`;CNXK41_`!>B3gugdNrga-R}GvPd@$)B!yba zqY!o~T=aW<#-txwN*_i}?Op}gTZB4pORXKrK-&3`l5Q0U4h6%A9ig9I-J0^aZFR_N zqYs0mkZuPc>>#-4>-daSHy=h$-EITey@dJ~LZzG|8AvxDQqrvy!Pwu8Z-jn&b;E4( zz?z1RRhr&1%*%dSSh+nAHXkl}4?bg34qY@qPEPI40NVKk`vAd`b|eI8=SNHGeaz}T zhFB5$iI@%fm0eh?OjKK>ShT-)xJ0vTCMJquz3=%H@IMuQbKOLYW2dd!Qp&&VhH(o?~DlVud6tcAqDbn_-{eckgqMcIM!Jvte(3H@D(zuVQ{TaiI1i&~f; z=*ojMSk^^5J75!waLTrEQEnk$`e3Tz6Outn*75ck7YQ9S<$XIYe_Vw$KgqX%xdKSh zAj!nTEwyDOShkAR(IHT#2I3^=%}Z91G&nQu_Dna{)#Y#kvo1L=%ktPMjKDOfJ3qK+ z!!ts$rHKXeqpWPqW*h5ybEQ2vgS|{ilPz6W<{PT`(#2)I!NizuF!K%6ocZ-go6y*n ziQO=Cf0>;sxfthLtNHRWyEmn67&X^1NX!WBa6x6HvYxJI7LwLQ@wzDO9;W9L%brIi zM2qcK9RD{8i%MfB08+k<&&P1lnSZ1TdmZXkz4b=ny}*^46A<+o1)?^)6Vb7#x+O#H zylp^~!$lwal&V|a_~W$FGTtTQ6fZu*tRA&71DDE#JOo%rS$MoMr8aNT?5Zf6$GbgT zXmqs2iUg=VAII^F#{l!R1%)+U^ehK}aS35KA!ewsWEbv#1W9N+GjVA7R@^D&4cL)b zcB_|?A8#btYB0Y!NQPH@_Kh>gJ^ zuQ&Foyn3z>2YWOphn<+i5kNoL#Q|GPkjv0PVgHe^`J|X-^zo@y48sVDN_S?+7XkMg zK`J}dXn9UVQT9qfG!?@_+lA4#-98|uz&X*J>8ti7In9ji-jNR$@~(`02vCpmAlnm6 zl>OOHS}IYPY_W3KLf(y$Uk2)*-N=<4gF_3u28Pf;sdNp}W+ZpI(nBY%m==1R(Wm_! zdmG`b6v30qn7Qi}WpzG~Gj%9n^!A_G46_yxM>=4@TIDcz5axB~sY&!fjFXVAm_S$a z4W)ZB`l~>G%R-+-yZIF23LnIoIuw-x%eeUt0kIvNQc@rS4uVP#56(O-NmevdcSA&-T(jH$WaqkS;5lj$&$$MXT#YrE?kb_ki0#kg9Iy zm3C$Ab_!8;l%J^6k%snYmb?iD-|`pO69K1l`FJU#*g{)&i62&ZqOGl?e`rv5ppAS^ zGEgf(x*R}p)FNe9`2dwqvje3&+OU$^wGx}AEaB!c<{iMh&w+UWs_wGi`e9bsG3k6P zhD5D>`2h?J3_<5JXn0CMl)-6@RZUuz?`5q-*#iXb${jklXv9!CQ^QaT0nPD4LR!>{8j+}!IU#h-7wmfhy1j*rmNeQ@bSs5>U=`Z(lwdlSDb z^IHR6$C~`YS3nlh0tHb|FNreVTjH*urdlzq3|!2RPXX>lf>aehGO%f&qaS-}s;flV z6JEiwbx;Q<)0n(REJ(LMLv68BKum_y8Q5F~>5zeqI4oTD86mmEDyQ}cyFK&6-9uLQ z9|BfUPp7E#Kt}BW;t-)K0Vhel&vnq+*nMOjhMhe<`D_(n=R1MrtE29Wqu+zMYd zk-(hBP+oy_&{LGrtnutMY5OG0C(I^mTW)<_88*@_fXf>K8TH%C+M#qrV2^k4(9F zAClUP1P#z68Tb|s+OPFKdX$&@$2QuEqSBSjZ^15@2!~VJs5XQ9w0aY{DEqe$I(KAr z45ZIz_rhGx0?KbpBwe=zLWI#o56;<>X`XoU4RCGeHOI?={Rgf^zV~Bi;Li_?~-w>kNJA@RK z9gV|7gE%c=Rl=g|Qa>i;{;@4Krz$p!cMFIdoMr>T7f|JYr4MF&HZbw5TK5`mk1P8I zaBA2BJWT;!2e6wgK%_GAyB?hp)e&l3hUqRGC&KFp*upx5!h`zLo95H#6!1t?;LB53 zfzn?qzT57O{x6&^{!pYDr>M$q@lm8ap2CTVervkVyvu<*Re{pe732`$4k1XD1$Uud z?KL|=pLm6yZ3a^t8z?=SVT$DMfw939O%-nJ)2!};j?{;>I>_j^Y~)j9X8S}%0`QPB zPiz~sL!MmVs50!v#Ou-k%?PK^+DpeavRl`zX2(LSyVl%A*g zEX2%(t`~URh`G)#ctvPWYKV8HV!|x7Y|mx+?!k^-w!x5^KYQcVgvtjIG4LjKWF9cD z<2pQdM0>W|xgcY9I6E-h!Kt@Rb{^64Z$V%^^x#9+5F*nn1p2({o;Ku;{WmGs$Q{eh z0F!O9aSc_jV7#;B*{&Wcj>b~ebpR-|+)W|A!mn*Aq@LcvGyjnK~{<@iU`I96Q>uop+#uf|KbuR_9W^{lj!|Lr1>H=-L)N4%y|Yz`MZ23~zfQ zs+zeH)nghbwnb|zmth}lYDp+c0r>X;`31pVkMxiV7V={A3yvMOt}cW+og<(^Sn&nO zaNe9{EUyTN*>DO>Pp0vaoYpmkkmxKNMFAF_^aNIru?_>yu?{R{yF=N&R1dmVqLr>~ zQ9Wr_a^l7xHgh8?9>}82OiZQ6+2feUJHY?axVIitkQa>{%!tW@ zR1H~Fx)C#|njR31a5{C3!-ob54nCn;!O^5vy8pyq7n;#vd?PY=On(j&dpIk^Jgx!$ zt-d^HIg?J&)^`jo?}Lq;RFDZ}f-^R_bgJywgtO^6oTjBg@*vVs8k}6e!{$-0-sl1ym*$@EQ%_h)wL`> z#fayu9FdLI@E0=!VmCN#^wn~2RL-sE6p}YiYhu=rLg@!A7IzRhYb`96kTbsur~HOQ zE1w1Rt3kMnYsCZ}*_wP9SWj4Z_fQYOtTHYxBqG}Wkt>a&(lDiJk(`W5V|O@h6t0k@sxytO00gtKh3lRy~?o{n#v`Uh9x zN7(!zgeov>qsKQwtd5j>;y$d{vd!pK=6@^Fp&EJt(6d4NtUsgog0fy zxQD2(0nYDC>3$?uml!0hFM;#DiDj71sFv&&jt!cHtKKUhYT;DUjm4mSu(WW$2F`^h zmLc5ecI`%Vn)hFU_zyzmtO&2B%v~ZYFd`q>yxpiyE1v~pwj+wcnW$!AV!VRTH_aGhGh{po z$B(z=j29N!l+Oo>++m-9*cC4NYhpMi^YfswG5?0>hk$elp|SWs8m&uQMraQK=@}Ev zu$a-!*&`quGz+-dTj{@LC44m!V{At4 z!F8H-39Q$SDFy>5C@||e)qZBZ4ty=MR)6`JHJ1rhkKY0cZPp#&HAfOY%=%>faS<`U zkMcE4Q2eoC{0^bFn=vf^$KdyZ=^uU=lw-2_^76L6-oc@qujTgxP;OHh5Wj$nK29=? z#a0Vpvi-kAC_}(IgfLmp{}HoGyhfNCfcKJ#X&Bk4c3VhTE( z!@xVz#59B*y+jm})>$rI1oB&i&RG_Ii;Q6`m3<*}XX)4#g}{z1_NKmf(PYf!9muuw zP3Rcf`!1>~Kk`%9yfXje@N*7#++p)a0PbXhJOjQmeAaoOHUlq0z;#yOd5B^K-h+TA ztw3TMzJg*@%dd>CcA;LWalO@b#59l$h!Qw00&}UB&6q_RxpAa1@4T)7+Q%(!B%nHg zWC-YX`U`UGi^ZprF=$L)m$x8J1Nk|2h{;BOzu>5$A~n>}gP|~s@GNLwH|fKaD3D{H zQ5*WM)j;48+xU67`T|ZVVIVBw4(JHOjv1K1$AufoCclBJl5GW0R}r$xYT^djZp1*E z)2L8h%}DhQb`0R2-onU_0`>VHkBq0)eI^5x(A&(%P!vuJ%~tkg~HR$!i>6E$U5bVR! zaB{Trhs!vyk)&-osgGNfM@$}-57&azZ5B653CKMY?=p{QJj4jw_B+PJh^Gz5r(pkZ zS}o0J*eF4TtTbM(80q^R{PUC<3;_Hvivee6r<^pJCezi~(a{o1J`d}yhGdd$-&rJ2UcdTw)R-oFs4#h_9 zS&K;^IGrFmC$EI`BidvI&4eYJ=5;mIuFS-9Ng(Jqc z)9O%Qm_JlKggJZrjP_q1iHK)dk*m<6huiV78u}{`dYcvF0jdP)gYtjZXlXsYwWG7E z7Y#H`@5xVq@=p`VPG7KCm;f~6B6q9DBp94-;pa*;c_U}`G-?zz`)*JU5;bQt7IsYP zg6uUpGru0Bw^+=p<eHZFehlI-E&euug8VH68o$Wh8lXR%*1uYQVDxYb z)r%F_Ttf-K0`!1%h{#m}*vJbCV9+!B8$f%T#lBNMJX-!^s5eMQg$p$C@_V3_HX0Iz z+4>sD`l@9TZ*7d+d8=S(Y#0E6OaY~dkc`gXQAU$dP`6n<`PDf)#wnmb%Z_oYk-P;l zrg!x851IP|p8@g9c7RPs>$go`Hh}FC$e<;p#HLM{1cWm)$fPeUfB`^-RyKloApsbv zaE;M_EodOL%4+aG6{Kg|`J3bg`B!LqX8#OmU$)qH%7b{ieuRE&d}==~qs%EK(zX8W zw%lP~Z2gDRH5%7Xo${U0x;eA^`}#FB)_zt1V88@H1%H5HA%)UrHp|8e78LD4AU$gNGX0kJilT|XGpOm-3|aB>;y*z`y(Og5C~_0SR8b18Y~^9-;6iDcz&L8?M{&2Z$jXm zTDq&+Z%tK59%9J%%*e))G&U0XlTbI8HWs~zE@O!IsT2ptVfi!^B(uZ$ca;4I{VWcC zi*JNJ#X(vm4Fy-AmMaeKOEJ#C&rp!cP%)E)g3HNUd0Y$c2z`o!v?dh_?u!muad0)h zp&<2@Lcwz=HvKFP9*u8=KDY{xV^2Y6tT=cV#c0IOP>{Mu#Y_?kK1<%pV=25N^ubk# z@GTC0L}7FavpDz_ej*KMM5d4A;^3xeRvBE&3_8yk$DNaZ9<{ zHH;IE+DHG5nFK8G6Kz!=c5jMUoF*4=V3NFOJ9wfSjYSW(kcnXIyvPzhHlraA-g!{yBI`m4NVCOx?jB(Ls{W!OX5PHM*fCTWO> zjbuhZX1LV|`@0T{QrG|;(KSLo(jhVCK#6B2Ttn-ipGoX*u6Hkndlf>Cd;} zmfOTKdZZ!tsixn?FHU90vOb&%jD4mfZBLQ9ahgYCh}h>kWD13}4tHbbM4eTReW62k zu|gV#Y49y#|Ik5GDQJl7hfl~NalX_c70!@(DmDM9LuXNF-|!GgQil@WEn@%DL3>)< z$dW|tD|sjS=0%g|S^<3aIQF#;sIvn2d}r(%9k7@Jh6lR!7V_A?wSPPL)9K`7Z(AST z7c64m%4hE*CjAuDh6~V{^>UBL zcchMr5!Nv_aExx$CpPj;b>K@9PhC*4iv3K=;r#>RP`D_SFDZ1u)>57d&kM|e@b3|9 zgiexj9YWSyp$*vk73RtBN=j2?&Ez9k^0!YOf;R6Fq^Lu_X^ow2eYmw=$dMKpnz++(|`Lyb1^ z)Dnxnl>SUmT|$)WDRmUPNiunGQ+|N9CyQ8xhkmvh$|AR_U8R2p$R|!9wMm?hWl+Jf zj_QcmOt&g=TAXmk0y@sflAk^(xX%F?^}^|-;f$b)4+)DVf>K}a?TgLvz)y3;05qn7 zb!>SqFt4z1DVG%_6+3kuz5%?42bj)dx#KzEzXEho&J^2%x7D|MdT`5uYeq=MG5=+l zm+33yzJP79pxG)_VsL}8mm8Iiuj6dlAOxg2w#2|dehqGs67m9oTx|ipKxKh}>TJ!- z-fp-!rK%MJhqST(3n>4xkSKrDnj^!d?F|sW3PW-jn(+}BTNLc4mlX%%Vr)2_=~jM| zVW|2q;gO4u3L4=AvIYRh76NJN!=`nGROvyYliP%3Mk2TkfO@GQT-WLjb?7%%RT$2Q zL~vW=asCa?Uqw}wS9_qyKv+kLkffWD&A;&e2jEs(kkkyQ{G>QWBarl9Qhr)7_>mIp zPaz)w;!_qXH6a$NRnPNX0*-v8gS7-?#8CxAzn~|#Xodc8TK{!GwP5Y7&wP)-WY7d` z#iCOy%K+PYuO0)4}g5K5Ukatr`(8fLwzGok}kF;u?-I) zC$?eh1Ds9+>J(Ta8*TFf53-SADu$V1nm`^5#9vyd)UsQsB3AFlGm;beV^+QL4gjpT zKwQe&sNES%ey?CfPLLi<$Utj6Vqu#`vZQt#lkWo zv}C&XYV{)0zAU^koh<$U_$U2X(6GkV4avCC<5Y_{A~}I$9RaaDoTmU-5;=O_SvP}n zIy>kand+BSfIixSx2jM#h0$qm_TZBR`^P2*uLAr%KL&O74*xWK3Gf1ucA

U?gPLh76?mY zbwy+CUNkz$WX6Cj)IF8KgD`x8)3PX~xVPHjLEw{I)LmUEs7EU2f^NQx*6M!ZXod%Y z6U2%FAZmN$2>|)E50Gu%q|=K<2d4iOv2EYw|AgU+Y?M2}e zYlOmG(UpR_oAMJt{L=@<=zaEhap+V%Nu#1b9_nGr8QlS~7n~zW5Xv@ABS7zP0Xuqe zBDUIt$;bK}u?)EX0%}~JGXX5-jt<@$4=g{{>>C^KTx?qa-98Gu(ZO5m#V12_B;D%6ji5O8+uw)Ngb?3eyfwm1e<7BKtyLKz*r!@O9e ztisYgJe`J@0q9y^Fltmq>~Jp>6%+-^ z4=J1JJd0FuJ$L>`sZ; z@m{EDjwk@)CgwwceBlCe&k~B*30}C_fOAJQK=&C8h zh@IiZGSW&#F@T-~$O}F|R&Pth&h&!u-G9*2ie>SGIfcQDNCsGB6_h1sE6t>a(J4FE z3uR=5*(qa-TL_RAA0QDs&jVqkzahjKpgZ41>+S{U<=zWCV0^8aZ)^kp3qXG}3cS%L z`HdH!^1+R7_DNXx^lDrJ17}Y}TYp2uF7%+rsT#4xF=V|6Fju;uyq!)FyU2rBPW6B{ zssa2JK)-VVTUuHD(~JFqy-^L|#x>ag2?#j^oSJYm zhM`Fc5KS%^tAB)+{Aw={-$97Jj4=zQTlBL4^P7TDB6f`ziBi|%hUqF+?G1o@U;?Q% zKklN~J6a-ktrw4qxjmAhlKGIZx&M+ z*As?gm1?RLOp6x31Nl7!!5aq*2t2o}=-0XFXX+rL{Sb6txoB|%rK^?@u^T;##Amo; z8OgEtF9RY8XC_C=RynGD65HARf?<93wv*{MMtYS2Fo+L!F~ ze5;;_-Rn-5=^TI=;Y_ZHHtVvrgb%~1Z#bQ}wD}_ZDn4RYS>G{$%a~#hdT=;^ad0R^ z(9SNo1Q5JwLG4`F*&tp&0=id! zJZ=k5VuL8}Ct;++32DxeI;kvGE4wf=JvfB=;x!N2bgi=yCz4`-*yeqxSNo9sLKY-0(A1qH$P(#aU0I}cp0MGV`$JwTK%qk9N z5eGIoP89lx-Dy)NWhu?0W2f%5h@*_t*uxnLQYIG%A3{ike&YQ*<0r1$n^y!63JV3_ zBkvFJnSuNCge3gUCH;(DQzXaXuVT1pY!W^0{CnO_>aeBQwMCMs+| z^lVbmR@Zs($4z>O7EwPZ;OFf!iz6^{C4SD~pIdG&cgG(Gz(phY$ndmhkZXs~sVn0;oBRy~D4NV$G28MqQ#Z6mRNSt; zg8@E)UU3Z3g9tCO4dZsdiaTUEJaFe5jjUr!vR4s z+4^Waf(RE)Ay6V2*#8bW1AdjJKi?^51l}(*2xxZ(h8sa|#CS{&BlJisMl%KOL&mNW zLsUE|&qMH4W)y8}SO7teR6K`P5__doy_l>j%K0CWuNob&jjz z-=ia$$GL>O8z7ImfOg^oVHJ|9pi$H^kx(V0<&v8mi>vS8lyd5pK+d!Yd=RW6M3}Tg zZRAu}Yc^z?aw*XAgt&}tXM&tZHnr(kaAp}6jfS1Et_IExb}Tv=Rxx2LSk41tp8@16 zI}lx!P!StLf~k#-uqUz~IrCQmQ3a=yi!FF3KG0OL-59_e2b(zkj|bRU79c16PJE21 zqI?X1j^j)(0q1oSOH7jaAyF}NEQwW~AA*q*bGhYlDEe?J`;?(7q`k%S9pjLqN z5)juR3TOgf$xu->7Mb{J1{P@@Q0{Vxq>*?p+9=k^KrGU~03wffi$rJrDtH6hXm)NM z8f3AmfV6@G7bTw$5LCD0^3K3q{Zmh{obh*_L}0OH@4I2wlcosE(_8ij?Kbz(s5181fV9Y(Hb9D`EU zk>ZWeD9IxMa=aagjuclkjRD9w8q6>o0P(CHhEC;G@TRg+v@%WuHlZF;jR7mT4pTGy#DtJwF6li@Wj*ta|_5yIN6BKq%2jMCX8H2_)Je=)UkPiax1t(;f zgf(z^>^C+!4^oZIgp={~Eu6i=T7jV$_Q_Z9Oxq}E6~@+iG!_Aw2U3rP#w|s+yby=T zDtJa@6tEeY)m>d1Dyyid=vr7r1w}c z2*T&Q%$+&scg~bMckayGGspA3;Jsklkin$fE+-Ss$X=8aSueBl;-I?k)UN|Zz3^+? z$9_g2+4~`7k4fLhZ|X$=UaPyx*CGgt535~|;Rnk2k0s*rTUZQxiP9o037y4*Ea8rn zV4#QUEG}fd%TTMYE$3l3A@!#ulO(0qN}ON8)?32ju9NUYc-k62vRvqeT-^et_k~3# z3%vP>V0n<_$2=D9YjMhntPDsqIjlUY6ui%wjq}2(i0M!H*(35@~P7zZ!znD7~*`#f>i z1aY_ao23}-S*;(9Vkl%wGI=!lQw8Rmp5FwDcA{1j1EP*J{$W8GxhQeF8;7olc4sd` zQEg4UtAS7gr`sM)D|Xu5E9h)%{KUeff8;9ZRNliaT)o zjm3*@#zSeWkyz?T;{cZS^~)WJoAI@%jA+L8GP)T%U8%VdobK~Rq}=ZFc67Ei(=^hI z*KxH)thh}oFj?@-PXx;+B!B6#P!$JksLTr_Z~}Wj^7^>jng4*&|0246qmjkon8vzH zBx}LASa6KZzXPXSJtjCWfbp7#UwYoYXwQjy7mXMQ4B{zCFnk0m1EDI#C;5|YEn{zjv2 z%CV>Eg(m~0n=fWW1f{<%_8hoLHic#y_)d{80qJZ(Gr9ZSXyxitL3;qC6&{+~q7KkJ zn=;Tec4Of8!2Rq&V&LyXa}3O-(G)^XWjc?I12y@kER1gWcZ2y?!8MhVyjv>zz`b=- zxY5o3pD~!e2iI2O!IkzYQ|(bh3#T7G{&O0%)3^r`ZfnNR(x6A(h3iu&{WPK-H?Dqa z87UX}sd?~3$X-RtB0p7>Cl?7zYx9jY@QifhMyl?I))PhHM#SItA&i37#)YeuPB2bp zyP@m6^&Ejnx|=saD1EA2pW;t&MlqrocSEtnpwI z0h;=I!0^)+kG2e?)jpbOActUI7Kkx#N#f!qk)|W=Vd?{N3PB3SzB9KrE>fE+3CH)X zcv>}OIRS_Zd>FGBW?Z8l6pZz;YJlzq9~4pLlz=!jwTSUu$3WLpYLt1VzQzG`mVlW~Bw3~#M#3%qBfu7LCZeC}pEu#%7AC*oOn6Uj?`mOn@bBtJCCHBA?) zw@x7QPr4EL?H)#yWPD@xL}jW#AMpSW0myj>+O>fuO3hgfFe*nPGgjnG9WuixGqP4L zUZo>w6kd+dAAE(ajZf1i6#5GX@@}Y~N9<)^XQXJnPG43A==ulbeu-2oiw;ewb(a~8 z(i0wJ2MOe4w6$@7+EhkeNu#nHscMn5;|se~-GoZsUutC~IreF&R{*fiQ=e>FP)lh+ zc9ptGLk!wnCH(qZ{@mLqLQXbXYgvhIN;-P&c@|MR2Bo9Q0gxK8J!&-;&e>N)9DMw z>vScJ%JE2@C6aDUixbi&RK~dt zO-hO%)T!c(RUG$4+tK@BramBB7_J@SWV8visP*{iNY3)4F+<#oHX(QrjzymaYJ&%t zEd7Y>BM%DLc-@T7UFfg$dXSSlzh7=`JZ&~*Y13Xrqu@;kIRp6lz6zN#bxTneDi2LX zf-JlS_Rbi5KOe{bj(i-zSLnb;Sn!9O@-mt6Yr(E(Hj$$yyo8!E`V)|MM#Ivt$>QiC zfytgHgZBYgd!zB}T=lWWKWI~+o@dZbk23!aIX%F!#c?XJv3uTvb?+6 zkeNgOof?#W72Nj{U~{X9H_+c>v(g<|_395r*0N_X$l?dIDdZa818CH}psN79#0NE_ zpJTPS{%is&(&^`N1fTYV9jBjBt6xf+F|&T#<8pUtuGXzm8L!IvTD}RQPya{Q%xF8g z?ax%j{$p8yG(R$swVvu(HB4tzl@yW%!<5kJCM@kMDKrG8R0*=$%Wtauq=aPED=BmX zy;4f(Ea7;DAPbl#LPUU)XdCe+(W&y25|TBDq|kWAHYuV1u{opi)a8oLQ0G?H)f_LY!gVvv(Ym#&`3GhyR8CVam&10= z((BAK`W1CY>Yi9kS(em{B^jtFA-OB~bccgtWTPY`2Y2F|(%@yYv!x z9`*Q~n!Mj0)$OZ3R%VAdQPT%7Zc020?7FyjspOmj1OF4yhyBepBUy5w@^p@IZ0)np z%#6n$6vGs!Vj{3pW1!7BuMxOI%=&GN;mj*}ENuQ0oAiSKJtkl$o8r1y^KFL5q-LI+ z2q%)o`8pt=_X6@=B#^63%^V&NA|Ln~HGEC-hqrNZs{7JVhCtR3I}^K5>yb5OW%(D3 zD=V9hJIa}3;)^RQk^^gkdM+scCrGvhjIk}_yr8~`$h*CS)hg`gTYzHUlgnF?iGQS<~&-CW_-wq`b772V6+IW-(v zfd4%wxrniNEg8cLt_YWMg7TE96+{G`h>=y(<_JT`xgI-ZzG|jU?eV_7Plg#QGEUSN zqVggr{cqc))#r(WM7G?|o>HwcPLjN_l%G?%VECN6x~fX~fWf#iGz^T$*o|SCWn?y@ zyoO!Va;CIi58_)MYVy-yCuiNstGQ`zE`E-w-Z%x+u;rjqUJ|R0Sjk8TO8+R<#)2tR z@ywcGYBv_gIE}JEhAq-u_CJDGDVQeT#=^+VyXBiPHc=TEH(eImVT=Y}2XI5v;9g~A z6edI$=V}(+<&1{!`XrmcpeAg0+e*e63DL#zl0|nIqroo$_}ZqyO}kPV;}b%Q|0D}- z*rI{o0d!Xka71%(s&XR0=1nH5(HS=Z7TMON(=sxi!U-7Uw2TNiuL36}1{Bu?7SOFh z2l5i&u1pB&R))%$oETH)-z}!g>bBr50B%hP>Q&a6i7|aU-P&^O?C~^>0E*ALQ$=Sb z1{8Nfk#*$2)d01?hnu12@ivrUdbhY6%Wpwrr_^weO|9|gxeDo51;O4?%kE&oM1I)S zZ?Hl2lC!VzTb$bV@E<|oSzul%c%=JSDjqX7ar#U zS?0k;-S$QzcY&*PUBBAqUU=a+>IW#?esW~Y98f~abdz5!Hwk1syP+Y z^DAeT)s#C9xx`VEnHdeH-Kb?Kq+74%*#Jd$g7$f9`@qVC28&xcpDX%?ECcN#L5%GJ zjeu9URSAK`7g;2qX#&rJw#i5In?OXR;j*2l1W%@nISGry`1iF9)Ma(=qPEX)2pSaM zt@Z!$my$T*WG5e!|TA&A{c1F4=XUHVATT$=ZB5)-Fhh z?f0S9*ocR$((Rwc|05I$oUKQQXNj3r^PDd9#>AyCAd1axUl)RQks#Vof+aObHKBL=KgK4`Q1v3Dz6a}{NyLs_NRL1gz`2d;% z^ZCT-WH9Yx2lgc(U-#{neq4>Q1MVk+dIu@H{nS0%X?%ax=4~S5iA37m7mDxU4C+q2 zG4z3)LNVg*K_d~vbQWCXsi8-DtnQoxFV+37|0mHLX)$s_LBaUk;=H_ZrQ-@Y@M6O7 zoMPTFViHOU3kxJ65SuW*B&TG;cu5MyCJiqv9>G}`En?$ybBapx3&*Ln=!Bet0(BIV z1K(p8d(ca&IN+eXT4~vj&I`^QTpjVPZTyFSs{391y4R@E$vKgCWVgVns0phO_gB0-7*Q`+kC-`P1~GIT01CR zm=4NjUd254XnQ9Cah_mR2$t9@$E{%LYsTIhVpAm;Z!h0?&z>Sj=J670J{a!&Qqv~C z1kUTCMwkxDWWHpG)DUfTDk$kf-33mp-qOifJr5m8p$uYEZE%1DJ3S;hI#q(}A7eTx zH0H4Yzl9PZ!T8MCmlZE--^1C|x6pqf?I9>#m<}pyOr`kv(HZ*(7+(w6PXZj``XnH?AF!SD*nJ%)kGHT!ex;f4%D5^2{uIz-d->-6VYGHNl*s8fQ zm(j#0#4>A>S7bgR&REa1f&i;reNsA~?hO9@xF;5uO{u7;nr5Icyif_OzRgu&zq~b{ z_K*q8l%u4?``WxI94s_&=ms4votdY zI@%qu2<0~=P);q&=SR*Ts#&hq>AgJPssovQWJ2qn*MsU*`3dw;G8FZk*=`H%oGO!!5({Kqz0?ZEGx9nq)eMS ze#-3Xin3y!ETUCq4!GhC5!`Q*eS>gD<5@J&TJ&QK_E42M$YTF&QpBL*`3S1a!Pc6E zAt@6-51IW{=80D1YLgUgju9PV?YYjRn_?@W*oN9-yTQb|R+dB-+c0b7&9N%8ROU%m z{G1N5|b2ZsFgg`+PTyu`xZr(+iBLMWijAG0MEAIkC-IK zq!L?pIo71*F;XWYHQY+AG)d7WnX=2ZHmx%0rtETx@>S*tTX<_?5mjcM1zc-V#0+~L z#YA2?Ge=rG*2hQ<;dpi{wZW6({1hWH+KOz75gDX1^Q}nO6Un7@-?s)^Ig&L zg=17^p_TY7I>oy3$t<#oyQ33xr&La3dN%V6o4Pj?xK*3ZLhFxT<HJs>!XWsx331Dsw^tEm?(?J_|~CikoXQ01^#XIT{`V{TW}wMViY=%$3G!BX6c_h%AK!>aKOv zP2I$+9~57d_7=&-%jGPUDU+zNng&ve5lkqF8NN!DUFYa|9UbradR~`7l5ObK)fEeJ z=1ecI60cjc%Q)YR$J*KP`m$(?<~ECAPOSHONAK`@7M36KM!N9?RIOzs$fh7B|S5Lldy%6%DSNGGpxcQSN;4Yg&e1I=6xE zKOo11%#m#9u}YXmGrcTID(9&Fv(PZcQ!yA7Q4GwQ?8TK5P5OTpcnP2n3UC#WS}`G$ zUpsb0zIj_v{cnvz>+dJ|vo8w+W_+kW2V}1gbQvch)^d0sFZ5;pJAeOs%`|vGD~h{F z29q3SMsc4+aofv29rSTTq)TjMQOCM{l2T^KDs{!I!Z>FLDqqY`B5JkXD(5}OSmaOI zggZ{wS8u^v>cP-yi+-5u7Y>9ewHmL zT81mbOz`Ngx+53kp>&Bo0GRB9PHr&=&sQ-{uU^*XFv6qnmRpY_)x>*S)$pqM?pcIE zy}*N)^7@}KBm=XwJjRD<2_Dx@Kgh+~!YQ!_FeI`1<7CNJR*%iPvakDl4^ebq%axe{ znm&Rf2CHURZZlSqdJ8gYND9f_nG!mlaH<58LisoWc!lOMZpz&988oM=%DhUmIL>?Y zxT?y+c^pt_9SWSP&e6T@m)Djxjl8zVkG!@FKNSybz5d(4Ww1v$5E!OsVqtS2xP2+ls0WeRY4=`fADCtEfBZ;v0e=2h-QEy~S)(shnOl&v;g3 z3(Xj#=F~0h1cYogC7(!1Ds*K@t%eUpm+L99Nqq%I-wJd+AFnUO9>HGX%k1Fu#-y^_ zD#*=ngP!shi=dFx=w|3&*)rB^=b_-5Q@;#w{*1~hJeSNcIbuf%HKb<)UKgq0J5lh- zp(x1Av`xSo&t&+^;Jx8t+Ved}>3QsY>GkCy0S_vy^zSO#RbFuxomO;|%-k{9AM|!m zog&y%6}LV2e!R;ga!9E#!-9Wh28Q8Rexxd$DO`g%T=A>AnbH#+)W-yMpIOnJ%h zC-RELV_w9yldEKUp>~jys~<$$7~3KJ64b|#GRRLoMVh*^n9%E&LovoKGT?nC7l@#~ z0?Zp@a5rfE6d(9#(<=3Yq^|ThoH0?iNv0>Y57@|_mZzBh3E{L}3m5JRD(OE@m4I}& z!%RxAtMw1S{UVT;ej?p#9$U&Pr&pB4v;h2{Nyd11BtHY8T@$-*h!`Qf>xB zNbASZ@vNBigIp&6%rARX=*Y*c2N&NL1cfE}8pV0Z_L|=yH zMWVe6dbRc0>$&h1JDja55$81b9o=L$Gx=1{>}>Jb<*5@)yTNMPi>B|qyvqB;h^pL* zGJN;i0xW78IV+OW9c%>C-ZsDiJ(9W@3)xb%$dtJ)Xa`*;e-am3=3ukP8^Il4PrqnWo8*b zCp8jttHrz+yg&Gu?+RvAf5ge7n9c{f9+O*nNg-KsPYFFoN~&yJU9At}zSXk1fHy%2 zACaZEiriSflV;?b6#86vt=K+4C=te0m~3l59<~(zHV>7S&aInTQOf4`(z*roEk7Ya zd$9Yv#Mc;2qC1>PA=ycu6dF!ARe~v@v3^h@ntDBoeJz^CBiid1n|v)=0r+YtUDyxm zwa9zGH2pR-89?1)2mW86^l}&Zx88<)Enpe{?8hk3rAe$ob~Ly_R0&60sR`l z{T?XWMStT3$A>%cdrjVlK~(@b&2onRjrSTKH|}-jW?+_hPzU)s6M=UKZ!tfi=CF@k ztL>O{;X%E{`1%g;@^Ti?7x?f8_wwQ+AKW|3IutbcN@Ctwc9Qa?pIXPQ?F|~6erNG* z@Lp9eMlz`T@;d}_n!bT^UsX)|(&I=y+vD(GRb;?NHz`a>p(+?sC75D@DJGgZ$Jk-0 zeSpgwiv2<;VS29tTHbE-CVt)(%@<|S;X9hms9eO%ON%qm?lp+#RF*6=#k|yrzD&7q zVkDwQ>HR-umk4C_7&n+|gq@rkAy4QgffLR}T?W!Mf;M=7Jf=oy)9IdmAfVsuY~Kd& z{YXsH$IAB)O&XW=n|*5^YTgNV$L1e2-cmk7H3N)Nfa;>yc? zk*kL@sO$J$7$a^+eo@uMt{|xweV@nbkN+ekDfApEsS->HZSaEhv!EDlaDhbiKAyH`C2pyNoAmNlh`I zhHliSGA~%6yF8_(Bp2d5pd@teeO!Poi7jr03lllfVDH*@gSWcU} z8Ljt=W|J%P3S>VtY-cmRK?n6J(%1VuZ(*bAZMOAx*&w-Z${4p5?m!@@{|iEMO4ZS1 zP@>*HygW+5DQyZy_w^*)#`qcwAokJX39$U(JY4#AQ!>+^=PMl zB1ZN2ETGxBafO__qVGo4UqxN3Z>W;w_WY_>y%`gk!;Ddz@4p_(9T=rI&t{%)<9i62 z{t@8N?r89~Msb&Wv~EjE7cZ5My`N{!wTn5q?ojn+%7-y_{zQ&-$>ZHp&jI=h4?fv( zjr9=SZI}D)jd+NeGiS<2D4DASQ}0B_XQJmA$urrwiu&pK{W|->gyC4^R(7$>4&;FhsYgjuH;PID4lbapz76VSu2{{e3|Mo$G9DG zzGAB9LaCoNdzd(eoSevZ$f!zk&U!o4?8v#yeu3fA-Bi+*DEhM~GnujHV>mTs&vQFe zHE}hC8y&N&NapaQ4dVX?eTQ+wMP@LvzE=FcRv1@b7uxzNL)As1E+UJYoUh(xQO)Z( zn4;&t)cOvXAN1_=$I&wW>6WXcuV4Wn;)^iN5lFiEKrObKoEgA%b?r!tSEr8603 zf?d|?|H0C1FNQViCF9R0WQT#cSm&d$F6JB;GjNFNNQSr^{zxZD?9r!#bB16Qx>!-w zT_W=+T~4wE8{0dXPtp9QA-up(Vl2bE>){6eQJ`1)@K4F)rS-cf=GCe$=0J_OelL@c zu_D-*Sd>lBIW;v?7TCRowK>zLRI??BNiSJKjyA#`;~q#$783%x-`AXa1KHwAev*tP zpZF*%|6-|HBPPk?&Xkv#F*dEO%XGfHTlP=<3yd>)-lVmB zXIUp6!~cRLAVVy+2+uU@O*N|Jk7-&Wjb96Pj?Ju^u3G-*StW5Tf;_1OL+iYM()6Kp z!O_Vh_~jmxw3b`-R1#|a#InQKYA&eiS*-`~aAMsxr#T9inhir!>ZZ+P9a^=Nh3qkj^<>KS zA%~G$M7G&Qnqg;y@knU&4cN!}7nELTayvnCiz@DR-%Qo=UkA`84+5W;CNDPA6hj98 zHn8vV@O|j9PWkUY0CJW^wyXe4RRP@00Ph9#J0EzAt)ZWTx*1tWfo%Obv(WLiVOx{d z{XJH<@g^C|@eV^us_05GLC*h>2x(X;p$jDV1RX_Es6I=q+`ru zv37w<`zGMDtCE@Af73^oRaBSN*zh33Liv~{FcmuwsDMsIb-IuNRqd>{zXXE153vKh zl;j4~IYaKWyP8(x4!GZgJ*a0PKQ9Ku4!GkDR9Y-z4D9i{Ma@K!WoJbG9iPI=gxv_f zbjGho+B7kR=_|{?u2`F3`_zyrSp6>$e-zXmQgBv&OnF6xN}CZSJ-Dz^qOEK*E87o+ z1EEOSy!qvID(#|JS&6o?%|$k-%aN=0WhZhXV)=C00qNu>ZGNEWzdY}Pnnv*`#oIIO z!GPpY>fZOn6IoQAFCLmoovCA$_HjV+MUNFAa#lHR7}GupNW-ARlBj8X_&(lo9+LKH zV9LKGB_%LROJ-eD9+upg^Hd{RPG*0fg`5TTN&EQ>3QGUPlqAP#Vs+XJ0bN4m`Cfu; zmY15dJR{&#+PZ+LL46ghH+$?(Js+iWX|z(QUnVkUuuWwQx%+zxZ6o4udl2qnZI;K) z)N6@wGP5F?SR-*8&lrl%0q;Tf20}KUCOcLG!zJfddnXZg>`i8BQ(pwqd=E{I%c0A1 zZQLq2ru(%q_MRQ;aZv82**+xzyZPZkmMZstN=TYYQb_Kal+atmq}rhTCYh$s2+^>7 zBZ)Tn9|>N(Uq0G<;c4lZ4*x+OoUh5=lMw`Yz?rb_%|$;bJ;aYzm(h5gM`W!^{mXh} zHTy>C^hiz>kd)BL>jd^}iI8AYNVrl$6%rxAq|lnTB*KOl5l#wS?eFNk1Z08_a#DtNuf`ea7hX6kq8N*i@pRLNEH15Z*;oGd)`l5n|13Tr_Rb z(=jjjz7G6Ka@!wW+a(lj`!qlsw17OaF8~r0YltNNV7g7984(f-U-Lyq5%@(}6z|{Sah}QbN(@9A?b9 zl=uy=XRFVl4XE0{^_x&wi@caqum92;jXSyg5{iH$H^RCU(U&2n^HlIZR4^3Q!f(Z& z67kMN4uT@Y-_oz*89KN>B=Ky4G4_b?e82>BU`VMFu4h1DEeHUHbsrN5Vc70IAkXFU zCMW{=ZCzb^u?i+Nld{4}0hmcyrT|(2=%ZY(g~D2d4d@jnAfW0PKpVLH42lMw2WXFG zQuBZcfB?>cRs#Azu3P?BKtcW0Xt%Yeb)R*EZfoWPI#??cWn%R)8ZiJ@8_J zI$LJ=#90-4yjPBmPAu<4R9uf(0}UgYj0X$54>m5^z3se)Cn&!^=FULp7qHoj@)@r zSPP2DT1yiMasQh@3rsx+g95X;TmV@$62jDF_*!bvg0SQj3JY2U>SC@RfWlgYtf>o5 zVX*cjmoGvVLqcFo;-vEiE&S^jWvfOg48{(w{{e-y2w99<1><`zQ~no)5#7{8>K#zN zfI=-8>ciY03TqLyNKY4lbQ+OkJR}KW&8i7vjqv}tBwHolldVn$<3g_Mps*GpixC!# ztGK)ivN$p{Zo>@ zg=`y=kZnT(!6W=pOlbZq-hLo!f`s&Y+8kIuPCh$I$~B#G>M)!d&;XFjUYV`NLZ5-3 z3;RTf2EZ@ogmp_3kWTbv;EQ0ag*qqk^)@LtL)I9h35l)F{g*Ua(#-9bjjq)?p8=l! zl(=Cgc=$y9Bo}L-bcxk!g_%aot*WS+Q)8xB4xglNmy~xs9{0^DDI^K?dMUqwANdLK z-{x3CRGdII=r8$Qc3-wy50zkY4-)%9VJ$+o;>3c$$wZEaA~2#WPNW3oJLm?GW^+9c z3TqLyNXegZWBh^3TOo@iA*}g{QCAz?(c@m^)8H05a16uCIZ30`Q9+VVz?FGLX4L z&=+y}I23^%-L6FZ5#U~g(vw*g<9ag`)*>q9)~MI;*1fa=wNL>(Uy|}8WN$nP*EAVY(e!3u87+g^3tta^}+KveiGJ{VdNjOJ;z+4U|a1+%l7*mARSJ@B`9TdvNb0 zw+U>3{R7CBm$`eQ%j;U*w#g~D1yrM$N3Cps}Oc$PW1km?LsED6zC zH;~q#MWXJn+3HGYIw&WRmTWx}tfPE*|PeWlXU|@%JsR{5bC!HT5u0)lg&-5KDHOm^s3%M5 zhjT9RM_PVOrcFyscM73}QwVLGLP)cPkYq;ENulyg=^b`6n|>*857$EH05}M!5s&_T+ zUWivIM}K@15V-h?qT zU1OXAFLyMzaEswWM{|l(46_`~=}s|JIGWp23{RtZ|9#mi3(XmP5Zwi>vlD1G1zzQ7 z@(R2<%qP>?M+S z#)&yiyhExaMM%pkTxa?!c`R>1`e%~ICA$S{o>S%qF^{KnQ*EzEFKKR=b5n(tIjilu zJMtGp^2nZ2;VjIlmZhn3f7r2-HFrrj95FA;R_dW_)q>k$HVA+66iP_$$X)sbjA`|j zGYC_u@(w;6^**$6)d`*WVKgb=2y*hp{JsI!iy(Y%$dhC}n=-bVTtvD`8) zx)R0_TbP4^R>G)LLh3}wHgyMKd>U_a_1H855@grzA zcxQlB?cuq3+^naU*Qi$aIXKc?C3O#~q6f3p9ZTVnt=4EsHoYJEY}|9Y+{9rNDs9~Jy3)k)conzG{!gRcgw7PoZ9H@{I&Xw= zITWq)U7bhY-|9^*Nv6sLr%@lMKXRH4}ik>*> zh>&UzMYjXgY=?0PO!d$Z7_(r?NyM1ZlVjGm(0kAXt6@AFrVA4>UJ2uJ7{7rYf$?gX zZcD_t1I7~2UV(PO_&1ooieuca&nA5>w1anc+2o^IH4ljY>A)@L@6c2E@Kd!q%!!yR zZFX2MhB@3;UqS8D86_PVQt41!-midh1Hf-XMKB%@(j2mUz!J1P2K8^F)K3T>o|Yij-TJV{9j07pCX78{8jy&w9L6GY zTmdbGaV$*dBw~CKMq#=R+6LoXn6630*eZkZD|g|CP+D8&|6qF7V{|k5k@j;n6^R0> zXFN0-iMQbX(vxuevyb#;bf?n%SwEgQrJ|hO>s9zUZPhYlRB_6VNNk|?pAL%a$ znfqRFQw6qBryawJ1>MGCXdkl8TZL2~C^}D@^%TjGYBeV)i3Y^VUxR!Kt>Y*tqn%PC zNuJ=zyM>PRXA{Y0k`cLh$<>WWrST|i1D%i5r6ex$r2NX2IHOD;8+DIknMkB|yVH0U zBla}0uXtkKgRoK8!6+^4FVGekcfj;jETi22@4~p8tUnF4XwUuMnqr5dvk&7j$MLX4 z^%y7{#^Yf+#bfmH*{H9AQ3_K~=fgM&ruiPDpEal5x(&D*9bX|j8jA~|m5APo*khik ztK%d0`G>^BzMSDnZ+tkVzZ;1Sa5u(Dm~yYFsxIN=fHL#NqVP28yOF*b$-AL$9q1g= zL#icYGw*T@fb%^usXr zB)`W&n_&C{Ot*WC5jOk?V>V2qAk|T+Ct!Lh5o77`%&AgKA3#H3+y>JZi5OSHxC;AZ z%u)@bZWB^zP+Z+-oWR#ks67pO1jb`w8tO4d*mwzyGQv9o+6Ciym}Ys5emVJl)+Qu& zVCY_`eJ7=^fqRiB5dnQ{|DfuN{=rZYj4NQ;7{?gdHf~2kV1IzFLt+=)KORuxe@L7| zVa$crBhjjDNM%CN1>y`sdLJf9=H`?^NWC71`fupy6l1r*tw$8M|D5S^yOQ1 zUHR;?Dja;KkCr{kvY_0k-v(_6NK>GhpnU>T+jdQ(6~v>B_0fj@5>VHDz$g`33R-`V zPV>+tB)zOkmB&k?z6kEca6S%ggZn(vXUB6l>StlBfT%#jFO!vhx(oH-d*)m=B z{{pH6Zjl;|#B*?Oij%;Gvte9J-XDM#!uSbH|MD2!(uDCD82iI?BD4m^+;?d2Par<8bY8PT(K>H9KiP(fVQFL4bV;vS>3uSawstTsdJVvkh8uh=0__f1=A!R6$bSW7cyPo>F;L3hj*e+&jUhawyeIrcuzgeLiKb4dcE-~RZ> zAl~GoG4he9di@!{f#oEufowM;A-Wl%0%gWxGRYLlgI5Uq!+Ul>uPM&QcruO%SX*x1Rp|Zl_%(_Y1C5i!ZZm| z-Pnc$lX#-AS#lUL_F@PR>!d0L1s3%vGmnJTt4YVmWifnMPo=n-(P zCuy;VBOzOq;<+LzG=)B>QHv{!#pt~c+J(%Eq>0~%Xx$%a@lPRIlR_68xh&S<>X5kv zYJW8AAEc*tiI)>Bk=v%lF-6-}fvzwOf+ET)dJ0@n_JTV0O==6|Laxt*!dgV7#8&IU zM{s*T%4J&X9)$3{J&>jM8);|KW7!m^GnT4_{Bm6%v z=D~ayLjy4Sk$55$)*>VrTl6A0+jr1`d}7XrB4DD6N(2`Jl)8kG0ALq$EguSmwTKE> zQb@iHsMo{z4g7`3haih5A_eka!CugZ275egpkT8VXrF31O4)H|VbXmVk2DLWZAig;#6_{X=k*=#0LE=ziCwPIw+%uQl*GJ z35B(oi1A_lmI<(qx6=e#g!OI{ND1rxCXg29lmlT-59<^YXwTBR33LwYo+i+ZmM1q* zyDN0y@5F3}Y>AqiAi6}w0J#lLg3iaN{apVK3TqLybu%YSF{U2P`wC={B;*uh$H`tX zc05yx(H33Dvo3B_>{quH$*O=)}=!T+xX|>+fLPdMD!< zth@`cPoc0DvrN&oHvx*SrwLGWCzt?5cajNEbfZjwq8o1l6kVwabfzbIkqXrVyUR#O zf}MKkUrB3DM7qSf9g+PCTb}l|D-tWT?(MPpU6G{J>u*FsKFJdxTXqtnWe9469>(v! zCs;6D$-|kP)p30p#GTKtl>as@Ms(^HxQRaxLlG#^bt!U!a~jm5r&8;M847C=wRO2p z>hgUqhxBlfB;?d(=X9?wJGZg7ggo&(xAkv{&h1Wt-_~pA_QOqB>a}wRTdz`=ojcmP z6j!r;T{dVjX7U5s>P;vc1E(PU7!=lGk*UVdOn_?KX985?PbNS$9tLON7OJte2~dqk znE=&zoC!!Zo`!loq^DG)1iSRq8%cWuN|#uz9^m*7_OCl*z%B!2ESAMIOw@;b`Lk!w zsgr4{%>F)e4`2A#}r_;1B3BR)|q(DEH4FL#@@ad_2btd_3;&87fR-l*lf z#|`MHhu%TYP+&&K>48x`SX>3uD^N;rrKZAkOB`dQdh-FN{XX<+M{S7yUA~y*Q~AJy z2tNKnAExZ%lc^p9b~p!}yn!#gMIL3E4&=9vHT0aa4dih`tz4x`lu;!D z_4;al15fbt0%TQ52vx$^pqKJH|GsQ>KNQMPYCG4TLF9^Gt15|3TxT64J*Nb_wPWWz zO#n)lSgl^RKD)b>(`7PSpF6XPLvUQD*#Uj5&q)yodcFRqppPP9JY?;X5OxV0@=}L8U_ebdi+45@gTg$aLG~cP^p?7o- ze=u`&&(TI&9)L&pvJXIUkM2JJQ|&lVj9-3xw%I4SoD9uCtN{vZF`m4H^*R&aDcE2F zJO$q~0iJ@Nm;g_~Z%lxv;D1ekr(g(>z%7ge(@mgTSa&mlo?+eB1Twg{#BKW$v_B4g zhW5`%*$>&`GzBKbnPmL3)a$PvqfnEM3#oGDZUovXL>&elpUx;-w;Y>bzSsQ{_ebGX@4XYxj&4BkS$6HNjJ6G&29-1 z@&=tc)y;9E{%|R~N_ZF)qi+KcweW24AdIaBLW)<4Y$2lN0p*sijbI;-Q^2zM(QGMl z%2>b{G}#i~qMxQZfeCy-^tVt%nMC)M^&~FFs0OITvAkFHqadKL7Ex@Xx`byw>32Zc z@bo8rSQJmazVSv3&L?sLWVK0%9^l?TOO*@%hQBjivVmRzj5@9_gBbtvD|%MTE)1oY zahY#|-R}(QJGn}iDCZbC+N(&_>+AUqJjc(Mkkuz4Y8;Q_vWSWFqukG*>Cg4tW=j1k ziOqsH1#)DdBZwCrO~)$Hf7UVtIvnSfTr}v%_}#sT?wT8CEtYmYKBR_2VJ+&glpUic z5c*V)M(`i{Juby|d89o?IjnkxM;^2v>2r|06tZo_6p5*58H%XxGmVENX)LUPAt_Rm zD5bu~(f=X!a{|eEjB2aqsqU2_SzSQ(U40eGxt9Ez;fq;e_afK~GSxWS@_C${XRz3v zXX%vd<><%1EVVTm3;qsOV@2l^Lh1@A*8Wq26pZ$w?J5pG0;5pI-gM4e|u zypJr_#r$23P~ zNcDhXd8G7@fM+y3r;t7>if6U{XG?D6KM~1jM#4SY=<~Tsm#E)7ydy}N&>RJ`UKjHl zSjx|@kj0% z%3QC!H(T{)G_{bdW*{x@`odZ)qR7JfaTDM%^{ff-n0mzocuc)%0z9U+n*a~+f0zId z@NZ3^Gr1JMjrIB-egl61`5wsTQbN%~e9_FOApAbUV^fCKYp`i8*Bc=|1>x7WRPg~h znRMaX2hT22x(#rfv_Xb(tEMNhuDw;SK~7(?U7v^0!;tMZGZCZPywr4?rpJ^R+hev; zse+mmI+@>8$w{LYw~*P4=Ep!8$Fu*5qzfT?H%Z9ed*bVnl`*&r;2aN~5BK%NE{^AB zl?BEUn9hb4!}t_TFDGI=o_oJP)=T!c!1w`7dpt%r>)bZt1-{q9z)z&L8t4|fgrW;w zJa0B=K{%Pwdll5;1f??JI|*Xaf)so22v29yhe6r!Od!29if5Y^$DzIM4^$Ixnn`14_sQm=!g1kU8A46+%O z5FNPyHt5s&U49QcAE0ji+5g7%pP{f8A<5k~E&6*O6}XQ-&p;6<(OsL!3C>T@WU#hy zy&Vc`5f!X@-H|D(z?WQ}GT23ukkgU$=^!1+PAyxy`*idtH~VxN4wHRT=+k+W2}|$M zr;9%c)~Bm|2apq?B6|<9pzkZ}F@bKzstb^Q7z%4K1FM+pH351LMLbV#=6X$l-lM$< z(0lYW0eX-ACeWU|ocRQKSG;ns;%%2MTKulJejo zxr!}!JX`ueDwFr$6WL1z*&9_t_C^(V;(SQN^6c(+ne2f$DpO^Vo)gc_hSoJ4#|G0b zs2awzVXBQ~41J_OUqKekR)twe$Ta`^&?89P2=@w4!sH8!KhhG%qtq8oecG5NC*JQz zTNg623;8$T+u_N38DYcYbBwQ0@-HcwETw*csofAa$G)OvW-AYhY(TbC$XWFJ5&I8R zglHyW`3Xej%y?`>LJpFbGWY_z4vA@S*LV^UR>^_zJAmB-t%vajn3j2rzEy876X-hb z3OSBFV_;x4OkpUZ{-f^-K^Z~|m<9a^@;|uVCqi08r4=-2;gMD{80tTOLGV!Sc*y5j ztshLHZx}=*4|540(?<6bHi=>cofHy*dVLhXfz$b!2w9^fM57eO27MC0>zA-82bzhh zIb2^3g|!HYIji-e!%-FHa+nDo+g0Dq#RE{f#Jb&(J($b(Ljs}oOCF2g4@pYB{v*GE zkNNo;vSvvLvjnk0zs+w3&-W3~Qp`#j#`_Nx)*>WkZPQ}Fv7G{ah&~mHK#4AKkrSMG z&^E9pb3GNp^#Q+vRj+S-gNA<*mpdSfBq66CI@UZyCHbGj!vIL+^#oGA*iZV7K>GVhW$korG~&8raWY@}du zSYK)a^ikKD0DaVLCO{u`p9#=MJ#GT@QO}wHBb>jR08yljYij8 z^jrhk9>)}d6j7s2*~*Q|Ap2j?LKyFZsorChkaSJ#3nFBCghmr+;cfwAlX({w$y4PJ z5%bfi<$RGF)?}+&pfx~#DmdH}j2|>N&d;j&L33kW*g#so zvH#dpSYw48RQ&DonY-%O@c?jHnFh{3Lu-Wj;MPSBrrlx{a9rIbw{ zDSNf$!~A|(P3IviE3r`I5|`v^x7C?MTWJG1xmqr-VmMqJQ8kLQgQnG#l~op1)t1W{ zhpOLc2g=*f1R}oI?xjXsK-Y;Xlf4L+-8@jFsWs}O`8}UW!poq2+_MkEy&AIpg@o)q zE4NZoXg$BFR?ysFyeM>nG6wPfPtrG#6_k(_6wQrVZjvqAvej1Te7IAy8OK90+>Kh= zePT^>C*X9XY3>!nP=Ne6I9Ga%!R5 z>)5^sfy}J@TA$OuMRsbjMz7 h5GxT0O4y>5NZ~x7+R#;tj=Fzd7C5d>Ufi%KNZ4 zS=eOI97q~g2d+{@U8BB$-wj*&5(e5$u?!(;6l9xevHlojxQD;eg09~X6;S#K z676ill-&$-aDEQloOn3d+~{!tIMV|D(*kzQ4XIvG6kthJ6??RRyDc70taH)?1WYEC@1FETGy@OIMp0fm{&B0230)9OX*_7Y9f6Qcp>tLHwqQ1&#Vz ze%HQzc)lFUWR?9$_trA7ozdV{2p z&7hQTH(s!NK|_!pgyaZMT0%C1f|wMNGnec2u56XyD4&S~S zMGrxbpy&*g$a&SaWKEXQwpGuroxvH~VuDk$gi@ub*j3xW7b)Ab)xFRzAQqAIpa&r# zTf73>s2_y;Iyi5F+7DIg6=L6t=Wf(rzse`E4>5@Z6~XuwOi80$%OoVQyUgdknI%VX zEtaKrXEr4DOuoEt)Fm6)+lcf4=sFPk!84{Qgb9*j5H2#p&mdfZ;I+_tgfArdx~7CD zN{SKQH%T=2c#ZD~5&Qu95#hg*{AyFelO!cV_*R6kL-0YU|1j2pBzbfb8J{dE5yHPB zyaU01Lo*RRn&i`(5B7`e9v3CW*S*y+>xX%T zMEGu!pKD6^Y)Od_9#@Y`Bm_@@(oW*dmE<3q5~ybR6jpwS4o$>)Pd$hP5_ zmU6D7c*5*fr46f5#0?qa-snccZote+@!o2)QtafKz0tE${P)_d6p^(zdREFQCM-94 zR*JmWex`TxMYH|vxJG5A$pYSPJypIgt{JGZ%o3q{oz-7ub+8OGT!zj?0PfbcRw7Gf z9aU~3?Dde$OqJEkia0C-RMyGSEGlbwq}I$KDr;(_7C_6QwGcBi3Iou}C@op&y(WqU znLkCTA>#2!H3JY?5v7NSCoP7}E?QPu2AjLgL2$ef1q#cis0hmN-KdB`D(f>F;S|VV zmG!-7`O+zMu2alnEkkB+2Q23Uj;bl2Zc1Iwa~Y0+Ia1v@fyhgkNCMkJXfZdmW-v7| zTP>u`T~F+q0_mWoEtw9w*fun}Y!je^E;j)>=y@hU2Yrr9|zkKSSeMSJuv6DZiD|78NB_vqm1qI$$0oo)izdvq@X!CTf|zDEx> zp*4g?n$RjjlTBzPp>h*iPUsR7dW6soCbW#uJtnl2&53+n}nhlF^+gn;6(KNb$sIm zWt^%a94A=1d4!rPuJE=Q@TQ;X=4UYWcz}Z51kL*3tYbmg|z^f z7ITvcm?_DY0Po~-KV*R=6m92MmxzZo!MJ%NPjF~4xUI*9R2PUH+N4-J|I2Jzpf8a_ zpa?j`g>@mKe}=XoUd;755F2v%74b%$x`LWNT|03lY$ab(2vQPNIWVBJg z{x`l2hVvFE8}4PKKON7_R>L&L9`CX-1)2}z8!+wg7$sz3lUmC2h(f%zoP0)fMH_>*n4?=qr61a)l6WCiN;tmTQpT0NJ{b5YxK(Y-4E90{vqH+xx=2 ze4UE_L$1Gu!dirEeO@6LKXci-C<-I`rV**mpqv0r2B{y+CqiK@q83RG5ekeXve-j1 zTQo65Sl6#)=>WPJ@d~czLbyKV*NWfs0pizic?)DSV-^Jw7uKRxj4D?%&PV)lu2(~0 zE&L+hsHgEVv4r|u2C37O+DyuakZo8JvUR%FymYjCk#Cpalr}R2?q5hxIm6|akmas` zk7mg4A~*%V8t&srALemO$Z~goNw_CHq157+*nbK=0{5Au&-A!WA0Z}h(~BNwR`j93 z0@7}VY@Q_)eQ&lf_q-rn4dR{9E>NG~`Z*}9MP2~+>h0WIn_kRT??UY_4=K`h#?SCC#0eW1(L!0mTmNeznwL1tq<#CPS>jP9Tx0r)?J@Ad#py#ruJ z6f@3})8ZTTVISeNLj+ojE3KP$L1}-bRGRaTDU z)W9TF*Oaq;t!{xIEpL0zZ^6?9)i4;!$Yn|vpmmUKoD#C7+1vO`x|esify_A-K{hI>M^?3+G&PfuZo{1X*i8ITcQ;p_aT&x+#SfQYDzBb%gG7BJ?SFHLGzJ& z6PcZ!oZC=QAcsba^^2gDF#a2+<`dnlO2`(Boc`RXkK7^0($j>Y-Ej9JePBE{9yeeV zCYc%RmZ#J>n9fbaDCaTDjoTeVC&M@orrSM6*Uzf&ncj_&Y4=8FP}AM4v@n(yxFhZtcYV>%Ok$)E*^v9#iA-6`Nd=KyDy{m3ZXQlqq>F%`Gk|9b2Mi%{8~Z z&AxMly?pyRh8@$*?$!5QYuRys$wc|^^19hms#Wupkhs*fG7_IZjfI%zsi8k`PJ|yj zk|TY^na!}Sk-XMBvTEKsq;Z()a|_1sIhE6yc59v_VQOec9=2Wq=5ita!+9-7RUC(lq0X(&xZN$noXQ1Kw)jVyQ)a%$DSaexEhlbhjTgB2`IU@l%cmD! za6v8pWX|stkS&|df-@b<^9o0*ihee(!imctn=>k}G(Oj}i)_ZK6Y-5M&Kp0vuwaCm zeTL<_C;^vKLbHo4OARcN|AN9%rMZP;iweg{;Z~N4-)>uB6S!N8%W83xJD5rvb+sg^4PnTN+EO(;?=pNvTun?GViL7pS^RN_RDdpahm zIDgb=SJ|qBX(F{cCS`14Nq!;bJrfg80p=B#PRbvL_2L;g*7%%>d0y(8xKzotDJ<3U zxtK&ZdrtE6G09FYoYWU$Qpe|&l#VRSoiJXtTpQ2~p8P7v9i3k=!cBZB@M2VA&V6pATRm;}{_c7G;b1sFFJE3@dVX&2Bk*E<`xu=_bc^(fg^cu_O;~XmgG;&DdB!DB5ykQ%G$_OZdsa1v)~(1GWofM z1dPbdDJq#z%-_*D<3`C1*CIH!UDIqBUZPqi z1y5)n$y1b*PcP8&@Zb+o*~}}>LBsHz+%cny3nz>NJUMvTk&%Mqa!VcAl;Dd;M5c^C zb3A=QLE(gA-?Wy&scj>9M&yq#D#$t0XJ{2n?hwg9-(GxX>A1W}Xer1mDWNJ_2RC+% zWN{LFjP&3aQ5dHep6PZX{nZ-g3F^i&T0UI=XV?D`7Ls$&(0s4SImc* z8?wYI7Nc`2%Xy&677yKX3SN`+CrOOl2FQVva=w+w$@9@?tL_+p&noj`#fOJGWT@`s z4;dmKCk6TAOG@)g^2U~q&nw8wEun?C>l&zG#=(NQzCc`@iy};y5A!2+v_H}6kl{n& zoVvmbil%6tmcN5@R*{Q2P&fv80sa3<4|vA&Z*4Uasz8-7cWcZp{{MJ!;&9Zn^(Y z+jqcMRb>CqB=1Q=5*ravDM3UdJrx27q`V|g(nyh_@(f9U2Wh4dus5uWir9N^Yj2CX zDr?uZp{#AywXd#g8l3=D>YdkhIU=+R?t8l}t!d-|Yw=36togLm@hd7P!63L`G)G_yi%6 zLY-JRWtP(Sgt7S91S@2gu}Q}Il0r-ArCOQgDmf`B#CPvy232}0e&eK{$UH>--W_o0 zO!S%aR8%@BdY8efBC|rp3{ML6v~@0Pr{jT{m5P{7E08sOr9li)nN=G}(VjN3f98VE zNlCo%x4WfDWG++`9D&X#G8d@`A4%Y_DoV0g#UxQoTQfH4G7nQRsT_l$BlB<-u{%Yq zZGrJKk5Dn`6w}9`B`Rh(%WzCc#f+esaCbBAJI*{(MP&$o+tP0Ax{;ML50o718^jgh zY8t3)-I}=06J(N|tRj*WC>rUbTiv1QL057EAm7-9p_bx!9eB_d9q-!ofI8!=&%m|^ zURr?vgYUq<6#hpls&952?h?HZ>1mtrZ`a~0q68uRqm!{BPq_y?059Cf0!L$UD0#K`nxuPxJBF~|(=Wi@R>~mH zXjogD1^Yc9_c~$y_Kjs1)y_1}*GGz+D%GJ;la)R83nLr*Z41hQv2i=r;lWm=$xVoSoDx(Y(h1Xjatk8gvlCKu&3BO5W_UNdX1p#&b?USv z5K^!@=30UsOfU~kp{DL80bu46PPI1O)VaCNi2+7))!>r>JSPgwO|>Kjn44-B7g zUFl1d>ydORC3E{rp)MeXtK=#q)67sO?S?v4>0;OBeQD6E^U=#s)S$P(_a8IpE$}@H zoEh{M_-+HO8uS+UE-SVNy#?+vMK&%T`@RL0%uNwuE<$<+v~Z7o%wZ2=krX@x9zdWz zz>g=2iS)}FkK&_wBxLjhK+;1&Z8VRB@zKaTENEUJzXx)=jkX3g9)sgkKmkpWpDIKV zf>OYu;BhfNMJEl9T!yuPuQL?R;W0i%8;z1o@khX)i=tq+!xYA6MOK78SbjnV@!@>n z?g+X*vr#41VA&6kd(5l=$HFKc_B;LXxQEUQz;R6!4||(_c-$lCyWsddiidqnKRoV% zlwSNb6~S#E_ALGIxX060aIA^qVSmyOk9%0X9~_$_c&uTyA0lgXC6|yWe+3D>-b)n> zqbs=*|6-pUipY_apt`3{ILs%fAabUikitzxrylN;l}K4+QV&)QiO1e0@tq5g!R%Z% z0DlQ_uya8>< z&m5Fu?{2J^I(f0=^S32GLV~R=*lK8BF62J6+tW&46ZTn(H!X`qu`l)}ab^rRvlaE? zvG!fFXoWUgB>7)VOWeK(&K{1Z_04B#df_~>EreU%g#Yhpv}j2f>l-B_2!#LDG`TmB z83^fB?4d`=ltKPxYSBG?qjSE5)}@uc@$ za9wWmr92Kk&=q#qHFb1alc0uD|oKS{pImd=76)X}cVo!d##%5Vd_8Bv*N%3rSxAS~Y!ghNekOBBcA1#a3 zhQJHHPe8?UBi;nK#7B$!p|W}y1TXi=QP^AJ?X)kP_AlRh54|4s^kn%*4NsjEqy2Q4^id*K z^`bd%-Z{>&p!OsO!%GfD&{ayV%$k?px)a1aTV-XNK)TWbnmGt4}!GV?01_C+!Cr1`#`Fa}ShnfNJ? z(o34$HOD(m59|anPq3MILn zGwfOZn0ko=4+$adE)-W z&akWLyv6=Kn72o<^K|~Honcp#e2aZP2AU%f+?L@<{xdtnuBQGL`(0puB!V3yC67OQ zrX#`svhNjq^gBB8B*Y#&)fm}=-A!wZq=~RKMkZle;v6Gso^Hm-BBTZp(qD`iBM(F5 zayub~7%dpa$hAmWXHs9=xiK8=H9u1Gn${r&(Fyc{|5K3#INdXN5@Z3>ad`OC+B0!^aun+ZH*ti zyE5QmG@74BQ&#;RaMLTMY}J{1z@w=rwN^b9TjRqK+^X;3pcqTsF1>+;bJSWQ?N-zh(tMUe{C>v5aB9X z+AZi97c?u|nHpHRvSuY+-c6LJTLjANXTvxt^iLcp9c25v$O`NfTaU*r8sLx%@ zCRo)nN4I;5>rKL&tZPBAj!1^BrMAu_qa|gi?g|LDR~B)bJ}HtiN(NWWJukUk4ZY-t z$m461k55^-7*E6L!G0`u;)ssl;Ou|hZGZv~A!L<>=gAdAGu-yj$lW?omK}ihaKeMg z?m%fO;Y%0YbSr_b@h=Vb)%9d$(MGL4z8CBZ)HUK?K+vg{M0pueZXjicDhKdSpS&G$ z_aP{|TM0k-HhUywW~(=If5K`S-IkJpjl=!-j5xks%=nqSf@ zI4UDVxE*Ve-TX3yH@c?BkcuA^GhH z5vMLA{PIgA%Y*FlQXb6W(q*h)4uQ;QBgYo$Au%K)<9nL9|4OICVM5 zFZ+xk(%q5e?`jQO)B?KU5*03{0S+8 z$J^GRRF@%U`{f8EPckCZtz04vemMup^Nh$}jy3v){PGB-giY!Tlsa10chvPXFX-lD zal*gK@7k`*%62AW%a_CK0#DDhR6|EWB6}(XOoM2AE_Xm$+3_= z#hD2s?sR2h0XU00Abh_wi{CPk#mnw2@^RT{dCv-DLVZqt2>H*QnS4f5&@FCEE*&NY zg0Aw&A(L>y5y39|t(bHAUvnd-w>7Q_IZ;6%YMiKN3ANRY%4?z9Igt@>Q63BNQ=E`5 zXr}w78#2AAv9l2m#vl}{cP|hR8Ps@r7G?Anq3jkmjUMmWwYmi}7Wolmw~@S>RI?)* zFQ28ovUgmde1OOdEAh<3hW0RD;*%MZ@tkS|2U?AcLnQ6N`+s(8n~$4>(X%ntQ#~jI zXeYtVEJwMJ(KOM+`Tkv8y*~$$R>fTg*tIqk6RbIyu=)LN03PqhcgR{MeFcCwoS<=3 z+k@Po_8v?8H;DSDSn82q>FRB7!punclL=!Rbh?!Qi#Ed#%IQFr5Yl1Q36gaY{uCEB z&(ct~0nlTE!~|K4>)Kehq`Boe7AndsA$x<7A0n^C)ZM>_yEHICVRsXrqoP~YYXH1W zAj?+_AV2fML0kdsxasGYXK$?c@$v30#0rJr(H03yofOd_k3~Bl$KY{qS z1aZ{WXbIj0ct`@hwozrA;lgL-MF>EBX2Zj_#B2m7+XQ;5u3{PMVwtAn-JPlz@YCEB zs#Bp?G~&3r|3J45aw!(pW&_*{;6ntqDoH^myYjUVs{D}t(#{S&iFazJyYs;2YglD4 zBpahNg6^}o!HZg$8Bv&4g(-u4ox#wZ4i;us6h^m$V<3OJ!QA7>r^HnlR*g$?C&V8n zh^k4aYNe5oR#jaeLi}q3acWi`3DGs2o`a(w2+kTFEt~MT4)md;-Nnh{Xw=PFm_W%Y zpc)9N>Sl=K6Aj0>A-T<1Ia!DkA$__*jFvd(!OO7>$12NzyqlqEwQ~_>QT-V-uNkT# zayWLl{ijDlu@M3U&GzN5koM&|)ZLASk~5+aeL&DWSWba-z7w&42Kn{Th}A&+cmoiP zkY3?LoQ*4${ta#fb#huy0<@0oco6_s8qjFmVy3Krp&N&%N>Dst3ApuNz68itC#;DU zm|Sg_xq;KI6s$(!GW4EM&*R8@&pb?h5OnkBZ5AkC0fhewH&ub1MA~l#Lp{)k*$iT$ z6g1dAN-*0!70_~6`EA;nQlsSs0GZt=3;0+Zpu#$f@E-#3qLQZLtcLJ! zc9(0KPC%)0X^UcfK4t<4x^3%H{W(@nHS<=eyqbYY;RT(Byc7qb4RNJ~QKQ2o-c{hI zaQJ_7p?Oz<5_o@4W%v$(?L9qVzzyw9D_WXXwO~NQ%~g)d$eKpAK{U3AgKzva7vE^v z+p}!CYE^@X#+)^nZlzc@TLNecg0>kMTb1GfH!xqtTd)fOxx&CE%H44x+dtl|b2jNb z9S`;3j$<0p+Wufdc00V~t(K3!vZiDi*visV)|YifOmm1yZ41hfXhE^6CSWurPb zHebcN`W?5gvjD!xCQxhY(Xwr+_PdMRG}Ckfvj6pHw9e;%e8omX{XUI5+>Ogu@qn>E z+YZPs1rDt(*ivtp(_8FC^T4?PSJ(uW*2~&e*;hocsCZ<~*kge^#l}MC9)&v2jmqO_ zOXGV0*knLM)Ry`>g4oUlTk6)Wzea*;=Vin4UWXvD9jg={Hw&&G zK^utonKa$|J3!Z@>3TqQqM_qWts(N|5%6(exaeBD9qsrwARaU*-HsXcLnO*J`QHHe z(txzd8T9XHkT!Yx!I=Lc=;CUVGw45&posl&ZuRxR9%=Bp$1whvNPJ|EIS;ri3|99T z#{M@F>(ygk1@>Ko*FA>uzeeJ9vrL?gqmKx>xVpzMSuK_wtHF1e;dI(@?;Yi|ywCOEyUTFus%Aa+_2lHa5ckCQ z0sF>4&7^RMWchieqxk z{3KYn8fLP&OUbc2%G_sda*Zs)(2t;tPnLHvAK=MsT$)y}85F&ShJ2cfCexF~?cFrh zU7*=)sK~v!sK$FzVSUBT;cFm&GidU3F7!lC^a_ohP>koaB4}%n({rIGd!ntT6bABW zgC_r{(4!^Z!9_kr__JLM({#MU*W3w$hYg8y3_Qezh1XMuD&&U{|Jp$GmI*t`%1DSV zXnG08KLlOS#|8fM_*@eSX;qdjgLs{R=v@}hr7jZErXWW{{4@jkIl<(zAQG}hLGFO~ zLk7|V8(NAT9tl~iARj>dD+8I5Xdp`?A$rm|B!K-d1YP`Pml#M(B&1p8QVQ`}1L+{h zXj$CWDf}x5VwQ+Gh@`cppo);k19KMPc)y5OZ5FQ86W6D34+8Uq!Lk3>`L%oCc(CFO zzX9kM1LOvzft{W}*Lr{{vP-f5iJ*1nVM9}Nc~ZpjV5&5yg6%xR$b*Jv?Ab9!3>mEB z8({m$F!GSWj8z?clbOHI&0Bz4QAw(^G0DDVIM0Bf3#vQYzxKzR;21X?8|ig;NJ^oa zt}azqcNPP>$_-DU%RXqa%yDWg4zvzUaV6k)8VVl1oD`?Urs&fY9{~POLqRRYrNx=C zDBOdXW}94&TmKLob?;(3J2qRKR-nnx2Jsb!oX0U|Y1i)rc^_W4M;!8V5dUPzc_?#| zpBIbVjAoiCJBXzpg0=yVW=^K_V==i0G|hG*m^K(T9?+a@7sO(-+Q^>(e$5c@nC2w7 zC>FsAtwF*eXp#uJJUpy92`-66U^TH~fa?tbk8DnY%VH6PwFajGe4!z@4Shtls?oAC zjA8K_H$e^}?F)>Xf!kuRbP>TCly@11o_f6-+tg|!e+DXLo?UK(94+z0vaX))1!3G? z>c7=ZkTumLvahJYJ`0sr9s;hz3?I3R6nabn9D1O=S+m{YW}9xMxT!7#%}w4^6)nqf zedumCO)kY^(#D4tUIXxb1Ln&rqh)P)`3eBU3K@l!34yNDP;zI{Mo~z0@#^6S+Nmbdi}e98KI6?=xQveMDP99|MMocH_+oU1oML6T9=Hpf8EV|i5gA$_&hQBUo{!A1xUmzL zcJWAk+U(!r$~G&HG;#=7xu;a)_8$bhxJCvCIovAXXtAAxRq}bj))L+-d3{&74JR{P zx#vG|agaXK&e8IDHI2~u9TzGGan4J~8viJ;uNyqOFz0ym8_iYTcWa(o8pig8 z>8eZBj47!`h`kXU?cW;NndNU@ERiD`d2U$?mL|jG9MPERLoX(bW(+P>+_1po?>-i;MGBWP3%B;gqGF8mL*T*=oSlXxQ$z*>HGiUwEV8 zZkFlw9VH#TID!+XX;${x0PvND;9(H3X^NE=BiwkHh7*i^w*dQ*!Mp8StPMQM%>pe{ zim~wU2Hg6LpsnJzaIw-EcS^W<3cwRloFl=}YIwLf>WZ|*F^)LwQ8;TVUDnkk&0#gB z-VTBk+Eh?2Md58F4s;V_5rL(K#jwrIKzmMjKtXt7tU#EW9pOz4D+=ow8*o zIr&f=f=AH3=*OeEaun!LJSomahW#tb<4`rX)jJ-YK%Zn7sPm+pa}%2XM0r{U+DDO# zB44TCr4Nt&o57UATEHg!f(B?Y-$gM^$6H!3?pMN@yfM*hZS80h{zF~RJdVZen7jS> z1vvVGpv!)b>a%q#P{>unzsQBmSJ9XmFti1*RvU`ujHiHTv-(#M(D<7IJIP+CTo2^^ zgjQ2i%GlaD?C#Xn*zL-GnvVCf8`tB%L6@-5E{m>5{dk`G4jieD(8^B2-c=vnY?9Sv zp68=CUFL!Ca3WQD(k1Q`dL|pni({QQl8eJgZrXem4>M7rVcpeDKsx89B8xh|y; z?qFa_42~9#cyf{OpX=5*kD~)HTR}Go&{J^KeJ=6@pwA`-Wixsx63QKHZ*Ey5{O3o| za5PnbGS?%(J!`OJZ-d?Fi8a$cMe!TpgBRP`UslYsmTN|%BVOn#cYbY4TL+&f=80=Q zFhzuOov2hYYPocg3!XLAq@h1C$I-x_7Qrz@a^9D?z;@mmcppGdxq;S_zakx)UlCDs zUJXVyn4iGlKPI z-3iRY21heo%rY5wjVDge6+Z*!JAe-H}^1-RW1=r;DzelY*_Zv1rIkJf{yhwH2U>^iRj@^*vP+0()0R@|w0lbZnNk}2MD zyYB+`v5mFvoB4gR{1+lqjI_n^VmQ-JVj%UU z?2~|6Z;*N!!^p2nJXM zDOhR^le6l!#~_KfkYdiuz;~13)C(y#j2!IU9Aa`>m(sU^?`OlwD=BTP#2B2;3qZQ9 zqm1 z6^1KiN+WLlMQ{v!YObNJINF;gV(eh5qd{|a1eG2@xRS?sQ|S)33CQOo(0UkQ^jL4S zKELyCAO|gV7efywj2`EW)?GFiNNi!bjG%`UMjzyf_PRxx?gnRLoDRB645jh3+OAoi zlyE;-;VXvcfqus@urqMFp_~{DeKtc%6CUx0pzGH7X{|$^CxhD^(8H~`Knp<>He^nZ z{vAzHW<-#6)9VI!jiT_E0DFVMYgf$BKuoCapId?Z)QzLGzSH|!{< zH6+Mo7z7b?iS>}coEu|sdJG9=U|48aoI?UrT^NHZPLXv}ycVqY8fNE^z|0r#D6>5z z`~ue0<*t&uy2<69%uz!^5h&^m4Yd|)NMHqT^``L}64ry}st77QBrw(Qy{Yt&@Mj?3 zjX>)mfzfw(qxF!GyaM~*2)Z3`G4znY=)1hpy33XUxiA8)hXh97=ZTIxBwPl%-y2Hj zkie9G^rUnS3GV{^wPA1$3C!?V32^kvBuD7m&i0P#H5MiAr$KqV0_uIYNyDoFYY+2bypo^^CBD1~{gLNgIwS(*4x4{9(1%S30IQJ(0=d80Wafz_9W2);w^F%Bv*Qm_e zZH-Barl2YR1-ji^?ZO%DoZ}_4y%C2EVA(X&5ws0hSFRRr9GWk0AbfqycM7;Jjo{-7;>M!+ zruEO~cDZ#qQ1yM#{t!WJ#vM&Pvwv!5JCHe@C_jR;{PbiBYu5ApXms^*7ik{uX~veh z)kk}QKFu&NnEs)gGq~Oh>}o%>aO8%w88llA6;}rRv#1X1mrC&@9fbl>P@ab=#>?Cc z6s5^c(L~Q|pxytO5HGSJ$nX^^c)Lp)58~jf)F`^`SGs{Y)5aOUq>le;nzgZMw0n6N z6t8;GXdlDctcyj%Llyhngf5)=LWt_pdZ=Qa4Y7EfEraRigR0ew?jBT%)kK){x>%f~ zo7GgV0o7(NI?~SA&a(b}u{e3~V+FqhTUxhAd7ZA66?`H#ndMyPfM~H7nbX5E*_K#j zTw1lhTMwpNyx8o*TIGDtn=P`B*lq6bVExrF+ug*~<~|!JscwO@da&z>;OH~_CIIzN zMBR|T87QeW>YWe9JG@xAezcollm0YNYOA)s25nMr424si)QPFiQPu9nk@hvcs2sFQ zyr`YMD0N`0QGM!05O4M(CzV}&YTtq75NoXD4l-mlu6iIuRjU~^b={5|SZ;dYWb#fB zpY26%Mo>+jIZ$#QMVb615P$APZidqdvb(PlmuM%s*mEf|P>b2S+@lZA|3}cJCth^A zx_#iXlOS)Q?nvhZ`f@Z^}(b2a7ffW6M(ZGYq0e`>sTFA7==hgBlaGc@j7}$Q=MbX$Yb^2(xs>V6l71_h3jq z#x6%x4`G%*PnH37kvedP4S!S@Vg9u{%5VC}ec*oH@JIC#=07F|zgH*m9gD3$1YNOF zorDRG_auz!B{kq_G+fSJ!Zc@k(s*{0%RzIyp>lQ;raIe`%IYU?1NjewcJ>oSulGb- z9c9>Ycn&mzHi@&NF#23iwAE7@fLvzK&Yn`6j-GO{8=aLyJq0i5#w`}1j%Cgv7cG@{=L5yAFH~6J6dPkyW;=N(3Y`#k41E4e?<0hcMMoI z7$#dK%c-zlSNBI}ck35G^?{+Y6?4&D8=o$!IgdU8uRcW3rFAyvP5YxJZ;0T_=9+B9 zvivwap?m=m(y0wstMNbp@C4^mD>uslMSV+NpLSZN;prVC|k60Ha;GL9m4kqphBc@wt8(qw4lraNcWpops9t#BLGq!i*S8 zJ!cmG0^cs{?9w}D7R6i{4XXqMo>k&SWmm10Lt?Wt&@Yo^wIOHX(l3H8s?%!BG^t-E%V!wZDW<8q@NtTTF|cR(e)8@#oBgp*}JqK;&{f<4QMs;_?^kewvH>G@}14c zw4e8p#}6hS+eTJCJPOQ<$j4*M^K3*j&%vWl5h9I+3Si#Kf$+vNmTF1YA&dJ=Hny#- zY^wjKZ0zQgd@eS@5Ois5Q(4)}A4oP{ZE7h<*BIicHpRB88wh(mTj_@N3NrfCWM&)7 zRpAZ)Q)Z@h%{mX){}3WgW@W~s<-&-}q9+EPjq4Kd-fFmQtD!oWcJTmc2Kt zZX4_p*ygfwIsAXg#co>7$f4Kd;%r)6mL&to#j9og5uC3XUfV`4`yV*~@_2^Q4J-3} zJoO7f*R^daS6)m1m%L27x&ZmyX7aM_W#z^1^IS%G*=Nb{icWJab}O(S5Z>JDj|yc} zdn5{Lb#?7Md7}_}A!rb-h%HcWG)P|opAEnv21JWq3v_HG$lc6UrpE(&mcdgETKH3< z@o}n2(Y*w!w+$Utl0~;}2k5M$;Ug}PB}>cYhR}BU#bWo$!g$cM&e*DU!WpVRtbr^KU|%E8Y1j9$gF_ zPQNRGI!L0N1N1xu?H2fD2?xlH8Q66D$<0xqIEs4d`i7yo_-3Lx4HV}`(Cjad$78A0 z!w00i1*s2^1o!E5s$7Y?os|h^;W-1d@sxV^t#&Fc7!Cx&=z9^*GpN@Gb(1c}EsqEp z^;v9R-PY-jcXl(Z~s>}~B)=_inA18h58 zOh`$jmX6;=Yw>oZ38{$$z|UZNPfoU&ke^6QTAU)LB|feqAb=N!Oi1z3(>fD;zGbZ) zcv(+El24V8F#1Ut6K?g9f_wL^sqPB5;7;J4a7VlFP1xvvS1DIsT^n3nT~%LLA$-sk z50Wt)q0REGt!pv(~OOFshX^tNBg(}Uwz@iZAP5ksD zSXCb=3)BiK1pTh7uMJj}i3Gyq33lzxja|*b5>T8r=)CK>^udx)VMBd&sG@p7psrq= zc-rxRT9M_!vT};OyHiDSUdO5Lb%C0~+QRzkT45oo7Ksy7nkGB_K=re_24*-z|0u4g zt_z4W^{=YH0&$l9URQW%K%DLPQCKH_r+?N4sNThT{WGvISTD}eKN_k^s>QjsAby;u zf0PF*YQzTpqXwp^7w0>Fh3W$J4K-q;6<1gm&`}pyQOGz59WT_s3yZ27>cvI+7x9OR z(KgZc>cqv)*kDyjV4=9g8C4f3tSv4VmpbE$t1BxDt4iv`WzN`|+Unv!NkeU*PF(Jc z=d2diiz{>_+cH#GQ6a9>F*UV;(m-vXsu<9#bX=eW#=6OZK>eGo@6|Pn#4Q%Gu&zEJ zZncsspsct}|0+dk#P9Wwl7^ZJbU+B-u4C!~6@g;(&kAveC4(3U+^K)o25Kq_iv!{= z_ixtxZXJg**HG7n;`it%vOKzKePOVoPTcE^tSGE43j|?~I&q&fzOE8lsQCMx@fAU| z;s=~Db=9@?B~*w9BV(%y(G&j=8Cw@zjE8qb#?~*Y35bVuY-tev`eDb9Eb)lrSGM@0 z<5!M&)bT40>UrWT{k6CdjiEw(t$!BQ z)Kn}I+w`wRu=Y3ldsVggR)5uw@1Oc-X?5*_!rBt?o&H@^SUis-zSj|!JN-fbuB^sm zk^iNCs6O^@{R?e6P%nPezjT8RaYy}+j)YS6)zq4P(h*hF>i5t3H##AF!7ut(ZJ@q( zk@&Cv6)dZw%zw2+OG?CVwqRv7Jj{0e6CMA=Oy!_Tsuxs=lk|`BYSjP9`UkobG%gpX z=-<$+Mx3gDs6M_<|0=4kC=sVwN$3t3I!@O=4{4~Y50)+p6~j@$J)aQ~jh0g(&Wwnx zh4ZZ!XGO$T1WN10**X%QFHl<%#K84C9YZR?%dNKsbi_G2VsUkKWhiUvRB^5|q7)9| zJZD6(N^EfcCZ~74j-X7rcW=}Y$~T8Fh>!;_5f|wA;*#3xN^zm}tGbF^_(l46U}2!R z0sZe{{kycHx(4p(68*Cr4Xz}Jf&5Y(fuX)0beCD;!CG|b%k{4cI4^O9{y|lArT!kQ zDydZ;_^W8JaMBHhmm<+puW7ex}mIG++@Wrf)lO`RR*dW#H%_^ zIr-9Ba!Xru95v*Sih4~)p_#%(>B!eDxx$6PN)x?RN0Wy%v41fP7|tzXoms+$1n=w)QH0kjhc%oKP zirL+v@G2$N&w45+&Ge8|6s)f-tPu(7S0%crZmkMc?YiP-_?uoF2FA2Ut6=Zw(gVtLeSG z2_wINTKQ|oVEz9FzD=8lqm#myFlL|XzIHt9SL{>O(XPH?y@`E>;Id#_XX~tGjji1+ zv$1DTa3@fy38U%hQZvR6MO9Ay4NU-LOKJ_b!+-bI#>`#%3p!tV~w zCLy2j31bJ(l%z_+fij5SA%ye|_$O0{llLoAHX%PTOCI0Q-h)M3Gd4h$wRBP67763! zF*ZVPX@~@wu(YG26;($C^*P_nrJy3=pZ5TDurr00gDQ0vZBWdHL5dgOfgM}UCpF>5 zglBHSLraMf1(kE9%Kv7$9ZY*6DSga+r1io0pF#_FrF9{_Bv&NdPMbk-HXn0|EeE2w zD~=ds0~ily)>(-#c@e!GS0vm4ntoXz8K}WEeR(PHw|QtLiskXFy_B2mWXpIZZJ}ni z>^3S7ikgu4H>#de+S!{a@7@RB%jFNi{X*CSNm&t)cV!@ceth+^a*MlK&;qUIg*`N= zIf)qN-3o(c;~Sd}e1Cy=NI0?|zAVMZZIz46To)6UT@9Abt@0Mc-;bblPANuiqLkm_ zm(UeIBlR*s+%Fjwvw}#;Yb)Du1IUdu=}?`O2R`6Y^*BE3kZTGl?>J!Elf~0FpS}GAj!mo1Usi zoeoUgv1GZ-rBXZ`ADbU+Z^FAAfl83!+p!6bpgUc;ynAmD{HY&;k`|lbHh}MO5{w%L zf*0cxsE56CA1m(YTwBeD>(Lhyn>jryC~*IRcrDMM$4GU^i~Dup7rQD0w9CM-fMaW)3%gf}I@g#tG$c<8hrw}HEH@VVW%#kGXE>}s%dZj~K~KL$bRobnNJ z8;~3C3)pV_bJFz>;CM2E$9Cgek?^6NxFc@7FW_+F+y*l4#!HY8(zj8z19ank0prFS z0bW4_s+I=g#?gpq6o=bj6|M*RwkU@9Zro5%h04zW|C%UNDF)=m`vT;~>CNX%aS&YS zR0Nx10B*c5z;66%G*TG`w%5k*fE(`%M7Z($!1A!oG$1!l?bPkYxnm8;jU#`D8=rbF z=G+LnItSv$LEv!XM*+OXNzlI=hxYu=4lg&p8B{OYbgDxQ)QzLH8#j)gORX(I?hS|Q za077Te8D!^_Xg(5$v&EUr_sM;-*iZ((HNcVqyMQsn!%;AM6$1e67@u#9KXjD{l}vp zfHx-@nv|=V>aS|1x@j~bx67+Qau-56Ny?NH@n5x^p^_!ir2G?-Uos*zdB>A(IW=9% zzaiyIlX^A?aJ8CV{+uvOVu;Ji$HhRGcEKicHFPZEBFZ!4emn#R!J&agkP(jnr!g?h zGDQ>M9fpE+&>9{f zMvFW-7Aj3a&?aCD<2G{j;P-@z*fdH6G;$f>T@e)4{2Kg_LrW3YUb_Fe=}$l!uxWKG%XG zUI%=tuLB8boA~R|^z|wJx{kh{g@5yAVwINaf1W(l9<)IB?MQX*vlb4;?6y*8_YT5$uNoNK6-Y+YjaYI1*-!R*ZQQ^6wiAWk=my_&<$= zS!X*|3p#)xci$w$Fa(_$0UO%s*`zJaihw4@E_JhV-6Bw%-Zw56gQd|hmCE5Gp!VmH zaBHo^xt|013k{}FcHl)-jXfP*8S3;!uU17J!w~*2yx3M)8oUdpj}2R?TmY}?|I!Py z8W`FYl)FEK@*~*B-k1T*wn&WQG|Os{OZ5^2sKx;83dV$A_`i?DXHC_WjAUdzhZxQV z`g}J7no*cuD)XO6{PeOv4$6O33h6kZI`YcQH9&nEzW*$|Kh7D22T zieoj!6#hri^bvF+SO+sh8HLVav^e>L-A%Z(Sk)iU)bQtV(DL(+!R|x65p9+_q!qaP=x?alPkTMt~I&~;yaH!HA zbmOZqV}{i>#*2jW$WLYCkfpOkMro`nFwoPU=or#tHS#>p&KHL-)mE9qAWpj`Tp+K9 zaBPb>Jl4sQCK9GaX`_$>G)DOs*d)Z#4`icok2Xdbf|SuFb<#kMVrj8McHvzH+GLnc zs+@ytFSN5JyXdv&^@vQPrD2tI0JovObefRW^p>vnoEY7e3N%S@kPEYOve;( z`m37GC4}nuGyxyVbH!W7b?DTfD~|q`RcQ0 zQXXH8_Dss>i_@M-(*#|p_Dq`27p^^%3iu+nXHwQwzM}1&mc>`Nz0KiV@sOVIh=X!&e0#xp)g?B^MuE5>@p=ZXD2 zY0AlIUWxN?TBlY#<&{)lUKkWld!jN^pC>Ke467rj#H>}f5m z*ib88@=8B6SXfVIv0%uTz0y^Sr}JE|cqP{@s>BIc@v2uE%|?m}aYTNLS89Dj?Ytn4 zM!n{hh^~#sixR)?l^Vp+W*nbKk+*s!vs)nWU%XO*rQ18+@JcHy3{Yo$(<==(Ruom! zdFZ#IQZa$bo|>IauJG-sL~^q4c*GW0;<&As?;=2S9_&(M_|0hNsL$a=%a;{p=VWC^ zkCsKjvb^X~v93H=S|2(jSQkC&lIx#W8$G@rQe9qH6g}SHP`}m84itIaJmM5+~9wk z05?tKkK1eczZE&TD+xBT&R`nv>prhq@5UBVyFt>KU7 zui}pvp5l)`f5{&&?(rT0UYf)oFPHPjE6e%g)zkT7%gy}p+B5j5ojO>4#h)p1-}mWv zsw~9k^d_uZLp@!M;ht`N@=W@=!$-G-dPLUcSX{a#tQ8V2c0D!{2Qsgq?YQZU^zL2S zm=UFyj*rMI11AED z(6s8ujlyq<4Ko`wx_svIbxA zSJl||xT8CS z#@!<1C$9-{Xs|upBV^vNgax5GI$qn<(c9i5@Qjl5qPy3&H?=f}`ocXc(7T(% z9U?Cyfv?6U%29YfG8ppqO^}a)?`eeepWj4%i-q!dl{6wj{>6^Aw&KM6{Q3ae!uBPAd+B#d^fp*`dXCJ-Pgya+dP&P$=9HCfkgiw-UvdBF}a~VtVO19xZ=Xemqh8 zaUc8f`32(-c^S4Sn9zZbs`i$?P=u$1UvbCk3P!P~!@2dgwRFJ|tC0YO>uFiu(xq<8 zmQ^0k@Bh~6Ksk>*I@-H?)P=(TJNM?9lhxL}ve9Wf=l$57$12(X*IZ-QX=_LO@(lYr zENVJ#3!rg0u0Zz++_sUSXsqU{XMfXavUkLcg0r!qDVE5kJso{bBJ&_gy;jl;P~D&( zlFOF2^iWbKr);GZ`iIL9Q!zg4^lp)P42=hp2L=8|vkyy>_E{m>*~?5vF%wNFQz$_R z>0}TcOGwF&xa5C2w{pm*k^B<5tS{W$A~L7(Z5EQ|qRe4y+}DWBN=u4Xf-(t7T?r?!`ZN(wp>L{uI+e?JA`8$IodtmGwyf5{R-4=Gz6p6E(iDHwHZE<49n z%tZ?cC1qu1<#j2i(+b0pzC`vl!N6@xMdnmxUs`*pnJKqjHf;z}(6~orzO6a|$#5s2 z28R++a$Bd!{43`{3l^iPPVQ)J6`6FVUPxNWM5W`{bmn`^PAi-!_U=wJ!S|`QB`tj- z;mi0Iq0CoU;AWeETL*VCibK=710QL#xL-qOZr2|$hE`Bas?=@g(tgPL(5lMlqfRPt zk=wbgoe=!qEeb~~BA!3d-abM$n;MclHCglIviI9Nz0uM(M`v*xr=G@4sz z)aVU#xIxka(x5Frg@W~T^g`&ypJ8!H%S%t8HOso%MCKP<7Fv9ojFcV8L_Hm7qPfaf z(8^TxS){bJv~e{QsWe)y8e7qUOV>XPl8M9XKBgr2kl-uE3=fR@y%G(@e;-nq03 z)$$3a(^}hn;MR+70(@~N6cTT3oxdX1PN!b^wqS0*6;2o{Hr=u0^>(*4v zP$m6SLfmu`Qhhwi>nF5u`-V80L7AkdxbT$G$g9SprEsH&$PCIUi4ddNZ=_g3J|>uQ z=9j;Gi0A%{8iQkaU(;pH#AElx*KK6YMt-~W%pWivuItIla;)TW5jnq6zbLz@7AI8Y z)06{IrO1x}T`F6S5;N(rR5UWXj?2Tjk2JvJz^#ul=6VK_PC%k-O!1W zUX?kM&pbtg?bo>CNG)FXr{9@*3Q?p&XZ4RJ-(n)))HBmZSJI<-tV7^*GMB{VA1w+1 z>Gec2le~fIHAR4}tfg0-Q>HTE182_40+S^7oSCUHXebr;sS%OblSs;lguXe-FiAGi z?K3lXk3mAIE|TAQkW5r9Al;k8Y67@Rut_QMs?9-$N$EkOfKBF8;~MVGk(J%k5mGG+ zi!oGgSP)9?PEBbziUj;4!-41HSJ9P`l?6N|a3NZ(QQHtF5WL!Mi4)~<1m7=4_E{}Q zIpJY?4CTmJD=9M9ptn=8qy?a7@tk0<8KRNc+=(g6pKtnS-a zi{o4JRBAlLmhuGtiAmgj=XgU^|K)dmv86T>mS7f^`fqUzEO%go{jVFVJ8+pd*wKNB zL3iMikCF3Bls*4*cHnv5Jf;KpsSbRcMPYT|YHy&`f%{Ykru^iS7B+>Oyf&Zez;!Wb zR0rc9??N)HmBh9k@@-BKYoP3^u0jz{_L7-5t2c?!XI(ffP_$ z=nlNfn?`qF&f3v|JG`YG9k@rStMWkCrLw2`o_xQKnu-%~8^7n^o3&0jnGwaF(US(S| zZ?#gc=Mm&xpqs+IG z0bBYj^YpgHH6gkTgVEtzGT>)m`PNEz-pcLjZK7N9dA>Cnwwi;W z%gUOu{fcCB3#O$VYem88epshzNpK2z0?5vG6N-#beJ5|B+F#JNX_A=gqhoN%zE4o3 zRNsSurP0@9-=D0n1xM2mHS6%DUqDYvqf@2a)MtgbslPB3&thoe4sbr2`mAQsex`f_ z6*X&>Oam;P0^zBtb9|c|ho4z?e98)ZMdEIlvd-$3Mfg>1C+eoYXbPGW>1=<2nsjL? z)z=Q$H2S)HKh+&4;gUvlcckgP?vAu#w7cUyfN!Gg3IKC-$LA6E7dt*>4pITq-SIOd zd~YZ2Pxgew;LMo(?{sZiePBKgYE+n>-!E}yc%Ta6t=#G7X zi0;VBZ2{FsM922o(e8*_4PD(4L!})1C9ZWKXhy0Sb;NyO-4SX!y5k8{reBPzu1j+l_`3ghpC;3%r%D9yV&atBb|k+iqF;}!UEJwiI+9o_MM{M>BE zr~HVoraNxIulMalXLlr>xjS+haEB(OQhmSTe;R!q)CzaET1-875?x?UYHn!?(*@g3 zTv6!}2Tvhi2lbPBR&?}slk<{L@9FJGE84KjGNu#1P@k?K1hI35oQ9>i~7&Z?=n_{!r! zPS0O4OpIwq*+tH9N%2zdu@*yj&In0hw8zB6moVeX>81fCkw&+i)t}K<>P|U*|D>J`6=kY{rn4bL`{vH610$IgSoLB$kcC*W+Kn*Oh0Ffl4{&CeHpAPe;0rI5wOM z{gBa&X9;`0`sJH(Gp3I@8|jK|!q_A+J5-C#keYK*;!)qD_`3j2T|K-Hj4?M?DIR_j z*~~4VAshx=G73LR!d#?P^6#X<-IzGkb>|XQRyM8yx3qQkti=W5PRwF@NU&61f;z~d zhmOoMf|a3gHy+&7xT>WYg1k2T3-W&#A^m8AVQe~vaF2Q5x^W@F;L`p{&c?>AkO|N- z=Lv^iIXD%9HfHjZg^({NOeyEJLOzRyf6g-wOrFM2UUaVqw3K~79PdOe9}CnA29=#} z=hVI&7s26iLN);grA+`oWfNHAQ6<&U+lD1;Dp+udT|Z8Nd&GA@{bVCWp@hhCC?;~= zGr(y&5}O5ejhK?t`i9X{zZ0SmK^2$JzNiB*6XjI2zPV!)3`qehv56m=g!emZBEe=l z84PM@rg^O*SRp0V65SwbRW51Y9PUQ@r%e`CM96!Aeax+a7%#8F#CYywyl~P@h{0-7 zYQWzB_)7%1RBoky^J_%Ld@=#MRjXCO4?@G9ilFPcQUGuCTyJAx~^2n zkd0F2;;FniKt4fLKAKcUYbJv`YAI1(3e+`(%;R>Y#mA}=+R~UqQ?(?@=ODV3#OIPY zUwXhZ=0S@7BXSPZxlp509g3p$H%n<#~|2n54NJu+l_wG_$lu zY~mFyO{-}A)g;InCCVouzSYh^H7i=diZ;2O`a_8ZXHiFNBD8+a8(Jl?Buf9kgxD8B z+1k-CndSg_3hBT%`lEoPLuJ=6ma_0LDU%&E6D$O0A(dL=!dke{(Y z?kZ8P19pSKbFK%Gop>`f=Llmb=l*G;d>$Bem5CtA2{4W~f^wD_oOAOwQObWu=LW^3G~E)_2ae^t7mR48gik zU3Y+8OQO8Mrl)}_h5lLer|l#?>X!L)Loo3VHb0GiDfG|cKPwhL^dsn$A1!N9S5sX} zm;9VqP|6#d?TND026Gj0)n>|#G-jW#!Bg36{NPGzQZzwGlvfjuElvN>lxxY|yrCde zJ8ivqKtA>%fJl_jK>A$--TQ5vY7%Yo4&*oYIh`MF^56~_!gxg86z%^%81)f!r|VGT z@r|~;dn~SC*D+Myl8!>$EoCu~hZuAxC(OMM=aCS(!cI_Lex^$OyrHR#E334%HmfLcAA)=nWk~2~b8|s-(-Qo!H*=IraB3nL%4Wh-z z+2Z7{Sb7sApEAA7S;#@4qs5da}&|mQAQv}-rEP0ux zwM3b;5Mt#dsthnheuj>k^Nb~`cj0K9kgX8wc8dO%0nryNW$lOcvGAo3yv8X^TYouQ zw6JqafyJML=qpBiynJ>a^rVwWyskSRyQ#Drhzn-&pAbs;uT?bFtGGodNX}Og5Fy7x zdWsu?8|=TJ-Y*hWo0#6zh;?4Lhh|Hx&H~6UA&j!hczG7IpZlZoD0s$LcS{$pCSo3Y zB7}YyK|WsQl415GajlX165uV!{f%U;YM}>A zJEQuaafrb&%T-L9AX_m2-s>R`0jcp5lIpZZ_iDQ_%aZa&EN6ZBOwL zB)1u9?I~FLPFq@gid}!h{ttpKq4pFVv~Vj`b(i1R*-0G*)A4T6M1HFX@>K?-wPB39 zh}7-GV7Ow`8X(SbqZ}T7h-5rJUIEQl=ZPX|^n*Y?YtXhcN1GsE&Znwi4xsXy+kpAm zjnk01`?$-8uEKg|Y{%9wf}_q;baz=i961f~aU(70?CoBGL(Z6)qK`EI&}cv`U&?Au&sCo#4j)qZN=}XlNG5foETVOg^=r?KLHflNae z&}DO4kuzT6&e(2IxxmbI<6uRGw7Vg&BI~&f(%4?Ka(Wyc$FgD<^~;MiEZh#q&=crq z-dMx)I&x-@fpe?j<*B0~A0nCjDK|N-M0H2{70_Mr3@m5mYRfb79S@{s`U2qUJ+LnO z6U*GNZdtl;wC2#w7!Q^Z8zVTJwZKXw`jroNz?D%S0rEu;H0pwZ`$Pb(%J?3bB@$$&U%BZQX1vLI24LlAV^;ZkbuSE!UnD}6E6b+%#) z6y-GSt{1qo4c0EJHR)r5^E?SGb8Q0rtymPUHb`W1uT~{>Xk%JoqECuZ2+m%>Dmj}X ztMo{eO14iZ2f>n9B&b8izZQkJ+T`hgUgrg8MfStmz~cBMf@7eyW~~1`@=5mF<+W?h zW&MBeWHxM8_IZnuMXy&jQD#lqg?qSNIRh<{ZTzQ^&0k|>Wf?AGCv&l?X#6gC#x6o+ zgIA5sSw$r~nG;sbv}k7QU4oqM^UBSv!F8jm+R5Bty*g|SaBjN{l41-(RN1($=$~c9 zMLU@r{06xW&aDSIo$HmG-4w0dnszd`Dm;8MNCl+*l{}s8m&kILB#%Ox9gzd%mm}dV zu2gG)tX%9AX#W*z?8OtwSE4b{v?x(d2T`3NGcL?@*aPG?EHUTarpajc%_8e=Tt*&| zJB#8w16WJgqtyC^dC2$88pJ{|==A}<9Fe?!Bih|p#Z61LK zhxRpgin&S3D!KFvuaoJ<`X88!2&YyT)Kajz;G0`T&Sz9;WR}OjvB)8_$VVaml${kC z%@zB?K;LRv>mkZ4`Z>hDaf$p&d_>dN>qcgCiiw*mQx2O9R_d^6nnS_Sin{DsGy-`;jZqU5YCl4YG(gb+)0R_TeP#pL@_r@=Nw7lDEe~1k24feMQ2qmjG>CL z!JVLZ+=B)-V1`>_Fj&Ue2JCi&cN$|8U3_}b!e_HFS{tKmF*Qw!LIe+65X0QLmMz>2 z4pVdieTHF(G6gI0nztgx5}UyAyax*`!33Xr6Ih1$5zwSv?P53$LGPo_`QCz0bF>ON zKgMD*MQ+c!xCj0 zR%l*qg-nb22UvdbV1i{>pOa$iV;N@B?o!M~@G#6DXg7J4)+4{WcPYkYTHDbr;<5#p z)duGr6X@27oZ~dkK5EUAb-EBDuL10K10^d$VM5KlP%CVs^b(74ZvnH-;Os1|Nj@{& z;LQNFnPbErQp`fo#dUMA6SX`kvppEe2HPv57LXieh^P{+LOdM_Qr9Fo|0@8w*T78v zPJ+L966g}V5A;v57?kqSH>~p+DLPLQCrPByD4Oh>iTX&RI!^Yf|8#I9)u;Y1v=UP} zQT^|tV$gApZY`JWJCWoFf`{0?O7IO7L1(fGD)1XeV)iVykHoA*w0$Io;?0p50y~bx z5P?1t(@S5~k(d+dt2z>M&|mOX9f`S=zN#ZJ^uP&yB&K(Z<4DZ>A<{Y$a~**ql)ZdHSPt9`V7#8>>WS z-D9W}`ynVJ@Y7S&BUD@meEK7gJoC;3DK+;4+`wsQQi!$JDrMMI0o|ueNZV5kLw82*Kpg3@;lJ{WT>K#FvX!GgXzOfifqo_ z@QVoAU{Qyg`r)#VJ)I7YbBKrS6>;n-4oSp;C>n|q8&4VB2cqK8#y%=F zbsrQMK^551l{o|VQK>i?xDHV%tf=kniSiS$eruTdi3d@~ ztK#rdt*cEQb>CZ}EZP@tjC!EDy_vgR)WNHM7@fD{;rx>aqw7dk95&}+ExM;JQGR5z z@uYDECe7AqCv{jW4qMbQuD*Wuqw8?)D?5aZ#(X;Pbi@eDG&H?Rp?_A^>LAzuW!AWt zQDr@yvVP0Xnx=Cp^v}v#o$=bCtf^QzeEw^sRU)o&`e)Iq^ItneODbcmO_ZnEwETDr zZk4W+Vex1^&y2lJj9lT|AYEt1;*dq1CQBbB#drkmylqD2#!)oKX|g!9*jkM|XVwPN z9-COW&Y8t$a-Tq(%wvK%f%Y^wUo^bt1ls$E{D+;OrW^l{xbF^+qe$NFUaiBj5;nFl z9EY*NL=$W|2f(bPU1?X5m1JWRge=Rpj1meC!2QTMo18O8JTgZ(G8~wE969FYo> zd~a3vO!v&ru4T;ge1G(4)m`sf9je3h)bzL>Xg?!i&?vWRh*cLY=03QLco@Wj--m;thE#QXe-G%t2jT=`$W^uS4b& zS1!l{Ek~s*-!we3m_;-AHlwAOh@ee^>&oMtmYWGiuXsAaaiHO0qxZP2<>t{Ww}IgS z!@|Rx$Du6;i|f8d6S({hECV-p%7vS9_KMT4ZvA2Tkyzqjs3aD(Z1On4Ca z>rd^vmxIK4>3^wUnhsF(*)+COUk)1E-H(a>4w8Efk;mOH2aoFr2xpw10FQ3zw6hxA zxF>lW0sBLS%?9wKyb6%+^%3d9Oh#1xFR-#VF|G~Jz*cZchRnhIat{7@LjULD}cVqWl+Cnc!SbH91pLz*BZA{eKE3_Zf zrj2(PE5$AdRu56#Ups#)f6@L?s+|5G*pBluqK&!e%9FGoWN2e9_2b}q&2ZUm+)V?n z@-fXt*iY|Mb;}90a+mGl1cRU}gl~9_UXS}}KlGA=pfjkQ1=gz!Gm~n=;KC@+)P9pX zmhmMhBRjYmc4^|#5OB_wJ8M6QRm)xu&f5ZbVJb|i99{cE z>DbB-V9VdpTMroxr(9tBLA!?iky>Ri%LFg<_lMfUjB2(SJxf^Lu{pFFzgaf>2f+25 z;p0-!1(jp@&90fRe}zzGn7k9lKLpF}wL0xm`$wsxEhVnCze3v`UX5yNNjm{5Z`&Md zUFS-ENbGd8Ux{*@TM)PMqPnkHj<{>V?ew<2z}py2f&C3erV zym$M}ulnBT@ls4d&`oV>NUx79zux{aJ6&)ENKX$UW)-?eFuU^j?GJnZY#2smjkK~z zij5E~TV~7hn1J#I{zJ3CEyz9iaA?0UC%ecX7|_QdHjcF1XfXpy=>0NdC0`= zK^bAYu$x6WCI6urK_{WXmou{EBsef2WYmb+;ny{y{FVREj8wx(8?MZHpMSc}(%+Gt z=Yq0icK$uS%sH|Y_9;&u11*;YX)=R= z$NxE(ra;eVoESyU^<>0(GBm}x?W2KK?Hk*E(Ye^^Xco(3+QU09fY;|Qor79?QZK{& z$@@U(gY9Mnz0<(`&us#qCv`4b?PHBeU;YPlKNF?eb|CZ4aI(%p<+@)}tH_dL93+`8+fNR|hQYI-dda44vb~eRuCRwNbO?VZsThvrE+V|d%*W^!|4{> zX(P_9b|~*3vC8X)DDu__{#u|L z3&U*Jy6x?ri`nbNZddyBo$>x7g02_4(rrih{*zmEeg=52HQX6?ZM(>?odQ+m--CPL zE^gjkepI>bJl}t6r^c&6+GvRF8n@l*a}fu4x7*czBiJ7>8tiJfJ?__1gH`t%>?*}J z2-)o2_Qn4lT2uopg@U64l%N4@Fa5RTcUs|jFn?g!?N+e;_j9pl`sCYSX}u6@na$?rQ?vlFBjvS1_&@b1Q**6UuMDUC-xAKysu^BR#m~TF^~!JC;7&_t51QY z*8_4Si2_=-MZzOt69r^~k+8bbdjR=ib)DfP=5wNRrNl^h4kgmh@UrD~y$j~xi(qy9 zp;8em%X<#*HE^^ORkatyISO9}Ta;|?Y7?>fJ&5bw9dBxei#M9H2YfqE#5-oiBjaZa z(f2FfxG8?%N=VQ<4>Ki+6_1ktLd44mg(Odh=5qWP`3d5_cjMLR8#-}IIj~fUVF(Ho z-7F97q7%23V~{Y;BvMT}iQCKFkWgt7$wr;T9pv6fXf=tvhSsz@$^(#alu2A{(e5PA zLc(Pxk-k3U(2kS0BH@0MxYE)&Uj7RSZi0 z%NsgcOK>pSw6d1o*-dSIbXq6XvD_{$#dw6m;!dj9guDdDHx|desHw@GSWk0nt8)s` zJ^-}Xptq>eQeMz>sEYNFQaTcyPcJ$Xm`h!pI&v-{^G5^R=mj?~ZHBTfP!9P70MEG~ zs}FM~X_x!fMI6Kwgr@ETk30>3;Jo`35AZWIE65QLKgB@E zm>s1D`XP%IEen`85+z#;v4TOhBt>th(q(?zMf%x|Z z!h@!U9N~xbD#)fODYiw>#pj_kAOb>WR zL;f^_(I$`uvsmf58}g4DjJAO+81*iI{14=RHW+OLSum|i&zK6#e+arf+6uB@mMF{| z$Tu5|HiImf9)&p`@@E;0ZlNrgUWK_2@=q8{?j~wem~SEfo55&%%c7@UVYaD+|1W~B z18s0&8sL1UppHM*rmznnOAJh#LKc`dg_V%M&|tJFWWi`t_$TC_GZ<|OSuolZeuDhK zDz`}56tZBnDeMIK83vqWBEEsJHXF~o`gVCmt1*1*jamc@DFxnKd zV6-Xx3i7?!n?e?tHiZiyf3?AA zQ^%v?*l4Xj5p1{0f87rjP}r zP2m#AUvDtl6tZBnDZBvrcML|GLKciRg-9)4{X@`opiLnQMw`MekWU(nHif!?2?D3y zP*w705JfvQQK0mvl=rcHri=Vie387r$G|AN72Q((;b8JNXthvI*bkIZqa zL7M_&F37;>&CDXm?_w~#R!GW3cW3*w`K`U8_%Z;^&Gj@V0mlNl_N=fAdM+}aA8rO^!D3u0cIMs8RdjE-jE-R` zev%wK^R{TGPdtg@nX(sBw4+%mNwoO6Jb5`{ZbT@Y)`u;MC<)+G&n5h2nM7x|-a##X z3%T>|NEA)>39a#D;bnC>HlX8iK}C>L=AwQ$7kcmTJ!r1*s?OLyYz3$bp>S6!1ok(0 z|L~Jcq<;_bQh=_ufxL(KX(ljWAMs7#K6SCqJ|b_%e3emKyb)xYSCmplN6(XD2LxUB zDa1K@Gv5X?U_58!JfIdDWUYn#Aqd&5V5b6dfr06M%voL?1Y4|Nj{)+$f$6Tyu>S_Z zmMPe8fJFCni#G$MbBgzK5Uf?frT|iGV0vt4ZS)CouPLBjmnc{}AS(<^5AO`4uMcIw zdKBz(KyEZJJ;F2W*C1H0g1rXFM+T+`d4~NK1Zz{UVSC{?U1YKfnfVqI$0Cxtc+yJ!!<^pO1>;vdf1JnkX3#bk7 zZvfq8fZ70a0kr{s1kkqzs0}a|P#fUrW~_e@bmeFR%mvg2I2WK61JnkX3#binB|sM% zpf7EB>-J-fZ70a0kr|X3eblJs0}a|P#fUzh4BAD@Q#4FfZ6~n0oua=wE^+*rQ>RslcPaA*U} z#i0%GD1cTPpdJBp0kr|%2hbA+s0}a|P#fTn0EL&h#nlFw3#bjS2%udIP#a(_pf!Riq(H`Z@}PXqs|;m`({i$fdWpf=3^2;LDe7f>7EZU9vppfW%!L02+v0XkA=3z~xfAe~M!DJ!@}uP1UJz$)j!UJUPR~)8Xai_^&|icdP4M zCt~`r=TJE%H(rQ{yu+}qU2<#Z)7aZT3Lgw9xsBh+d>qb>CAaTLPRVcL!-5p&{tzqZ zP1|tky`d;}Q8(BfPQaxPgh&J%<)L9jSh^~-1mwerru6a9A@rNrOP>h!;r9r+CH?bM zNPdm~encoFD)?oqgl9uiM!V4d2r4DI0d3ggKp;G1v4Taqbmr>#x>XO*?JsaY1A^ zz665c7KAmd{v$N#f;5A@)79V9}w9hC*s z5niQ&K9jIciulyAR#je4v6|o&eda?dR@D_>2(bD{NFA`4{+8%nKo$(xL0FY7#NQx@r89 zZ$Zz8t}Zj8&b@pzzP3FK%XN3Kbz(4(Q_bgxHv&Nc4YHB&7W7las_R6GxEo@TFg+5C z@B_|#{+-YBKz?{OiBMwiIT(`*!^aL=fsf_Hx)=4ew{-N@ujpzK;qV2!ER|vtL^hKD zzmWX1wzIXPx20Qz_&@!4b0Ghd?C2E{{#DtDw?sVuIWeO-y|lA$ep`#UU$Ik~jyXgr zslx}M8yzwb)%JFaL&8eq;?C|~Cu*>*jfIACOhdLE`o^M)!kapjte7K z%0bJp{zcHV2j7mxj7FX=w?x8tleiN!JBeq?7!s;X;&e)k@ndsOOFv4ZLeZpU%kjXv zy{T6CmlA0ymy(yNF`4Y>>cg8N+91MD1X51)NTDCxLGOBe z1hOw(LOkLe0@vN}>p=|7OY!0sa8!G8)N;HDj-WCk4s`OMTbUoZ`wKNe=YVB2QJ!oC zOBXS5!+|N*qeSf`1+ftB8d6L<8lACn2VQEIL0ti+++NN5U!k zsRZ=ZiKy5E>#uP5+tdN@AZBa-f!Y@9x0#ZYzL&@2|FaMZNk&E~8J5)9h419{c6RR| z!t@}!VglZ|ux-dOpXPSuK(jAk@e!QDq0qnxx zOlTgq&|)Z1x~LW?3z6__#1+ub{BV==(`PD9-V^xpTub-PLD|}RS0Tge%hh1`3!e`W z=I4zQrg?MkWlE+qbE*!wpab@}hN8qst;bDv>1~wn!3QG8bI{(%CJ3vkr?z33?4Hxw zySTV-;X>q*N3O=+UD1|5$k7K$F@VN9l_IKG8a#2gK2=sS*1-+c{) z?-FSdYul2nK1;FC0U{6@fuQoHJHgzyHW&Qz7iy;fRQcf@AW}dDi-f1rPm0Z`E*jl+ zYtqlLR9hvXhao?U&&>VT(_ z6?Cu=-GQBRn7IR^6#EYBb7*t!z(P>u+<~n|ytxDGLCOILg+%4K13MA1=eQ}+i;%un zcVIXrX^ppFN$|@baQPo8x`wl`Hn(7F(82d$4Wwh<|4Gq=;Ogl2J=mI5&`sEFl<|8& z|1hagHMtfyVQW^=D#%pi=!5b64?(GLZeIF*7q$jHd>h6^IR-jTcJ-ju`+Xa>W)-?9 zpMie0tHLSDKIq~7yANA~B7Gyq_BHzuy!wft+CF->GUR^Wh^;}Bz7ykmyB}JfBu#7r zj;8+KiLFVMx)ozn*#1zQ1cTr$RKIV<)}Tq>i*cdOfR;;0lc!MqzZYARD)P0}Mj_BN z`3QEQ*6L<#4Z57WF&fKcJ1)JhGBdh*@5XrGweH5K^7F%209Qask?@W5Q^l(50~E0f z#3JG2utv)dKSvStGZKD-e$uXBB>XY`d;~x9!*q@CH9!2DiC*t;NZhp^rO~oE685+$<%d-wUGu}!9O-ZVgP|Yt^%-n=eJpZQ@GaVqXQBH>Lb`a%Awu9w|U(Gw~9CH_g* ze6B8>UQjcCNlSAt3WM7>e3rYjJJBKH+crTu68;F+DeZV^S<cN{|D z=eS7mf3!|fJYwJyDHl=fUvatBmC+M^72gC5?4kW(tOpgwS^f`OnkVF|E+o1!{ukn4 z(h&(0XYcD939)f}?8PVGH+=`8B*ja>g@lcD&DeS5noV>h7FAw zOfcG9;zkki#nkkAyTXZ{AmXhmdT8FEvZq~rPg}%0@~O7+WZxrXDV_9RXiT9JX{Ls* zZ=&6r`2J)fkeeyVI^*Ny#Ye-<6`^n#5k#o~QBt5TlLVAa zyG%^G&FlUZk7Zih1m!cYB(T!rUb)RNZ~{Om+?cX~+tOrD>57h~_SR+`u%E#(-Ax6a zy(1R^+hOCe@xi&RZfZxd`4|na%}%f-Ktm;$e*?vBKAK{*h)Y!@;)#sx6io$}SIi;& z4P*n4waVp}M1z+1gK&aFSPQZ_*$9z4KKsL4(uuatA`nsg%5y++aW*34sv6GAp^sad-%h;5IqZJ>8-@gQ94XR|ZsMoU#QpZH8(; zIR%>`@mkcx{IWB%H_41I0XAjum1^i(o+tN03yCr|UiL`C0dA%-R{rg?PMkJs}sj!}|g6PL?>Li}}t?EH6BTXZoLMSr~< zmr?j1GcJq$Rek}HJP>FxYG+F)^;EP>yiSkTx=R%y{|^MmnG6vz&3R9E(sGYr~2SQwu8E;SdAqogaRL??kn9^LuB`zi18~tsVozGsIHH zEQ{r&&9S<4Uj1>TTe_ztJDTwyVDe{(hmduxc(J?@%e#R;QR`uk)q<3^IFOwnKG{Hy zmNe@cNipy@Nfi>3b0D<971WdOMykjW%Sc!1iB02aJn44@x+bqJkP}VA`qZ}`LVD@E8 z(6bIv%9|HJyf>#4s3`j88cQgets$QZS#C_7oomq~z|!|aff37~%?rGS18!1RZQeTlw~W;|4AwCzZg zT^rtHB}tFQwTODzB>afF7o^PK^xbeRpj)aFpUzkdd5*j3nN z$L}j4GeVLc{3D;mfA1g^%Ij$+AbP%7BHtqUCs#youmbChG^Q&}^W1XX42&Fq8a9Ct z3I`ECz;w%{z|>^}uza^QjHKIu>me-Xt)JLdu`yw<0`689>ulc9CSgwHyurxIKLPkF zmq2eYt_iD^Z-vGyLeP~;rT_xE%gbGD-W$CAz_5&1xay)KQ7lo#_jkEjytj7O`xwL! zzIO*j+gZUTHU^3;6I1_&J!Z91)#G-MbWD#8R-4%WpmPM@*h7t+c!m_E2!)fVVWPyx z7fWO|lJ|B+tb|TP9_S`SX8?(9eK} z&UDJLb`-5*ccR!8@RUojCad&kg^iQ5auhgDba{}KY=#{`p|i3I@E2SPCo5TP)KBC! z)e$hIEf7@gMrTtqr#`TW6r z<^$ynig!cj2uXU#Vn4utKTs|fQ=>(Rk1v+U;IlDUBPfxaHi?gj!quav#k(Q(DEMVH z7#fJBgB0a5sSFaf%M}DW4Fu=fS;;oU76p;Cii3&dWe~jYk~rp&)vPj@*fu#kTbzSK z01@1pSt}Bqokbw%aY>x)WVgm0E$)vlq|WK##7!?3X8oX*&+#Hf#j1 zb;)*1E`VPjLSX^jdPeD{!b)vIO1nuNPIr0+doWT?F{z|Hx*3V66Bj_QZ%56-(zzGh zlC<3i>=Oph?8A9`O+oqA*Yb0{cQ8XC5M z{^p2wnM~u-jlZA$Eiiv&*hynlv#+Vv+G=$xkGU8wJ_y>r-OV*L*_t@uN$9Y&g5dze z!VfZNiw-PXZS6F?_ZF&Xd}&K>Q}bdfSiCl~u*#So1nJX;n2HF_tK21ZCTCkqZ%bBA zzP%oC3D$oIy2zUIX7`52$2p<2g*a7H*oMMn%^>PDWL*8*%Xl|AJO_2~$($5eV0i<0 z?lxRHW5239@=44E(XDW?=BH6e9&bcu()d9a^sv*i)T4TsfhmGEZ{)rJ zFLDVyFyGwd;^@v>o(;@JgmWe)4CH~mg`R&n%ANw?d82F;Jv|Aw8I599c~{{12ZB~L zT>cvm$bvfNlx}{O18sEMC1(OtOgNtRQ8a_|70m!?H7t7pI?z_Qsakqunq;>s9ILwq zh?{J62IW&XNyAv#JAiy_l#P{-X4$a9JwgvX{g(iz`O{yTPgfv^?j|#`X7Tdqc_DajFx<3V=+s#E=2^-f%0x;o%VUm-wjjlaiZLQ683bwb&>l%>} z%8NV>G*`G(yfqx9dpz~a$TKp!ihpZVdFa4n!qewd!YM8c0^l*kW{BZ;r^Gr~``^1}p)gcB4^ zmYpAtU}wF6F8EFqr>($xAwPT>t`UAr0sl>srg=R-d;_ipbjc6X)+L-yu}HwDq(~x; zpAp_C&Syv@d_6_e%noi`3+Mv(OT?anN51sn-#qe7Z1E%FPj#R8CbnFRse2_q@J(#R z&wG1^Zbp6;JM4NRj^`)mv_vue#EwV^-fr}?HxYjbBzV{x;!jQ`%4sbLZ#YU{40ZHQ zV4{W`E#M0mI!&^-gh-4d)p&1@_!C8P@!#OtiBPx|1Oq%YSZty^86sC0F`tJ9JGr^O z4YVNE$|lRl0D8d%y54Tsut0U-T}FQ5otR3uNE5K2&Lk-!?WM4(!N@4?}f!#*dw=FW%qJDEalEvLXtbgjTdskKj+A7jAWG?Auf!DJA# z=m%}C!Bl8vClbfe{)RRl0sfJ6bQ^rR@=kGil`)8nba|Cg&lsr+fw3dz-RI8Ij@)9uz#yE)sjmQb@?~ zO<4IMC{b-Y#oAL+B=$k26ydEa4|s~YMT_GZKiZhQ4VDO51(JPSBHjs9n_D2G8ABxK zYg`#zlR1$_vXcNl!zF-IQE3YtsXNgLn}UE-buvL$0rsqcDmTAGGc{<2Lb9nkdByPA zDtQ7}&L$>qGIfOKd|B1BUkj>OBi8js8x|@ z2WMt$A%93k{sfP_@)i(1Ok~_zDsx1JcWO0HM=l;abDD9$t#}rLpc&oliG$=jxGhL@ z29_a@N0o}TDc<5Vb87*jd0&;c{*lsk8CTH9bXnJlcT?v z7ELJaG(z#(;NcN2RfBHBA`n66oQ6i#*1Cr&9rOXSjQkf(q>A8fsy1x0Drtj)M+MonoNI@(%0_EU-u&8El*WmSZIC{)_cx8s9% z2)fc-T}t7Z)K$ElTqKSPR64~kn6;&_)ir>|>^ylV6hC5=a~Uhu;D1h@d56L_^4DGK=#ZXLeq*YCGk#G@`3uvCgnLk)_M8fp42!2zv`bpP{ zk(kfI<;lYlJ%g53#rHS54%98XDi~Yz|LFtW}pz_fA%oWR_ zO_!$VPFt1ILJOi=Y70?LV|O+!u2{UCKSddmD~OCw=i=Pf;%=t3v#&>U(KjH2xTYY- z9#NNXmCJ{!F6r!A;Tn%9@5#b8(IXenTH8x%`6jhC(T?40+8M7+;7UKx#(TorqFndf z3Y$_Z@V`%^DWdPI_K3B$bvCOvT*}$;JHWce8zDR<+yy)#c@dEluQ*5I6B{ipn&Y70 z5>0nS;yjhJZ?_QLRL|5=&XxCpYBNzl{U{P%jq7^UFO-eq{eS!}B4I8CU)Xvh;geC0 zNO)UHrx-4vPNF|>sm9WLNCK-=S-yK{!9C>KK>wB3hva;qS`i889uR!{B z2!#~wnouX{uPB=6m{5HGzNR*>4bjFD?}>i|^pC`FA2F~Qd2DI_EL>Z{(moAP;_h#j2b~!qMKg4iQ6ME`&IoGMTNKU0b4xGG>z+4T{XYrFN^(d4J@ABfm z0{WTH5&7X4ornTjhvtW=3`1s&hJSMTx1k01!S}hhNZ=>EjXQE7y>>+ZeG3$3=mEVG z+_at+I1DYIe}@2tO^#v3SQO)5aswas4)!K=x&+r~7cP|555bNz zH1HqZ9>#UZ^E_mH8wx!j#n8z#FsKaWjp=G??QZO)Q=$+>xV)h|lBFxzyfMm&$s;ug zBu>J9Eh`$kTX14YOGh(P0gTLSuT(DEe*Bfz-gqQMlOUM+^PrSIz*U3)A zkWKKoa42f6;@?uNPkR`f;-Bx4Jd4(IwEe;UM2MtjvGg zLgJCp-Eqa4JsntKP%^suWb9k@vku+ae-bT$CJ_m4*Y_ggFI*Mq4(}lAgTcP}!`dSs zzezpyfV!5pg`{}~03)&0$JvM2y!o@_6G0RDqi^wHzLX=pe9k^h$ zppPj4OQYBCDbqB#67h@BUe~V2q3lIcxPo#LJwoXsC$v98R|kK<(s{pl%0qbci(u>8 zkd~da(o?I>-`!+x@%TL_hY-!j4I^)Y+B-5kZ%8ovL)2Lk4{z`6rl# z)}!C#Kviz^PgpjYH(9oN@q!E?3E5hbKbfi@hCpv*P{Mpf_P7bk5Xg*R2;|tS8s~Rq z+Ic&J-7U``wNFFO3$Cs|R70zvP9n!Yf=LiT6;ru7@>?k=$9c$ta^_cs`hC{zl!{;T zCsp!tT&^XZmvGhcsY1|j9saB0!&CnVb$oCSX^}(Fz*?11FIRxS>@iQP(>oW4m9rq5 zAo-g)Yw9HlO4tLD3*3Y#)g5fYfh|SK(I(X%9M;Vy{EvItH143heFAk~xhmJ$Gy)X0 z3hEj%{U|oU5LA_D3n9l*R0|F2>ue!@)oduFQ9gys^Q8J-ZjE7kDy^&V-#QzLUn|=S zDU-8c8d{^6MR!UV7(yG+4n9guw@UOsULEYEBM#KY1dmm8V@HpbkOZ4=x8eqe1}w&^ z9dFWsffmXTF%c(2A3bUsKx>>9TJ(|_E&U+I|1;GsELNb!miKly(Rm~!N)tFl7xCj6 zig=Yp*AES|R$%N8j5NySFun4k1z!id79!zy&dB7{XdDo;ZJ>nYd8;sC@;&AVNh=I! zl-DEfF@(ZrsC}awkjM-88GV~BpW%W&<_N!v)DMmD50t9BihqCRofQ<3$(fI1>POJI zVFjDfqt~@vq;T1e1=E=>n|=s0N>Z~oF2w7J>#_fxO8mP~HT;pMA3Hb8rht?=r;76H5{Qt{G>NcDf>Sl0%=wA>asw zzfcpyV8~x<9ms93E>^HV$d}s+$g67|6nK5Vo&ocVhFy68t^>RF6Xbz?`={^`U<6$? zHuvvYzG!M*RU8G{6N#ETHfo)mE7u|0t}i8L{CzNgW-@NG|DKGyCRTCZbJbLdowBpt~-JRX*xa=;X%~cOs9Q&TN@Ydmb zsJNhPgyhs`Fw)ZUl^3$e0&SwMqv~l6N8D1UR{NKbI{GR2_M)cScm}USCG)w%HW%u9 zE$`6N^Y#5m>{&bnLeR4St#G1m<33kx&Hl~5-x54t&}*H)B|3X=BWqv#vd25h?f+l1 z2TMBOUpO@b!Kygb$Yf3ARI?8KpU*kaJf6P9%Q5*q)XL|eng@B+nbhqoRIiI(ax5UV z9-YdPTcNMdr?X9_+2>eq>;LYVx2VYC!PA3~d#4V0n<#MbhsI#p@-KWSx>AO4zt z(xMkmWh@-Ra9W@cBQeYk*%XU++R|G568>2q|K#JJvmvDSOeq&;&vY9k(2kMXGo^jj zk!H`dgcAold!|$fXV0|#KSHD=(Suzc1;Ij6D5D*?MtgA)Vix6!b{Yn>H{orr1@4w8 zZQC9oDWe3Ij{*HAF&w}QG+0Ne+)*kqCe(d4cV?vt?(VX0|B??dHIH8oA)-+)NU=SF zrsZh|pCWVjEh@6Isq?1od5q`cE|48Wghz2cGYHp$kEh@J!S!TD2D{f>+9|G|@LG=i zViQ!eoc1DK{X@_d!@HHr1sBbM1$5SOxPHB+rg?%t7G!4_!c(dB$Ffgve+hrr2C4DB z7BU|?H%8E9I-jyIPAWIGHD!XOJi{KBYEMJQO^uF8)t4XMm#=NO;$&_9eF^_Q0e}J( zaTfg?07K3XQ?Hpmimyo@LGaydn88zdg12%jiANpbJLFq8=d7+X;d0 zrj8!5^#v3!OI(S#l5o>6qzJhWrPCuGfHn8l7ZI6U?xsxNMV0Ca#OP98283HG{ZH;v zZ2?X$75xy?_feFaz2Z{RPnS~vlbdoSh?tUohyuFyo{ZNGhNf1$_9YGsrK1(Xsc$xU z9qyd;ou$4y#FhM}t$|jGxEg_y1->HwLg}^d52Qhj&pRx=Mx;QgZ}P{@K;p`OBzoCS3(cq-IC8r~41%*lFC>}k``o?ePS0>8%0@P=L9 zf537s7%m|eRUc}%IK^Lu?+;6>_?8OsHW)s4S)5nX=~ed>e=B~CRQ$p`XK4G^aQ}zk zW{6n^QSJ1HMQ3P#F#N@3aWX`YL{j=Y^lNG_?_-Y#!1WaIahpZC{j2zFo)jM>#t(>d zw!in$Mgc6^=2B-tgj4x)&$sZ5l5Ks6jG%?`hO)7*FWK5RWozF^ZUa2beJGpzP+7== zZ0{RL>MeN6NQXY8HkR@r{Cha5+l1O1vpFaHNlJMpVlQ=5qQnW4=j2VKycPf6Z&F7C z?WF!0XQ(0NO_RDkrRol`X^!AJONb_5F8&Orue)R`D7bXyt_07`E|-%z?lfc8rWw3l=NB*zhEc0_jj|o-uCwLZ zuzEXCwU3dunmfqbTBN$A+Vd84l3oXUQHxZMjto;|7oKfmCmi2Rq_;EZ%wa?}_4Rhr z%gG|OOw#I_&KwSxbhdXjb+^#TZS<^Nr2elM&FGJwAX10zg?K)!I`t9vRC+L%`gAG9 z(({jMe2(a=_ehEk@^qidZwi5FLjih@HW;W2!*FXfaWQV$4fUA zh@9gls7BJLQ{`eLtT2hh7(L=;!@E)*ht%_Y5{q9m5~s`Ska~|#f>Qf+|*&q5QsyHFk>Bxv~f{ySj4j%K~wF168Ch z%+xZOldvl++W_lvq44fkF9oD74gk5Y1Y82ZRW^wIIr%cn?^#;qIJ$S0nn+* z9;aH!qUF&*on(+Wrkc+SOVWwrp2+~l2+ZYF-~``drtZqr%g2|n(sp20_>^kg-2plo$O^9n z=w_e7nB;?6QukzPoTLwFVWsZ^_lc_%Z!js?LjejM9P8ZVeVqS{pc@mF(}6=6L`r>j z%)3aL%xU)R&;0r7T-JTTu+(Kyj%U>3>g|r0j4fCoQcq_VVImT2z9p))ZvfT(M8|`V zq9ZfF%UX1POX}H7CY<=n=eJn5;!Ds){tK2NA7nDQokhRqB2v#~a!$hwRmuv%R05V7 z!=$rwF^!zhXF{i__Yv%}33(LYD-8wJKZXY-8N;~$VkXZtCpAu`c@*H64FM0YIwN%6 zMe4Oo0(IU6Uc1Tr5H*3I>y%mt)yc3ovcYgnhE<<%GsGzu72ud-cy7cz=6#f66EQKCt(&rs@J(D(--HKl78#ixOLm9VN~>hYg^fKu-VVAaH}vFm*V zuN4FvJ3>uv+eo=BQl?X?GQ97Rt0-Nt@Z|Y#JIsGb-ph!Lz&sR<;(~1qdQm;KYrrzf zc~c#)XS>xPg#{>vylrIAOhD(687sFyfiy8+XS z1J$j(8@LAzRy|-%#e*{IK0Eyn5C2kS0m}4q zJ+7C1fM_9&=a~; zO@G)F)s~;)5D)}y`$Pp6Ivyic8^q!qtHGw&1mI#9?BI3}ssi_14YtK|0lI{MYB8bC zBuJ$L6gpd(rWVkh_>_k?f&b1`t}4OwOLag)s=i;8ijk{!(q~e{5v=-fIy!BJ&P1l5@Rbe;ReGoX2qsGLfpgEdln1Z2o_vc|yAvDJv6 z?NlA>k(wI-^PKBp4O!(t*Sid=I@F0csd)i3=0qIY1d~Srd7_Jkk=Y>>2@ zAnyn2Q9`N$50`W*PHOJ}mCmU+tZ+3TzuF2Jwoi~k2gmBheSz~&5ZwAbj>eCD1JvPw z0xUal(g9}Y79jQ~l$t!Kr7$VbK|HCZ0H}Ksk5#`{0e+{KBKnrA=&aMNl4!$HuobJ4wjd}a;bq>C zoCnlGgXCpJ8$T`=srDcwJ($C?h##t*1>j`{%oF)}QkHdhE)%J)AT%YRuJh#62F5EO zY;c#s#`5`!PiFCN+8Zor$4|VvLqAoliWq`27EFS1<%j7fcI^2#?UEGi%|8i(?_W}c zN~37J|Az=ZC!OxNt#>RU;rUJq-E~Ll)}AlGP#Z?VhatLve&%yTK1W2tV>quDQKI@u zSA74>iKxMO?@b+x`kEHuThbMqFCQ2Zn;7%T~kt0BZdZ~)l?xD8w4cm9;-*) z4+}`Ci#3!c8)H=Tm>3=;&_G2i3`&_x)w^LpN_|6hvJPn@0@4~{aj{WA{M>j|yjpDR zO+ggd!Jj;_b#<|-cwJm<;*G6uKz6FdpFOd2t57ttsV8n8%tDOJh-xfBc`7SmO`Bz= zRmSRJE~D&(;#ggIMKUc$`yzL5h>OiVaq-Gnx&if3Ew=E+SC_>qlVXe~vb>=l#xJ(? zL?+4f#a7<9y2@BpOl<9mtf}J)+r|@_j@QG?w)Mm{RF;7fS=!DUo#v_?Ye$yEs)}n$ zNp^d0bbSnigV@0nS)Qz>w%IWtvav2%Ra==%B;%!Gr=axubgVR4pRB2lRf=&z0_8Q; zWfcfwd{AmttR&VTih`2rlBnw1nsi)D2uiMxCFh_d6Fq4)>AGYIVkdcG(>1UJ#7_3a z&Wo3niz#*#I!&^!Tuk-EHPl0FT1Hf3alEpONT=IL@#?ZlqL>j7+1OAaW(LOBiJgPu zV-0nv-AeSyU4oL4f#kfn*flV%roIlf6BDz7Qc**((wMm4Gb`w*C{TX8bZQt?QjL~VJpGFe+owkrM^C{b6_ zP+#5{OJK%{iAMuP>eI>UWNEBaJQkQdFIiO_E1nY2tip7ce-I>l4oxMV6Rp7upmvl%|)jZMd^VTjKLM7pNpZY;*%^Xaq+1yrJI4EKJ&-d zCSw)ib8l>##>_9gahRwmFJA`5HmZjBk0&h^tBvtI_LVPswu=7R6I~Ij=0WfqUu<1@ zRT9(ow}H`(RL?Q-oiA}-tfE|e?~RP7F`~gRe(**otLMhj7@0BruJ)y*%gU4U#E<^i z25Q>>dSh#qzA35WR-Dr04_y16)M$0n)*_gtLTk`agaDsg0H zd}DFCp*o&yETu(T9ZMY*AjQ12$UWMhSWmC-EUQ zPmXIUOJiJlC-~y4%WBxTPxQoB#~RWNI2GFFsE*gta`WVXNNtR#c#~?nSF zCaWYa&i5u#EZO7*-e_15#a;0Ix)*FXm6TRa)e`I;Ak}TkQUp!W>mqjjgPijd|mSj7W8} zd80QT4U0~5lQ$Bx0~zAYL9w`*E{j)V^oxmG0@I6O#puf7*1*&fEKTF$w&1jy%9<*= zQNKM)a$S9_kyosL4-_b^slt*KtGLE=ytbj3jNy(z!FWkSth6RA?hH|*wx#HpA)H?AfLxOgS%Ks@7(ERQ9{v)-s=ahzt3fB9m|aKnYk<2i3M_5)(#-`==7 zj(px5*^sWFPWwVeENwi!=#8C|6fb#V8j@w@4QcVRHxrEcuS6BT=Z(Xtlo0QG;`S)7!R^Kep18TmQZ~X5y|FdvhT@p`$P+n_)_!H; zV{c?bWrO&{6V*_M4{~E;8nbArz>~S&Gw>87JZRdlgF~W^Tu28^+c*+}i<`)+l$17T zTAsWD8{0P_6mEtj8Ql_B94Sd|U4!TV-U&F72Ip3EH?`p#rtLi?I0dP%tqCuC<1H%3 z$7>ugdGbq;{Ny9*(rTp49~2TBBWN|055X>A*~p<@#sH{xL9Ra-J^krjbM_{)91hZh zKTV((e5VEEe{&T?Iadjp2dD8h79P)_aO^Bzr(7xsCcgm9cP!H@y1Xs31b|L5k%2{e3&xar!3DdKc{P0*v7pR}=suE*PbngH>|J`!XuG>XJ0;d#r z(djK>P$T_I7L6zz+t`E0H9ca`e0s}+zM)LV8F#ifwRVU>&E%jN8dFGdT|pKt2JJ_R zLt{qg&DRGM(;<(E*0vTgC`n#6I8m;uXHf~hRNmIPsA!UUU(}JYy^iQ?Fv^%*Z@rNH zw94kC51xxbl{tC&qv+|133QZQb0=QWD#6KabRcqZ-$I-}m29?^Dvbw`#+_E^hh*wd z?NVI@wd|+kDFuB!StY{;sD|Y2Ah-via2yo?c1YiB9#j_$RnA3rE|v)57vNN0!a-yXm&_$O6fC53#ZfTG6}SHBs>{$1?neVdn<4zSmB_aQ#at2Z^L6b zG3f8AIl1B6I-3^YK#M_lygQ8AFQ1!OwUiii7iqvr*LWL*cm^$%XCvYwgu;&@jxWvA zxeE34@(l(yxckC>mdSr0eU&Sthn~aml$81upH+)*N82De;v7}@B~e}|>5KJZ5TB*! zmqQA@{Ve3>`DiJUS0A{q)P*>hEW!tx4vwhUzvv6LqK#TO*an+bXXhB!(d9OtJG_LVmXeGIbv|XHJ}L$s6O>cx{yP@jI0Ci$M zjQ|ZDy?VH4fgRC7m}1Zs(B-eI2}OazwnkNJI}Fv+1eRV8;thd8R|l{a6;T@pm>uPs z3?xr>gXeGrtGlVXIZ&1??(FPoar!tGbIzndZr~XipO{75&bm`sdGZse{3%#1eI(EA zjN~|;VNgXymW<6WXyDG135@z_1lvGyWGzOo>duauWgRUr`db3Zzyq8k!Xs{;RKqPc z+&+a5RCv&RG^5Z!d6aBi+SS{=lXpnhBWp;1#dId420bWW2I?)!5kZ~LAJ}7+1`c{i zk^(sxVjChTc|Dh0Bvng4f~?btspT0wq*tAJZvHT%U}taaX0#9yt^BRM1=>>IBhsP z)6|z^U%j-kx~4InPGf(CHo{F@I*zA~Y3wc1J&umXUUW4!$k~&|m8@@cqRE{`M_0gk z0e3;R1#0#@p60IIS$@P-U2G@w;q8%wEmtc zN!#Gn@&}685C&2YaT%qm5xm6m6-*5NQEmabajvX;gBQoAN4mw}pQu+)RXMS0WHKjX z)|O3xE_UHq>(+O#(AfV4W2ZS1&ZK`i;MW?8?PX<46F#pr7|V-b<(y8+-vsIdgWO1! z|Iac{{)pHx3a@Km9)98p2;Wl={&N;y=U%#n>R3+kp+)x=Sb|Z7s&lqCLN6nO$Pe#} zxB~T)u0x(dBZLRuz}J(iT1d-i3Rl6W zjQN_XvJ)rXqd}%h`8>qmaUr_JHd2hUQ1tQ7a*r-s+}ewCE>wStjNjzX z++~ZC^;NOj#`?LnacoFoUnr?My&Yd%Rm)x07l*#4M<{aavlFu>(1s`tQnoPS3=@r4 zb|N}6`~CUi41oOq?8H5atJwd~7l-F}^~(9rlTcg5PJg~QB}_hlc0zqJkzjv6Ut~~R z!eo%#0DXw;iDk(II|teT#2Em22il3Xm<-4-&=+R_=fvaG!SwOv{P%V ztJoLN7iR$E4(LfN*@GPceQ^dro`9ajWJx`{0s12igj@mbR9KDj1oTH52zdnBsq|o* z`~mGaYE$wDwBzcrgG>&Ab{w8F)4YO7n%n^cQ;cGA4D^cZ!G3{uT&#Mom>_!lXfBC- z@YUuaF?hL%d_r9nW7Oak?(gtSNkWm&Wes}lY=lCRmUuCho~9f8A=}*Yat|?vo+U{68Cc@GnsOhe7rvBj0(p;s3?RgI~+v z4B2~q^2PATZ?5kYkzWl0?_?rM8HW4_fNu?m?Pz;^lZ8RNj?m?p%yAkuaI+0NjI2vOyY#Ke~uBDI+LMq=i3{=ugJNG>uUBr5<)BNQIG71g#* z7#NZZ5ZUJ@aL-ZQM~e&!$)k~cnh`k~3}`N%8uLSFVrPhOCdZYe;vWD!Wf*{+5Y(j5V_ z8`f2T-#`?XQOV&fq%3QMtvJZEUN>sFe$ep$K@>OkkZ;j)LnFt@1>mF>K2g%_qg(hS z{m&4kD~ZbFn;_~MAH#Nk^=?lU4h2V(;eMn=t`5mlk$iy>;Z$cV zyn#Clx>g$71)j0+Q6Qcs)Eq7W&s`WiZzO;tk2z%znohoj+;2t$)gF%jR)gowehu#6 zx!pD)F&@FzfYJ6ApN6@lp#gGDB+K=w#yUM=-DWGun6OR)=nSJ|tmN7Bc1wq6HoXU; zt1|TPBzK3cCv%cp4b-o;BA$Zpv=n)#;BB@IiHQi_5(xctUfSS{sp^w zkyRFi>{)37=0wP!H(k!0eIR68Y_>b``srj1lhB$2Y^-skhi@z zcid7SRpok$uw)H1*6?}CI?1u>8Gy%#LbWrFn8iUWk*70YL`PZGone1KmK&H;Jf-v* zE5k+ORT;TL?XGYO(DxC8s#)#|W97Z0q5WAu-cfGjzXkR;AD+vus)t)cM4s~$ga%tH z*(uDfJA_0W!L5Vs;K3F7cLtO>T`S~4VFh4^7%10+r9niVx63lgZ9Qcyc6lBG%QM8} zsSio_6fgKW?2OnPgLVvw;RrT|V-LDQUhXnRc>_CR)V08NF0mUiw29AGjI&D zOyUS&jyE{RB(&aF>@tu;gsavrz+=EaPaK{~=%SmkSN#O8ew!e&6CMB}*aVhUDEoZf zQxe*&(6X^ zm@ZhbGWfk9u$gq2<22weG8}s1Vfgz&aIN}rKwdO3Tk8h|bH*||&sSq@Df7mooD{gh zIJ-`slQc7YZY#x|tEa%b0k}JXRbAT!X7Cq5U|)fc0R9xip$p9LFN5H^z;^@ksDbGM zGweT}0$X|J0)Ge4fTDl`kCjVle)_>uI;Cde!XCZru>&x>5YAs#jawanvyG|^*yRSV z*D&9a%Kuu>=}CIDNKeGn_5d5jRlwb9u!~`Auqnp=WEa*C<^q2J;MW9JEv&)k(O50> z%=A6Ep@UX!yaB~ZM^3>0AA&BhjSCUZF)16!3TFc}pMV~P@6u?ro(;s8FYv8MoJ39? zh&ND90qPQiq{X?jer9+y7;aTF)4T+V_Y94$YGx?N#(+I{#txqt5~C2jnJ=TvZ)9VO z#=8xrH*y%B26UecINx+`LU6sLaBgwAqK|_7O2R11(iP2n*dr|rHi1p!+y(i6`Y@wp z2|m8r-IDAOkT z0I3D^GoK^!!yQh<3Gyb)j~ka=AKne#D|<~2iCOWLe0Z?DTymO}7eV-1;(wJrIo`$< zcbtSPyEQEDLCPwV%6D@AN1l?Et@xU2*&SiogH-uGE?>K1`gySW6js^YVbvsraGvgd zM!Nol{A`7wHGfT-vFk#|;+5TJfz&a2I1jJ3LVc86))>@%m&1Nh3G)$EhiA&2ZJmAH zLY{?xabPMk>co(Ml*ba1DnjZ^iULZ=4-;Vei&tXPuX4i2_QIR*|CU%sM_->QI|W`42!;5hHMR(Je7D>Uag}a-lyFXBuiP669VT%y zZ41R|Z&{tmT1D=a^u1^&N7e9cUD|?XST3qb%>}?-ZSYL4p+{$fu29gI0ejy-$D#Ec zt;c19rYp#kqrsaSMYqjV-2Wn|;z#R|JBRN0Y;>&(Iv=nO1LbDWgtZex?b!B#N zWhcO7Uh)M1U$?=r`8}daokN>Z-4l@rAaw<~{&XyY5nQbdyf(1N8pq{L08>Rc)jJaM zIxL*3{uZ29y18cOPiQ5RmPY`2ysfpqQ}xfAf(fRmbim0XOk}GQ_P-QMMxbL9_BlvnpS%G~Wi?14gqR7g+PEY?}3u@)cme7_}NoXRud26%3_2 zch2$lGecqmg4@W9`d2notIBH=5bZ8X&94c0I92is!R72&1dUw77XWuTVO1x-^!|7H|q(&oozJhwqechWYesh^-;k7ZPaQgoj70hK`@lI!#KyGU9e0+ zaGRA;pJYSnW}OB^sf%)&^=VT0WpFv$u*g--+6&wvgym*+u-k49>{o$m+v))2%-#Ul z-5F{h$4b76oHYW5)oxeqXTYt_PAk%w_8kaN-);}mZv*>zhI+>5(=)7Ke48@Vk-Opk4?*Xh*B^Lh zhdt&h_9)2AyK{%a4YwDdiwSOx1sXn-pPyyS#enOj&)L9TZm?SQiBxWOE(pl?f~8ig zzYOgAg!fcC<2TGwZs2vfH;jeE<_O+$lM7E3I}c=*8}2+jF><-<0o|A2o^mss-3S8V zy4#vt&R9bhtRqkhjeVy>0ax$~_i+H8X~4SVVcd3CDIbW;YV(}m{W!2M z8oXA{_-(VaIPhBepc3r=B6v&w7*+e&EZ{c1J;OKsWx&=MyjITm9kS!K@*@B|#ej9m zUnk`|WdSd;l|KyZvj(q~Gk$z_yjCttaet2BEqNWa`GhRs|3}()z{gb_|L>m8#^NM6 zkW3M-7zo9HPnH|~B%N$wm4|e)X!iLmo#n%lPPvm@=*@uX5PEM0La!l|P(tV}bO?ly z0QnIh5JCx^|958h^>?z8zdzomce^v+nc4QXFB*@W+1c_qc$;m0Eu8slit}sXM}qNW zn^{}_I#um6i!k>%g+B=1r)_>MocU)L=hwo&2jd3l^tvtIm_FfrRC_>fN9$SdD_f!V zVC@b51`7kX#ia{;Ux;YdhpqcE{47m@<_B$JkVn|uVxt?-av#&Yzod!-E90pzK08Ex zSL%yzCMmdip_F%n=K6Fgv4%eO0dG$%tOVQS1IP@U|eZ4a~n-FuPV-*Rm|6e@h+R0TOgYG z(BjO!iunUDer_}CY{vcQ!;3Q?qL{ayi>XgIZ8P_zwd5mK{ z`RL-z7>MxY8-;f(uM88pE)<*4#V(0{q_*#)+JJn4P-Trw_+& zcdo1FVJr$xvsb9T?%BndoxNSkk1`M5)i(b`nauH!i)cQ#7{)A3Lj58bEbj*U12#m3 z>hWG%jNRAg{R+H4IQhBTd1Entv)4-_WHQ!>NdP!ye&jSt0kw{)`DOwLC_iSumy6IZ z!FvSpbJ;cdS%KSzQDC)T>9>OOep@;ha4r3g;?i~L{RE8P*rGKv_hNrjjG21BtoIK4 zV*Lx8u5;8~W6k#!=gTUM)8Of|_*7@7LiI);EM~9SA7zuT0`n~dz}eM|FzyvTTtvFr zBW1<^2HsC?1$2UOkMz-D6wnbqX?{pdh11e?oU!!Bic8lKz7ULAVpeIbnYn-YR551i zm$Kd$f$>U<8F`HPo-59$Bm5=sykqg15zal+mx|fTeN&F@Xj4dxgVXlvdY1d9uM`o@ zy;D}X8H@)Iv$9t+bMN$xVxqZ!%A!vJ=lMR-+&}$O5z*X3WzkQ9@fDwF?xDU@OtjfY zW$C5O82W>AXEW}jzFS1P*-K>w8o}E^{H}7%z0~)LDPZ#*KBV6spZbHEGdQ>U%>QL^e*K-F z3&wVvSqtax=6{N*ZuVE%_LIPT4gt7oIR^N47yxbib!&{@JzF{$LSHJaGFrRJ%=(bH{U|V&YvrSJ{UCADr8I2H;igLE-_R#qSNy`8Kx}&t1<= zi-~vjU5^IysWyPNo&hR{0np+f1n1K>w-(QxPhJ@xsJycS`7@X!?Oy8{V2fhn(f!rp z_XKC7&8@|A_p_=Px2ykpB$!XO0kriDFm4zCE&c&;K527n@!Wykx)`^w2P@Hl9RsJ* z-`RuRwit%D3%ifaj=63c^(@oR0})bAWxO<;p;r|)V7``yDXdICiJ~-2EM?(e5s6~F z46idU!x}RA?fxOmEz@ho4)R`jKMYrS0Uo$64X14^%dPCpVxJL?ox*PNin(Zw<6y5& zoSu+d8LM#zC+MIo@>0}?sKOkI&LnYivyPDX1)KtpT<{Wd33vP;W?r^C;}k=uV>!gQ zgNuoo#bSkuUmf%|bn`0`~P3q+9a@5ZjL ziu0*EXtDAla1H_U5ww<|B)V3z9-|f@&f#nnb6pO}5*07IfMV6o(1bCQh*Em-OiA=^ zg?Rw*G8Sfpw53Fvmo6l8x!z=Updcz}Cd*bZ7IOqbM;=}(iT+06{Tf)eS$N_)DVy6n zVQk${0c)FUdusDTg=M1R3i@1GHpk`!5CM7_#a&7CDFq$wMDoJvtfZg~9GNp%w=CP+ zEh?UHgH8vi9h@*`%&V_UrRcmYt@1Mp_h4Z5S-6oWAvCgfF8aVq0&?F_Z?}=p4Ww!2 z&PEg!FSrC&&xXLF)OJigtHizxk$gz z=3VWJE->Y6?%VN-qtp3{_DFLeyQ_V0nTHj1BvpiE;d>BJPOoRmm8;_Yt9eaI7dq;UL#m&+< zKvT5*qD!;&(Ph)@wS5*|%$m0FTXuL23Y{(K5YLvKXl(OrK8B@b>K#PNw(LL>wf2Il``mtE30ref0ojl!l2v zKLI7_QM{<*MmEOD@TVd9!YwuA`OU%36O+u?;e$0o`m7`@G9w10#6ckug{vec8QHD} zz`Ju;%kFYA0=BmTappNK+j8Nx)Jl>l=Ywj0i&C%PLY3E8XixWLSab^=(a5JuIT5gs z&>~6TT0mWo;N&F6y&9Oe67J=9ka}ZDy_+O9Z}(;fGwKvE98VO48*|Vk+9;cvVs$o= zI4y}~YyitE;Ixd6y_5{Pl1-Dg926*GnoWX90l$bgzK1as4YQbjh&aj?a}dNtSk}!F zH^cR>$mG?^7}2sMP#S%CETTYpsD)W1*TkXdC>HxykH|@gLM0b@i6{nNnuLM()(O3f zPzmgaC4Q}IHpK~%H0q9%IJ0!pPwNRA;@UPkuXA@v)UDgeJr;&U9b6@`(V95+-&rQ% zm$CfSQCDFwqs}-S{esrL*f#8UL~39<0VS$y#S*h>JqwH%5ObbE8|1c_2WqYLvGmi< zA-3{SP(SVD*aA}+t{hf_- zY3T1PTtP>FXX4ly`a1(x%+cQ(oGe3sr{nTC`df{2V(4!S7sKK2G;EW_pBV0Y!=Gv# z;(|ZZagQ7R)Zl;?{F#9(+VE#44qw5aS-5o#e`e!k75tfl+tlzUHVwz7(BByDN~6Ek zxE+oDPRD&{oe8>Wt~1e|gpfM?peKH8T$R8Ndg4d4su_1R(6b&=G;{Nro?Jeg?nmG-{ba8uFmIr-ClKRYUwQlrjn)v zK%dnlhy7|Zy*=5XKBYnJP;U=dvo~WVHg(Xg%f8-py89z+V#J^*DAd@|6CO`12< zkJw(VOlrh=)?^af-G~#8S1Wn@;$~=_jri4!NcBTUr23(gp(#DM0*WzE6Z(upoWodR z)6l9+ALks7#+J+=n$0A(p!9Wkq;TnIKTg&}-eV+JP^K4$e6qR>>kR9_68)}agUTpp zKtn#8!3n=+ssgG`*JNFOD(tV7ph5UCnAmdH&rs13A-qe?J+^idg5-1O;0?#bl)6GP}ZWvJfB z55jgcG?A#oFO{K59i3alRX7=clttynKz9LQI_gGOk^UQqEt|17Wf@dlC0CG&`*Id* z$Si>>&8YZ7Rq=s5Bs6mh?K{*D2vmGX)c6q2TCHl&44}NVVkDxpswKAqWeVrJpa?bg zuShBAGO=Z6CbPVr0E{E$!z860IHC&Skdnj-{!mqCrW;a{%Z3n<$RCi>o~EorI3_!^ zZ9yBep*P)w_%=?KpAZ&#rRbazVDWo=$r9+Tq?)v6$z7I$R!1{xjXbtaum;`f)%vF- zwd|i5F8vyPpRjSM9NiNVoBWI)#%+?O)zvx$3N@~fav_kjaFz5OmywI;>%W=gP;8|* z3?V1lp*$o*&3gqab=qmtH>o6RB`ADJVouvQLaN?vo8!oQ`MK zbq3kYcv!0LP!tP71`id+UpT?&koc`vGXMLUe~Xd{68amDO8FYp`!ihSxons6e_YB> z;rFxUAGsKzkt^}Q8iK|Gx)RxLVerhPEApz7eY141+2)+J42OWhRbIyeD&zxL9A`Z1 zO*L@x)@hwj!A(U;4uSJ<;#TVIB;(!PTqFv@cr^fHjulQDzV8I*Ll(E1;ADvU^lAXS z5dbg?02E5>{|4(%9(G(UU6<<{7#hr&CCYd^00zhDyQpAKiQEf$MH?bI`wFQpUAGxx z6g6~k-ehO8u{n`SrW)dPNt{k+j2iXUgE$+!L{f?(TMJ{OQM9Xv3ePQkYOt=%gz>Rg z3*Hs3gK?W-YL@vfeJbvRWO4YGI zO1CoHF&`>^)frtY*SF0&CM*-&}uj_0Q!kcZjG85{=qgx4(Is#&6v%5%W z$y{xnFuwDdIYajrO&X*=6(#vK!SLj?Q!iB~8*0AGsTi%E(TXvRM>G0<$;RcjW;n+@ zlO9lJ@&uSO_NcFK1}Cy^8#&T0AS6;w89G(2#hQPkgvPksIHVP#a|p-DYP+5{S;bU& z4pqS$__)ZHmZ82SnSAR~RUq6dP`xI%>h-9=V3GBM0c(beB9CPZyOLljwjAbh0x%1G zpoGDbMlL(6p|M3kQLiQ7ZI1QLOQ_X&uUHc3TZ&8q-b8;-Z?>?^f)EDJ47p^`N5M@saL+%JmAY z=ywT3RRoRWeMX;J^N6~2idPhuS_etOz(A}bZ;#>rh@}K+-*->7Og*km8W=!dfd-<5 zv7HZchKGiWiCxceDS41^xs-5)$0=Q#>B;s3T^Lh+m~>o&8ScS59jy|%QO=J?gUEyy z^P;KjLV-b02e_fSWJ_+PFlKl`ro$VRFH7%|9ZWRGOH@PtHdQjmAN)PNWHUIxq}xo= z=!uaC$v;Dt_u(q<;IFg}*f%73N%yp2cVY}Oq10*TIz4K_G4hdxPSm$?O;CK$|NvLTO0uM2;@l0EGmx@ z9iN1dgigRwgXqP<>9nT=RNV8p19Od+oeZHMlRp98{et+Lv;AmO3*%ZZ0-eUB0!@sy z;6FDAqdn7=L;u{kJ_thvni$W4|IHwb=4>w-0UGEAFA&xSkTw>H2{&#CQ$KLdXiyG zKbh0bg~ooGh@v0pgnA9AR+XQR)Yobt3LZSr6;AC6EPaF1vBV7)8h%ja$%lsyE{S#( ztmWDUH@^HJzLF0yI?GFX)2?T9xuL@ls+AUMiRK) zq7s%HdsgoO%b|J1L7h_O66DBB#l^58KS0Fht6heuHl;0wt7B}pPZ#W*#0Pr0M5>(R zAaV)znKuZTCzD)HUM zfYn2IDw*|H6>3;OKl;_A+-u{oURML-R)=2d>kK+I%?6cJk6sw+cp_KK()Jq<9a=7Y z^27JQ3>|LTtE#nq>sy4e1t0n2grQ?65oB`C0M{NK&gNVoZCJa8n$dwk=1XqOK z6mbFIFZYVrw_X?%0+nmtmzKn_SucU>O%G=q?y;=Tq9LLVMRJCrK)+7#Dyz|ut_e?9f7TehN(C}#G(ysFJ2%@jXtu<=nL zu@#)wK=UVan6DScK0(3}VhV2p{r-vG`;jfT298KSAxd<4C5RQrw z9;N%cEA!JgtLXo5<90EEvi6m!Od7_wcrT(^W!+8kSw^W8~_@9GCSf!*P-a%8?e5Lm8^}g^~3^(wbii z^V!C5j{xeqAUOUS3ZvJDN49aG50E~2a?@jR{tKL=tgCqEZ(q&_N0m8({9qLGDF7|^ zfa#`N-iPFxD)Po1PaNF>sQWx{YWpj9REKH|8;mBP}+ zVXa@DIWFJ2RF#Sm2%NbFM_<9|NOKCr`^{wr~xP(Nw8X


$70_?{V1b-fEC1|<(>Vg`_ zTj$e-It!$WO@;gyi>2BRz<_)+&9y28-vaXg*$VO)@J>9XQLtGtuH@4*M&FXSgD7FR z;pNN|L!uT=r~lf^oKdL2Rbt(^9_@)LSo;eBzm7zxwvb<_Fn>4oaENN zLQbc7#yfp*$g+uF4yAj|tE4!q&IEU@jc|stAC&2QFP6V2mtn1p@f#mjY`TjChMQ}h zPXySn0syg2%Y?hv4~Lm(i@*W)3V_}X0Hb-SuEE~bwVA<{8LWRY9`Hk=0pcNJV4BQg z%crfysqb()<;n7hWxfUcun!o~s6*X&M+57uVt6!Mr;_r^GGUJGI6(W{Hd7wg3~s03Tc$D2*vq{2m;~n#1a7X6NswFbTZRn zjmCexAbe7WOAm%v2lm^25OijS@r}WXMJzQIy?L(p-)t`Uma z?L$kj?3GX8_CwX2783iw1we%e${8(1k=U>!fpRh-x#EUSmoUO!BtF!_r3^zn0`}*8 z5OlnSG0JBbAFScR;J6ueI_7`ioCfK1F85(L5}N8BF4T-N9|)Z`%KRJnqsVz~W3Mqm zAZ*T=0JwxeRE#i)I^e|E#0z5O7E2F?cp2<}@j-BI+0juEW} z=awoThBuAfP)h*Q9}G3lYf(`oHtZ&#{Fabhal^S~f)~lkEtWD2@fp~^_d#%Ondr02 z$}JWK$IbLJG5!x15I4W@VK^&K;e;IxK^J?3u|#fJIK{^Q z8?nQQ`~u=i&vx7AGjiUDr41))5ya#?qS&U1lB2A#y_Hf%7;Qdr)lLFuq^d;vEl~g9 zMn>br%5>zb8PtsE@u5y+N;Y7?l2mN0- zM?{%LB#Yg1#z3%$|Hg_70e?fVh!ZA3#3~Zu`e@Je7eMc5!EaHP4o4T3!S(^rTnh}jMUkisctlYMjtLEM9N16xLs(_wXg|tyB}J2% zx;zVzmji*6I>!wMFd6@rzQ!!*21#xEf7RHHwuvwN?CRw6O#nMuMR$tNQ-pIMs(qXK!-+6F$l$;KadvSu& zfzqiC{bQ7nw2*sTfTd4xI?|5%4JQMmJ-L1~Y(`aEQI7+}88!^>uCGu^Jv9=Tm1=Y9 z(|~x*hM^6sxLTOM*w6T2Jek62mm|`6d_qpS5CY`+`KugkXEjHdwcstuzHBg6b{7#Pb8+$bx?!s%$x zW-$MI!}4o08o}FP^RpRx;qOOb*@KXR9h$s@$9m|N4C9}K?)s3^II(#_2JMTH>%e&v zajS7+-rhoyz>B8;<<*X-k#w**eRnG^Af60iUwDamqOVV_vQ9xOIaLvUSDetG1$#`p zH_fxtn^=V*HmqD5>~2eAJE$;9M|q8kRXZu57Y!$t$io4l&BBg&+SFDWJ`;ZB>XoK1 z%fdJRN#IG|rQw??ki1L7)Eh$}Jj%jZ?`r*f^!Sy$By_)Pw8t0#sWqPatyfr<&`1{$b{RXYh10jKP8H*@pLk*<{Uu#?Kc zfUOojz?dT(iOTG>q>9$8VrF@3F9RkoIH;)XUpZv!cr>PXQLx~QP;7xJ5g>3oFX=2D zgypHM+!ut;TPDdiwLJG1UZ$BU#Kxyo2l|d)!WkT3Vz*vlV{<++cJeaRkiU(K+y&TO zd{pFbqONnGQf@SM^^#AAw~a|z7@*Z&su>($qJHl{^@31!L!E-;7C>v%1SCf=~1wFM;hHTMK5@BWO)tR`X4d9g?fX)gK4%OT^DfVyey~W1U`p^Kf8h{25G< ztG$do9=5-aQQwloCeH>_y^nDojYKW-o7{}M+Oh+^v{R3{SAg?a;#Ltx3pvn;V(!IW zv9le_tn-~Wa{&Ax+(tvncfT^29$FRmyO#&WNY)8UO$##){M^7DvAl`TCg*ym;8E0UPuVGuuI zGoXy%sxRZ0KGpPXU98uap#PqDIQF4eW1)q1$s33I*k-CAsK>K^yR5?`5S-?$;KO;0 z!+mV_;XI2$-D7i*EOo#63bYcA@-fn^o*8Zmy&CK{xFPtiCtQ^$jAH{K%y1Lp?_mF@ z4WTD{*Z6n?Cwr${i=|I+It%E!2ve^(HO!oxUF(CQ_Kr<~fhBW>G7qRD1K@DLUEZ4P zJ31MpFRz2E`V^N45oU|jQvKZ2|DI=5wd9`PJMr*lqk7P#tsoIHQ>0zK4y}v zS|XbJb#T7p;kMdH_xSj^%V0K?G(^>}aS0HdRZBpI)vmiY7{YAatq|OASqS!S4}_z= zh>GfdKMpNEaT1{nGuRCPy4?n=kUR|fxDOy;7*q|yeGKORSO7c}U^ZT!9VJWAq#g^W zKcU=1Yv#Khj2C<|d_A-};#Acs+V1<|SNQ@wHhKUD>_v@lM-dzv?5nYi5mx6%(?^Sr zJAhvw9kT+@g7yrP=StOv8`OSd$gi8*ibL9~4ELcBx*&*2YBcC_)&ayJnTRK z*2o5BHYztNB6KBl6xdH7h|?)rR1Imy!A?GQUVN$|LGwQZ-p6fzT{Z9Q$(V<~~EakJIimFz=b*JKy3(!g8OX z!>g(8Gq9qMg8XS>VGGfZVjVlZEZk>chA%<2#C*tP*^RG& zoR1n7cUngcm)&^zJv{np9W`8bBeAQahBpM^W^k4CkdcEx3O&Z*2X1aptk9>b=En)`A>_=!&^b zOWuX@52sC9^FCq{CjG>M+S5xjy{nz-in5X*L4HE7K@UL2leQdAG05qG*G^{C891dB zCp5A}1yQ!WUsClnt&EElA1OKRZY%K_I8Q!Eh?Gpcrk7v$_Wlo`T}&YT!q28ftu6C?~P4FEod!QTeThc@_`Ivvhd;AqUy z26c5=KwgynB_IdW!3Ykb1f6jY_)!eM`){!H0Zu3L?+(K>Jd_3t?H|wPh6)z;y8+nL z9P<#G_@f#BVqjnA!M|O~WS&ks&vw&_Em5{vxxX+}J4w8mCKN3v^pN}x(4$e5odxd> zhR1Rk73F^HIe=Ede%y@|Zi@#KRazQeT!|2@q)O;c#MAQZfGGPltzR{hu7>;)ON2a| zFetsG+Qx~Bi0U!abFE7b!Q~d5C+ynpuHRARNcGm1D_1q*?gwnH&4_T>)#0+=;%rCw z)i)(lMq4Y+7H&_)o0@RIqsOz|NYphpG$!iN3f4<7lnLQI- zE86B~QTE;`ZAjzV`!5}2b=`7%oZqw|tC&N!5`ecZXizoZb!hglH zoK!6he?qV1>o?L-rLmdk+*{ntSqQ6xtGot}5t%t1vru;B>Na!@Ku#sJ8wiaICE23I z#UgNk{^wAt^G-Etm_876MyN zU}OP_CJSs1GT?3qEe-z?VdeDdHvp9a4rnT1F(y@eY{bO-iyQF}ke-37tOn7DjKCrI zZW(SvqQKc4u5va3k|89X3~_UjF)JXrG`ttW%jwl`%=`?drA#y41zoXHa{|K7hO7K1 z9wRaXog5S0ZNQ5_c!Qw6ASg0`1d{c~fzK2TiuT7D8}&b8dx0`-6U0Tzi4A zGPuet9wXJ>f_H1*1c-LR$`KZ6Pm)z3(E5<}4?yqI@DPH^>D8~jfrFY=70>y9s|Sm# z{}51~fvemRL?cokh1kY->t6!<9j@|oJVs<<6Z@Q-+w)2rVM zzNf}6z*j$1+=#gdYlo}61CJ3IL0tv9Cnw;$cMdQvCa~WU7@0t#$po8&3<$xuH2ff* z<@D+|ps_zYSf5Sz=2ZKalcN9O;#QO+Y)iPxWAPY~6==D5gt${91)!ybcoHF!DI}jv z@vxCSkFq_dgP@#V{r1%L=A2)*nn#M8@&-`ehpVgu(TGezg4pXT$r-(n!`lkhAJmxHF9Uj5oPV$F$J4Rc<)2eicuH~?W8xXLH-7*PVCSUV-S zZMYsNw-Vg*1V=WIaLz~$t;mc`;a?hl1Uuv#qF4ku$|#5&&Fd! zcFaJd$0@>X$S(o2itsKVJTio&lOZl9vgA#+1fnF zmAcZ05r|GnhfyC-CQ==ZzV3=YWcWUdDZ!4Mp2vzu&KQJMlDi9!kw%V7ggbKf2TY3a z?jt;k9Fk6b7Z;O|B#-(pLmVNE{g?t@ftwx5E-a-*TIj{tfgD$57nSx=d~^4PBCRz1 zFd)k5)t^JKjlM3|izQce*pl6oQ6oECb`O8Ncw~%4*kriM^Y9o+WK^Sf6DZ0ZDSdz& zB-~2~mm-C<;EE_nPs%xu!M4)yRUj*;SHFeazo<(O;2`Xb&&cj4iW~VIFh?W2@)$fu zWF)!{#iY6I>;U4y1brewlbxgpmvQQ!1!zmAUI&Fr!>56=oL+}FwJC?Q+O021)JR541hI1e*r&qs)_1VHe zZ+f*a9e?puar=G`xaZ+2_Xg33?8BEbK#<$IEdVwVt};QWWF6^1)&;PVZG%vuG&~WLp3$*=B&a zH2ez?mD8)=HjG2&SGQzVYJNLo{uMmMS_p?B>_oWA@9-FracH6iNpf5F642fxSn-Uu zj+7wlf_TZisR%C(j|O=;y@Ij@7uDcSPTW^zcbffH`>D8QeHlQ-Y|bIZy}~d~86G&q;HfMNf3Li;Uki5#>2ZH} zZ~81#H{`m8^aKYyf1y12PE>bDT!q9j`LY6EbrwSC)JiT3lQI~&#G&uh=0|q~ZLhpF zcv&7h3fyRSJ{J;q(M6U619jMyo5R`gZ=NwiqoXL1S>bo zck`gkZY*$gA~H?>naqDXcz(kx4Gg;MRxo&C559vnVfFs`J2XYu z(b$p{Q@^KMoWo76EptU%D>bm#KV>A_MEg=JO#SZR@J=J%7H<|)fBputMm6bQLXLR> zKj$^J;5LJnx`dcIMP82keMj+y$y0Zd8{CAnj!*2-hRFn*PoS|>jN60-96 z)naPB{t*_V6y?-}OoA{^8-uUFi+Q;z3Q%2NcN6v>$MZcr^|{emJHp0|pU1WqS^jnC zT@ddp=~wx;A^9?XRg#yCq>ziLGWaciAsQR|am@|3@MY3{R7C#{Uw_R;`z0JBu$TU^ zc#RfESTkJZO?Zr?Mx#km8{b`%oeqq13G5C6qneCFQ^jR-P>uZqw1!0pE2r1im8%-C zEQU@96X9grZ7*_HqM@}V+0Yc9o2pMV#5@qt zHO`$!mnAx=YFnG?akH1h2V9Lni??I?Bqp9k|B3t*NmEOb=7n$5tcR z);K?roY&UcF?SxW3U-Dy$8iiiN2^mw>Ax}g`+T6-HfT>Eq(^1 zT<}UrEP<0!z@;)FKL^$~HXbj0tHYsX{ev_oA)*a*jnsS(8XZY!69KAf zD?sh>DkcHpv}$VZn^5Lq{JtQfck+!?ZoTMxY>_0g{Ew#8w`F5b2il#4sN#(lr+5~! z+CTMNJ60{*nu+N10W#@$au*pAji$lKUWnd-MVG#Y^}ldh^kLhg-c=T1T*@iokHsW7 z$=nilWC_cGzS1KB4Gu&tlv9!aT2SQEk=UBTjQLP>Cx(0waE}qB${ZRktxSu)UKCAH z*l1H3t?YHof55rX-0}OTAWS~b+DT_4@65 z?gxz73}l!LAbMRe5UolluG#J7dn@rB3P6nU!qselcW$Lc33N3y7Ttr<9|iK$Hu^+4 zFJ0iKK$P2+o+zEAL$L^$b(C+S3WQT8ss#(kM~#KH4BbeJ<6fe@L5g9cj!9vgyC*A@ z1l&Ouq%K9=)J^o$rB{GdSMj*320mn;8pN6^v4l$JJ`8ys;O-&PNy=uBtPRkn&{<0Sk>{auFSw-L3aSnbWSi(GeD9qpjvDc zi>?a*s-EryYRhzC(jOfHY>~5+YhcVPfp@(N^Ve8R66H%=JV{$!AM1?O!-&${+^+K}!AqsoIBq0J0_8GvsfaIW7X)b`QIZZCm~F5y%3+Tw5ft{)096 zc!r{_jQS-IzqeG@`IDlqH;d?1j*T1Bp{ZO4Pf0?eVvFt?T-+w zkJQ15Hq0}D3fdjaP@z9#p9-AT?jWhOLj>wOaUBio=x6G^8rrQ!>P3_f5%fexbxkpPGisg&_=~mx6~Red z>|LOE=^wP^i0D>)x`Eq@8pL)WxhWtN?Pg1+zk~hHa4N!dO6pCj86(|;4oH+w_3@Yx zn+XXnIvcr{kxv8aIfQJbYP=U0VDm?%y$d(D<0g3QU5P#%p#HQV(!>(3Lx&jsV<7)v z>CFr4)ap8}?k#*92Vc1-NRr2nYV2$bqBg%Mq38;hchFz3^%G7PUS5OE&CO&k*PF!R zzv#CC=<4(eMS=L)=I_Wu>wt2Tg`{hMdR%{Z_9I8p)`wKs<<0)pu&U3)FWC6{nj~ zM0wM(r*@g;qcd|zN%RCI;5LBYV+mljm*s|fyLIVMMF?5sl7JYgo`%+mkJc7(ni5g* zSM)I9bS^~9K&{$L>(F2Uqg-~~EuvQh38@aIw#A&T#2g9{$Jk=<&3F~pHb$=rz>LiS zCWawJHO8fAVmal_pc;+jTV!5FC?1aw7Px?^D^0WOlu3eRtu^`?|%}Uv*Nm*>jyo6Pf@2j7Tay$ z%Rn(&*zF;7b_YwM7ic-FNQSB?xVwqDf>YpCPRpfBdC@cmw;Gr3u{7OV$V{DtPBlOF z60)iGJrI_hHc5!4hCj@}h&bH`-OZH|Mh%nsp$x~~q+|o}^1C3gKDu}|i@UM=S7gxO z%=Oby>K+c{j)QmnKqGf7y$eDnC1V<@TESrCjh3khi7^v;sl}HYlNE9;`0kbAW4Eqq zzz|q%yl%cZFlGH#C)8s!%JOMR#M=_>$rO)*wFy+o_sQ^PYpK@9kWE8JQ&W3gTO!c{ zsOCgVM{T@~$Ff`j$<|hg1GAR{L(|EQb_(M0OD9+@M#&RxY82DyUl%u$jjb(e6w?l% zF};aMlO3TK4aVvZn8bVgV0v%}jTlTPQH1p2`!8e{=l} zCb%0X!V5NC@*&%3;Ie|`0a%{i*s=g)sP*C~m|=Ogx02WU6d9IZeQQ#D;PRpI{8W9s zeV+Kc%OB%oZAlgIf35&PDDMwl-W~0UHs${hmw&P?-qMbd{{%*dKXQe%CmRg|%G0oH zs?~_^*O3q(7o`xN1O(Ogs|)vxgGk_fA|mmfpqzu_v0LkjJ`gDm+6{K&C?gxL6D zQtT+VMQF^XI2-(C;7+m@0WEgm23sSrmOi}=y*W&>R_$W8AdMXAnd^OD zpH7*gYC6EEX<|oPj7CVDM2T)89|6d71f)`r6h*9Agj%y2KNvM-or(dg{9~+5gY#h- zx!!cX4#|nVWSHPCh^iTWKc<=*}&U|tM&$xJJ<2PVXCg=RIp4ZHdTQ%$d_<>QdQi?VJ=0u zg$i>T41E?;gk!rw()9;bwLVUB(>Zfq46f^ZoV0Vhs?Nu0Zrx_iSHbmHA17@!uB!KQ zHmR6l&W%6C{daJ>1en?qXCjERTQFM#SlVnhE`%_W*cyV^vWo2pu&lM&D8)sCd<{Fv zs^`0oS88r`D4>=LKM zHC#4H*HNNsUto9&Vb=;Qk>@grGQ26i4Kfd))da@H5BAatK2`Jmtgda@*Ms9Oi_6SL z;jO{iYFHM2)edq=D`TvUOXy&lsuM!nVYqKRw;tXP5i+gI;O4^z`eztFS8|`K z>hIcX47`@99$nS{X}_|~BtcfK4axS;L*hWVO2Ve|0Xg90knDx$Dk~r|l|~wM=qVw2 zGD6nbp`?u67EuinO?+xd-UGrXZQ_5x*a$sLywgJRPYC|lL&DuGjdFTO{)phxf18>{ zs!5>=`FpG(sXCj7z_GFiL-VT95~I(FI-4vDa&bJ;ObjAmADE+59$qws*C-~(+nP(ucqz;;9W`l z4&AABTXl&~w7OM#fTUgvjypV3mFD0j#V_@7&mp}zlmPw@%wKo_DrA@{=*#>%(>XJ$ zoo%Z9i!VcB7dWl%%fGBlLTw<;38@RgktHrJ3C;*tG^F_zKFx7RD^xcr zNc(lbxZQ(OA>YS&oK;u)wWroK_a02;-v{f*#IE9eM|s4y;JnHwmS!Z1yI-9@0_AOwI<}o=rV>>= zf9T4(F`TWXY66ogz}j8^gQJh&+}0k9^YE%}46v4}Or0C71kHneH9?rR4$w#ZCcmvT z<7hGaExivIk9$;6mYPhIM{oAy);RKLaGH+&2KztY+*a-|1$y2RU?p|&Sf)Es4+6px zLNN`M)O5WyKq1r6qN#cE73QAk;=SSW4p z^g*hs)1(Nn^D{tx?}24I(ZJ)OnX0?|hH|x}3H+Eejwk1ShyAZ`%5v2dF>$+S$fxSw z;n(MG|K#%n$P38Zg>(SA2Pnt6EXuHU2l!)ZHp zi)n8v|Ga3xUE5)*KhZZZXsv1J1LvT{jq`oxrLixZZr9||^s3+cm55Du(ZDcMmHPnn zxCN#fZ#!XDxqu15s>cY)RSsrw2#-g639eB;See~wY2wrz&Hi|Cb{f!_0cIlrfWPqS zGryx;K_A&CeLyo?mo9~h{uGj@0P%bqRez$8q=mse5|wiNQ$Dy@%t4@HN4^4(cWod} zB${u>*17=<mT6US$ZxNu@?fsrdjf+l$n`vE&$p+AWP-N^u>75XWopCe(36oo?n62A3e21Yq@H6UvICuT8;*GTxRj&j@M$AFsh|&%qr3sC{ z17^`|@4^l+QT3W%D-NON;^|Wx?j76MmMXU|Z{9-N_!Ac-POq zzLAL+fcBP+$RiV1>ye4S`l4WcBNJO9YIKa4BNJ3)%EAk4=s6p~<>Z0$RP0wpK~Kz% z(L$rLa1xH@EMCazTp{2erwUjR$6rFP~1w95Xa0(MKb#5tNgU8?CDv4W0C>#?O95_LKfnPt{ zfxI|d2TqjHP>C1^XL3FQQ95vv+!+CL>_EQbMF&on`yyb09mp5Zs3FqMDfBTyhlGZH zlo7~$gW@Vu?ijF~X0x%Xnr+)4Hm-A+^L}tWVRK#&G(DQuxf54{E{VvqFwfS0i`d0vJ$FLlx^fICajjsglp#*pWM=PKfJcm=?_Vqk?2~EnDn~{C2F0Tyim-8Tl}F(*(x`Asa7RT7C`$=$4Z%@V zkZ@i@;n0fe{HI`kY4{X`maEtE@Ty~|ZZO{~(eYi59iw zq(0RiUjPb7Ab-rpbhb6(s16(}*lg%6a`mZ(Mp_Ng0ojTDp@CXRQV*XdtdwXHTf>z)37cEN& z^TN0wF#C$u42Lq z_gz`au}Q>nS`(8>9$?t3R7`Y7(A>rb3*O-k0FE>dP`u>;v{Tl|12K@g{lfisJsta; zH!cx-(@SWIkodkA4z-jL3$LJYbL`p+A)vn@kF8e)vG7XTvKpEaCC?>ka~-i*xK3^9 zs|@YV_zMq`AHtf?;40tQnKB|aV>RW{-D2Tls)uRywiVyFSqiHQYbY2KHaH8yIeo%) zQz#mu$dP+&SR&@ZDa^>%FQAaeC6+4EgpXLbgloD0Y+B|?Bt_tV1<1<@_5j3(RItz& zM=kYd#KKj@P-id!>dzY+!4`^^u#WEn=>IG*vRi*B#KP6ZkR0C<%5lI7GuG5diI@(j z6eBG3J+Y1qsn8s3#gg z>a9ej47pJ`$~>H3amS)(y-a`M*~Q>_Lx7I~vZz!-{S8^xp^$TeM;13ztfHvW`P^b+ zc#ort7@41E*Iote+ZHrhv)^K6@xt?p0`Yv0RedP?fW_)g-l#-u52rIdYsiUThgC^~ zV&VBkQE5?!O$j{82lAJ|T4m!sNUL$JD&w+ZU|5Gce5DbJMkrQ8@T-XvbwlbVdbQ$ti#B*n|}@V$s++sGv&2d*d0SrbjzoBGv;T zRDqR-Q+Sq>s5P>8q7Yjw8oLh)^u0Tyk5_{UxG{^yZnSBMDA{uh0Z8)R?R*TBFB zUhyT^Z7i6~U*VFIFxI$e><}x-y<7>Fe zk3b+J1k4YcVuL6;L2!x!IfhP{Uo@7IqZ-{PE0@))uLIdX8|w#nyATF%SBR$oFPnjo zB$R)V9H8uvWiE`eUXTHrANiix&IZ7RPF4OZ5DbOv0+81M@SX*t)=D?XH_ucV&=aB(dsM(K;8l|)uA5V2;*3F`mj`xKNfcb>JftDc#e#Q#b`$HaLowu zRR7b&lgNKgEHT)PX?$eNuPTEwW*Ow!8B_fVJ9FdN9M7AAOlMcl;3{J_LDue)@R2c( z#E&yb_;^aR2to0~rnmy3*I5*{|8L><2g^S)1)L+wwXvEm8c)*Y`QZ^@iWb+JutbnWBj}kOOQ2ekdPDJSG z7RAVN@=Tnvk4+32cV2D;3YO5}BS>(aBydFe&xgjjc?t1vvssDQ2~Mg1Kci*EGD6D( z=|~H0jDJZ`C9!7$k$vbR877b96Dcbwgu&D!biT|6F*wu zDvzgxjSv(+Y>E^@ms%9I|4R5BXZc4?2Iq(}eRZ{}z5}!VWB|$+@pyxf&m^5jl39yk zasjxQP`2H&L`;QKcK&~2X2q3+xf*zDEX)xXgJQ~ZVPIun0_d9-@JI^7Fqm4|?U0eG z;dDZexJVR3b{CA}fqW*RbCN}_rOYs*>b@AfyLkM?11Y78O*j1nFyqg42`*>@cZB z%z$$igIdWK5)2<{Gni(*(Irm?_<6Pf9aTT^7{_pxQB4Dm2f`65#Y^z}K>ZgXb1fI4 zQ5kW$lp}?1n~&o!X~YAk$*5Gt;Bq&OckDU2L^Q%_;8Q7edvfcUAi9pS(>a!{X<`H`2(=WY;CE}C3Knm2ag{OQ?u33*jU17 z$QtukV0lwQ$OJ(4BOvuje27Zte+3krdhOE*{3-z7VuRBpiFioLb?d8uW7E{)994$s zR#1AQ^X+I&7VepHr0V7L`NOmZrD{l4PAL()!fA6TFv1V2b|Re017>SL#zEL-6Mu!q zMr1R5R_)Jz7eWVZigg4>4^#xdT3=kxSb~KjwA+FP{N&9*xywRw@)sSYvjAuaMt%zB zuL!{W-s9UheCeG|Uq>x|>Nc4FfK%~;oNjR!6|dP@_;Rr3iCqbAkT3Hf&@ee|X5KWP zI@$6jFyCp*cK{TXZzE85Mt%zJube83;|Aa`D%9ZU9Et!|VCQX1L=BvdfCg!?7gfOI zXYqaD9VC7g1Iy$S>l+_M&#yq+W{Q04|$fV|W8=mf%PAquZa2 z2AMazJ;l;|W>$4A+U7%jYZ?Z62d6%NIzEJ~Z*6%rUT((q|0=JzaUDCN)eEPij)mD4 zF8iM?ERtH&ky=ke+&dnTc9J#7r`A`|&%k6BE|>Desrv42yAx*k;2id#ZuuV}+pALw z^)Miw>PA)b7|UeMr1j+A8C|`ZG#vpY9|6LX77Fry&3eBnxML&R?zmQ|6 zmWXL^4tpas@WAR>I{xo+F!KuZlL93Cf9o&gOMv|_09xr?EAyE??DJYr^`MA~7AZLk zqX2bqI)=ExN6NkT+Vy=3F%v6BqBJ)55Z2 z&~hy01uSLZJNca|gwpWWfGVfgvhekImeZpwOtYt@;VM*iW#KLGULMD5X_$&q8MBmz zcO`G-(FyNzdX$A}uBtRFG3ihi-X71=Fg2M`g z#%Wa;gJ@3$Lu<~QzI8Z9s7G_?!sw-SS%k-Jrwh&Y@!Q7tl>@cTf7A`6Td=U8B@wQWW6zv?D+DEzd<3jd+U&J5&MiASZ$eCX5(vH&n`ToW4`2tA9EC*M1WqUa zJiLlJd89k1W0~y^7L8f^mWHV+!2S<}l+$ZjxW#@w0I#T@sl4#&VOKGm{*Dy6SR7;n#OQQ;ivmMW*obTW8=21+H=%j;_O~La>NL%G!nC#Bq}7 zLK~79fb=?nOlcxj%X!=ti?lkC#b8Gm9Yz?E>%e=v#joZHkCUDUqK6I3X8?@`l_WxK4fz?YB!LT$bCF~ zB5b4+`8*CkTiiecjjj4;9md~8c=6oS9Ax)_bW2VVsdw|pNQ`!Li+|mI6bL%{aR~{I zYaGBX$L@J_;T?m1&Vh~nxF#{%O;^SBXL{9Q#D6Q`3TL?<&*W4|4ZirZBA6b?r&spTZ6L_774qo_ovB;jFsvG__V7x2_<+V_6) z9c82`fyLqrWe)p4@za?l#p2r~cCd?$_QVLuK8qibZ|{Z!j0%H!oUOJ%9cd~SKPm|b zAB*w**e4(s|3O~*2>lL^U#8MrEPhN5q7>rmFdl<=Y@{wp1+n-E&0a=fDw^!TCv{+S ze0OGPdZ>4>DYugOn^4mFyH<WV z(@ZmI9A$p-iyVESDYM0<6jzI1lD9#vjXuJl*QCY&Cs*%|che@c07PCb|c(_y>6*(~lWn80x`+=cpen+e$;q{HPh(0R?PvG(>X=p($I4O-Z4} zn}$9_I_?=C>dxk<2-3=TTEiZlJokGjiW4n+(TGr4s30cqJ7y17cT9ISkDcaJ15KW< z(mV{>LA1vet(e?&<_4V3@a|gTh@Z*LTQNqN*+Nm9j7q~K4~-V8-Ey_LRn&#t2$Z=0 z5zq41(55I2SJUgIH{*&k^gZ)E);WcFI!YINtit!pKZ0c3Pnh2bKWFB~&13=FA;XVI zxdMTw!c`KL+GQ1~UyYgAhmdb^EQ1A zEvHxi<~;i%N#2^b!QREU=G_RCdq^@7jc98gnpHNwdt=@=0Q#B0$_b3(iSE2a3@wFc zX}AKu<@D;;*mM6StNrLOYA-=pKU^gdjYw_m4DtwZ>wXu2?kB{j2$6KBt2v?d7WiEn zegV&NdVN?{qr5#Fn!JE+(foBC(vu#Po?`~msZ2gECLctdkdi6?qG}^sFw*+qZf=E5 z<{m`JlrK5Z>~pBXE+#LeHgd_7Z(M<@F*A9Q3i~09UP&81FIL5M$QslxB~y+bMLX8o z=;LAqEmuKja!}IA)T=_S&>>EyJ{5G^sL(Z%twob{AB+UURj#5oeB?+xG2%fnF=>+g z3<2NUfomy{x0?3P$&V&ZC3qvCpiK(ZmHbjpta*v1(^1 zwznYzGPUmgl|+vV5qLs`!d*&+`1O)pWt+Senne(IUd?F zOEEjSOv8TahJ~um@Bn0-Y=4rDjWvKj%Oe7@p+ACF6WsoK6~rVO+OcE4de$(+yvPF0 zD4f)k?laP~cM@Ay3N+Lm!X7>h{lIDS&OnZc&_nb}Ofe1hqE*8z5LrndBwJ>z#!Zlj z&Gdc0LoyBQJ{zC%tH2kqfP1pyMk6=3Cw=e6jX47GnG4A)Eq>e}Z{;>x%78T}(3O)T zu5aJPiZ(GZ3B=fR7inyIYrlgADMDXX&17ZJV?$g;$Svwi#5Qo14_{5CXm`q>D%Gu$ zsWa)s1p%^VBl$gq-rS1xKVA!413_om!rCd@YGGDkH>Gt3NW=9Hj=Drq28dgu#eE4; zB4OEPi?eGTQ`-Ik(qNmHFhJM|T38x_`htb|Y&#%88f;72^<+`oPSoQ52vKhbiR0@- zIDgtDKukQyFR>bxSP(I6^i~c?!nIoNyar7D!|D8D*AnQx;bJMD;DZAMBZCG~2TML# zOa48iKJStId#XxXm;0nH^h=E)f_;?15>L?*r=iF>?G%s7J@9#v^wB$C^7~d>%LPP5 zUb@*x%~Eyg5I0cqp{Al+8m13IS@?Lom(y!$nC{~&4WCOM)Ja(*hqe&nlgWG0M|}P~ ze8lMynj$1Vc@!k&W1xKzuJZ2)k&#dE6jtEh5bzHxkoMuWqF0;6*M+wC&7wL2$vsnf z>LDwvh1&cg@W_dP+73=3^8}S<5RwVxo>r(iCW=D~s1A~(j2bjF^5_=u?a&)-2bomw z3=HsKp%p@>ABCd3k!kWAJgy+vvyUQkIJ$0@62oE^x!C9z0Ge+iIfKlOK`6QxqrF2& zuMrY8kx1#X@W0_#POrRglSWo|LD(4l9UV@kRtzrbO=0{sHHhO##D73r8m56u+v74(!*U3H+xO+TN!-M1p(Y+4lsnMC>f(D%^~G}I zj@@!UYJ0s=LTxYHw?~(PaXV9tJ1)jSZ*$PfEM2$AXAzA%@f1ls@0L*eibSE6wJ+Ac z!&S}#kBsbzr?4+&uf{sN=K4m|F+k@=5=DtQUO10fI3?)}NV%9KsmLWYu+9$Zp_PRQ zHItH03ojy%&%Jk0!x~C>`4M10w~b&_g{P95nhf)cVA57@n-++aE#w|em;{G&MT<=) z#?kkz7$RcDCQTTaic_awQLBI>{URV=OXw;pNFv32UAoYf#yIl0o}%D5UxI0%Ct`Mu zg8K)c{$;@tQZkF<*v2g@3R6P_2|59zHBXVRMtXsGfDSj&jxHfk308z zGITXiL5#~5g^yJ`DV44#D&%v4dWnV1pt_ybLKDH`4jB&AL8(AbQlK9J@bf@W+>N** zn;U|*%ZmfgT*DCP2sB~K3R9uMPa6bvnZQM1+Sy7D3QRBHtWa)KYKG6bf_!(Uvr2fo?QY#^wZ&Ajb`+ zdz#zls`=WI=o6AEP8kL0IJnCG3-Bv4h^H1})e)Rex1^|=Z;6-*5iSDQFnt}W%aZ6% z3OEbU0Rp~o za@m(LZFw29;o7A?$lbA^tVyE6q;CY(1$$EyL$@F(P|6JqtOS6ozL`9mYsHi(60V09q zgwPb-hIB|X1%h%E6%{*Jv13O?MMcGq9R($7>>^kXwj)P9$9B~Bx@K0*4ye!f{_%ak z@7cfHD^u<^_mnj=Ys!<%L^%hPbuP7L%{%o1r6Wg`j?USQ9OcJF`^mN<@QSH%Z1kEc z6!Hy-`^*#h9P~)#z7czYO(!Y~O(;`=s%_x(W>PGcGrZoN9I;DZinRxDQ!KVs5i1++ zsCM#+={5vBBe%1A#U#s@Vw;ZK_@Pv4jFq+;0J=w&lc!3g+-oY9!vSobJZMzlmP4iJ9)G|y;bdoZtSn9xQreyZ zuIGB3)seRj=YfCBIiN02oP^3D#rQ2S{n%q%3uDj>Whjq*dv(Tm@pwD7R=kJ&N!~lNd00vK7TkaHBq*D|V4G(D zKQAGmzHR#yC7=MtwoRk#j@rub6srmmQ999HpbTw5rSfjVw6C`u%WW!k1q*QTxb7Yu zDQ3&`8`yFe9*dTn52tsJMKTz?VXIO4&!q@92W>KN;MXdAPfEX4z5`*~sLA8Up(1d< z6EF2ne^AvcY<)?PoX2)GC_5K@6kH44$#vgN;4H^ZNB<9y{){7n*L|ly`jrJDwS7aveQ?jR;t=EH~kfvBS-pZJCmEQIUS(Z9rUfch@-SEw>0ADauu-EO zX&o8iWhvi;#4UcQI@gt8k^a$N5j1k7k2ZIXkfUZ{{0p!%i|AHtvX6qx0HJFt_-nfO0lIu9w(m)>K>EAX`pa{3)(ihTff zLL;smJ#wvACFHxmB20ZBVHMKFL|q5vG1GItXE zY^GE#v{e^5<7`3wCKxrcQ-YlqCiy#rrOtK)rmC{!Nwo_UPXg>r8t*31>N)utEJE@) zaIW^as}kgK*DYZVR!=n6qrRN86DeMr0tAVz+D1rv%af*BQMHDdCmPsExnL(k)MTWK zms<$iYH30aL{1F@=sZc~-Pb6N%*yoTri>vb--`_+-<;yOd?&J;$*>;4HRWj_zMumG zeO?`N6}NP|nJXmU@>1U8VtZp0mPni-i|30T zlIV^KgR^cNKIfRYCP(YT~Ov0@e)?d zKbvXy$Zas8>>0;^7m$9PO42h)s3hv4rlRp?wr`u~0{H4VExZu4=fs^Rb0qd+SC!+s zJrAAlC^PMRE$s|QI+xOv0XZ{(!w$K<$2K5u)a%@UBS-l$y{UrN6k|hf0oPADaE8sb zp>6KdcFz6aocbVkVm_H^uiLWnV5(^VyBMe()8#lZJA_WST3FM&YC5r=mJRJaRKrkj8rdH-Q~y~c%3o& z!%piA@H^hmQ}tEVRf{|2`G;N8)nItP$MhO0r!|yuimgPy!$mshaCgRkrJDN+HLsLl zxKV1QKBktc)$PMB0giQ0Q!AdC=i>;5eUQtkarVPX`7Ht`+vr<|qc?QUUEMF>U_tNh zFJo$A2IiVd8XBnOcqIFANrt!=-VJw{u9pfQQ85g zW#-p;CB#-k&xv9VOUc^~S>IEhRms$L!IPqpo1U5?@~>S;<2N7=7r9EEkseF&p9u36 z05pG(wSCZYB~&z{;%v=S~) zqP^v(E~@jcNl}_dtltq6B~prVMyxa#C(44AV)di*nPceWGh*EW-OiUU55%GE;j@k7 ztI*5?j^cyGaG=_SgF+a-+^Cz!u)WM)3O$TlTWi7X6hQhyMB%(VJX0^}flR+wO0;v> zE)f2wVVA4oDol`Y`H?-$XG^_w-z#n=%s#8w#*hRy_1;J&{sKY9wW$i|?2kZw`$vWL7m+ zak7Qi2M>vGDN~I8wno6>8XbXjvT!NG%3HzlE^=K)!)f|S)z~%>t_)D)b--Xv8`88N zf$sATv~0aa_{5I1s!>;RlSqq+YACxmz?RFVs=AB|AneqHTaC)zD6FPLp~%Ep4SR)e zGMGZDXNmzTns8AnlRR^cN2p}};a#WD~$51-#f_#=Y_gXjGts1%*YsrEYW@W)1L zI%6V6jbvgSRrHmhXasbCE;%Ddcqp)nDR)4*ADE)cl_h&At;3HRGG(qQdIEHWE|z-o z-miT4NkiqJ90Sx`7v0Y1J?yVKBK$c`%NEJ6%)$CQ4vuX5y*rF{GRV(%SvX6-HI9?0 z7dqqPd=Sz|n51;XOoHib=5K@X=N@w!Q%k_7C%dYt3%xq(*s+qS+?0c1tOEeH)rPa( zbW~K=a;etWpH}X8wDp@WRH>~X{WZ$!7^LBU#9~QFu~=#Frb9?nJNZmeB|pVRxsj0? z`z|_{cVmmR4$c(oj5QL^XBnIWXT;j|0d%HVUty3KQNbzJ;}22xA{MsIN$912>g=sY4ySX99dWyeunV zl&WuF7ziOl{-B{)MN`0kJD^RHl&N`Drau8rKNyc7;8lR;MVIvRicSF9W=Z1r?}>?T z0NcQD2mC(++9c`8@HKfLc3l|t(umYyzav0%q$|jACh#^(QlD^JOf&&9CSd0#@bUp| zlJw;Gy2O_alz$wYkHNzH{OUQS!puU>v6~wV$JcET@s|lG1iOfJGLGciB(I;3dr+=J z_S&}{se&+%ei zhAL}xNg5`~JuaK%Nq8Cy5qJ?mCyg#9O<4aXj))$85)(ET!LuKWiE6+^@Vy)UrvPn| zeN0>nSO@H04Xkt}jg`Vm zlk_Nse*o5N@}rZbu>K>B0}z}8&^prc7Ct^D)z^8`4B5juBxgIiJ2h>2$XdoX6 zXpwcJqmyRHdkgA`~$gi-t)=8zA6K zc?h~JRnN!7-vKj0JhhR;xNk{>_B8GVN66Ty8b;R-X4TkOi>qHmXrOT(*npo7%SQw1 zhS9@IDF%GFxrgtd^n~8OYDqOKQ{;dbH z(Tr=w0J@n_nFES6z(5X=^da$yQ+4-wt;&Z^*d?9Xt|4u-gBq=mwb2|+^?H6KVJYX?! zohldiXq3)&xfW0U_6Do~(GiF`9-vL9GzH~GS>KHLZjsa^ z8L3R40mu7xw?M5h!D>CuekEgG!0r|Jf_+yi;;c?_msVAbh1fQT{U?}hQEhAT_jE(% z7Fkwbi*cDq{k^IAU3_FlTsNrO}NnX?oeq#X-5^_vM7v4gp zO(Hx}?g5X87Gi`KJsOYA@;gZ54@;Cpc#0550^x6fHniwU5A^tygSGcAaz6py1pu89 zihD34NX{Q$95Jw54*%7FHc3)uM7ylTV3fZQpcOa|Am&+swmV(=&CYQ~yW~LG!CnLG zj}iZEB6hpvsMtZ=4#Y?+ddPqdY}+O0EclxX$Se@zARx84h;&i@ySPPIh%&%?I0>r> zTf;?ohj9{Ob+xE3oyUohZ;g30%yQI>DCa?#PUyaw<003VN6WNWKP`T7o!z9JVcw4Go|2K@B`ECbW7 z3r(>fpiPnsn6}9+%7GrlcI5~|%%KAz=1`#J0<;*qpz3LRQ&n={kE(6}*E0C)0Bw>) zR5itFhATSvb>{9#c=DIYN1+gxAnHbd&IY=4?vlkOnT~C=#)ELS1GI3u(0Nq%LQdg& z^3-hIJH8XRo8@hY<}V5u1ODH^pL7z{Asdy*r<8+lhmj!RZxEmX$eBQl0kkqo5-7IV zRXlYf<5Ug57liGSQ=0r?>>*ZxJdWsVU2?jZmMyY^6Vx~$B(mj3&EQ>mJV+h^d|>mA ze0ZCRVs+)DWt4N4(bWixSoh(nrtI1!CpGy?1#E-FR}r-hpcQr`LN(4#^4ArR@i!rU z22#q&35c&sPAW#)jlPH*3D7pCE7)n_wBu$;4*anlEd_>Td!NzyZ~J)8l+SqhwH z#GDeqX_xh!`^68vT(KTFD-gd9pp!ut4Tp~1yy^xKx!vSfQe!#3RV@32Qvv-k7uzns zdB6~9H^jsMz>i?E1!VtqnLG^?~w4PyCYyRj0~7a;nU1nRAl zVTz11>25} zC}+7rZF4&=N>ucC_3MRYmW^FWjXl$kqn)BOmwwAo-4u&oY})1Fc(&dX6Bh#}LhM5D zS?Y?VOV@IK152?k!4vZw?&l``9jjgPGubL|xC^itT%H8pTL7)R!)2@Fr!A(rUGjU^ zN?_jtSO)f!+w0N4SFLBJlBK!MQ%zd)@6(sO_z#b}m+giaSe?%=Bv zzSgkxZ&M$SE(OMQfD?d~Vl@CSnt^XZ?Q#g7tKW->`vEHuc@d(nb7j$`tuhy`cG(K- zwZMED&<54zr0y}a0TDzQq=gRdlaW7yE=OkMxmm8plfMH2GojnR;Qs~CCP~jC z+Ah1d>vkv;ILm<3^E4cg0nk}Pmo~9FO(*SDCx@b3V$?3@*wlN0dIPAZ0)LK6O&6#! zfa;^#fmJp(UqfPs5T_x2Sx0Ot^`ni5alaS?#5;hrEdf!tLlc1Wo?L*rCw)Y!BccL; z;RK{%HlVH)7!TMO=_&viPCy3Kx!+cYs;`1N=RlQJ3F^F~jxkSrMKVfR@nm$d+GWo- zbz(@p4b(3n`m>!;w@F6%s2AAO2Y@N9pr8SCe%m=ln?2`4ECk{(ARW;eVvo19 zKI6b~DG+A>siHH)S|8$iAT9>dMV%qOU?bLnzs- z3y3R$I2A}u35a+x)Ra_;w0VZpLbc22J6adq10dc8)4A8RA>jh}Xm5Dx-r zi;L(~(2cl|fM=Z*SCyj^oOYVwbdpfoYz9osL@@XP#7Sp%lIqlVwMy)gECymGkcM}L zSZpKWs!OpBh~mM0!$i0(a&285ms&@U~z zf-kMvK*MJsg!9Lj)*8sT75+5`-*GPXLeDNs~y)mpLsi~+@a!2TkEg59~C#`=b0 zeCog{W7t_woOZd|re>XJ0Ck^pkpF;yIcX)aO^Znb;wm7H1JW@rqL-$@EA$8rt(Q$f zyKVzTGqBG{paAQKe25uwlz$+tb`hO41F`RiUIr8b@p&S3hIpC}aVZeL0n%@sA--lK zhN0tnAZDD4#s7d{^?~T4Kk`KX2*fNP6}pH%9j~H2{`vya zO7Q&;*b`j}M}510GB}x0ZVzLVTvdz~cQ(SR0J^Tzg()JAGB(S}@bFg-mvlg%vONT62%UPTVy%T7R6yvJtnMpO*QjdWHZi>tjGDFJtjU0 zT7K_om-|ffxUB=XpTOyW^LNfIKatyHkK2VdH)ie*aGL;5(_L9GXgJniy%8=HNO zA#*VQjrb*r*zGbhT`S3&asm*q1JZ*Dh+Cz&MGtb?Ww}j}0g4r%Xan}v1PbV|#zthB zX#?UbG5tVHo#E*aE)`-Rkg^jHx5^D#2eerem}9hYt&cPY(&Io@lRyf@cWp!( zq!ox~0qHUq(KTPY96!@jW(^SU0n!UDqEiN3Wx)DIo8nNg-VTZnfz5@@!BT_w?|%>& zKpRQ=a<*$jUswH zd*{XYb|d9AeK3disk4$V0dGuRlRgmyOS0JfVK2Z(as>HbgvaH8bTX1$Mme>rzNMy& zhb~}+DVF7`rE9&u0&le}G*O9BBRV7TBz>$U{RAmu86<60lCUf)ugPI1diCML-kk{G zx>EFkZTdozp18nd0SSy96 zS2yt_W6`VME(9E!*JR^)JU`Jqe*?eJa@PoJur6`xOf03wR1c0s#v#VNhLf>cD4CFp zBS#03xjE2G`%H7539e=2tTIxa`y`+;D6nYPCTgi1bbmEYad3WTa}lmN^V%!@9&?a)q0atpZ+htgImX@9Gn zIf*40zX1SjG!h$u{VqUPSGsg{We%iRpW+$Sgsfovu>o5JLhBM7egV*gbZJ6LMt=`w z#KGPM>`{o%O2lrLEcXs#MuiZ^0%>k%h%CkY^#>FJaS4zv=?sxYlRx%BmICoEAie4$ zIw{2bi(8e*Ezry&lwGg7RmV+D#^!e;Y9b#2rtS@|wouBy?S(MDJ|2 zMcmQqv^u)Q1;NNseoU{5Y?0JPZo%UdKswnKl)t8CTLMGN!8J;5-5sZR7|q9@DxN zFJ`d4Qr|l`CZi>h9T(`k7+`pTX84U}n0>h^js^r6;;j1`rQIco?5Ld`4`v%Qvwv%5 z%fRRgj~U*jpAW)lWNjjsL-LyR{=Y1Ie^I-Lan2_OclN$b^dPf;6AV2hiEhSW9jk@!(87l|b5; zKei(4Kz$4-t6gfkbOOmS#o}2;Db`Q7a76tO-2Ckc*a6B*fpMQpNf#;cg`=qwCp+;- zCNURRLyIg6CK7bC` zpUQ8y8=~CU7g2ctJ(!~lpWItT-|~Yn&c~_(+l5vTz=*3C`x$On+M*-R(;U)OD z0NNz!m4xe+!$M-`{o4?uH^)RA5T1+iFJh9eauQ1yOoML}M_{h1UGDj#Ax;41)qohV z_eT8aMC{Mxm;W-v7lx6GxY+=$FkSde)F}FXVU)lvqJzOTItq`?l57@T7ZdjYTETiT z{FeaQB$+6!3zfqXpUGRm{#(Pi83E4&0_;mf-){|uz9r|-xe-ng1NR+9c^Az{be{&cT4pc|z19W?=xQUA_;Ii`ru%^^KUA37kt1{}w<#?SUwX|{+LZWY=Tq@tN^(Uq+fRAt8KEk&nBQq0fn;3;DAjWA8gVt zdB9K(@M!fh;2ydbTetuLi`(WHVlqaX1L!S^y)wtXiRR$BLJ?q)c>@?957H|-VGMKZ zZ!$ug1K3A+e1~>4$0j+EQw(fY}3UISh^s4A-gtDttz>oDa3Xp@wws>*}PfvWPXa$q6# z>&j8nCbua^8Y&OZ?KFx&SPjr>(iN;cJkD{mB>S{=F>yX%8$>RJ|6)L!B)zUY#M$vs zOmqcKMlH7L!vA0Zr(N>s&-dSoi7xnTPXQz9FqZ|SH3^7YB~O{utaCP~EHcun#^J+c{v@H~Kz zO>jjc2_FNaZvbzDSt^wb43^voSO>(6 z8!-P3(1=dSz>$9Qdt$!kh#PsNADsiX%50z=4M=CG6l&8P59Q|-iDE#ql;k6;@tE&o z*&F8e=e})TttSR#aJbA{>K#(vQ^=^`P<#zoJm#`VRU>f4C94Ma8H)jfIge!Ju;{tj_Fh~tR<`_71GFvdsBGx;6jNF%=g zAo`J=5zl0dkCIsG2bCx1ma$|pu-F3G|k5{MiKLr`*z7= z?;YZ`AfAfo6L&^Dn=wA(SA4`@fp{6B@7@{lag1?^v7R1dUG7E}nQ68wHu7L~|IylG zVqz;`uO{qUxs}W1alMv(z(Qu)L0sF#5mgoEl@lT`S-w(Xgty4L?AkKSCog~qb}@|Q z5%CXu9Y5wt5UTcLVn7eyd@&uODE4!}Y>1Ai^VVOLJe+>dBaK=_F9hgXNEez09uvP= z&W7ic^)b=qshGGFlq=xB5zr<{#QLYi>fOPPWDeEc5Pi%9C675aCN_ZwR=7hheGdTA znz3^LynY4fd{qjN^(M&+V?^XOwD|z79$n~QHK>!!ad!+q^N1)fmDw!G<3aEoSP>J& z;5#0;vjErwi6>aL$==GreE_^~MpVIjD!|7(*Z`57?4|+E2g@trzX{MLNlGm@OX5sN zd^6x#;5-ih3jv&V$vbNpUr~uR7C0Xw{&#>*vPu+~=>{OPi7~n!sJhR)V@No&3hikN z-fpYVz5+TWK8rDKX>6Cg&xVAh)o4ONd@Q1G*ctIXi~;d>nbC!7zN>NRnaI4C?-6tP zic=B5w`b;kJhoRarnMWGacB-9;M}-?LYlF#Ke zdkc~IyPX79BHoTe2}l1OBPK{W5EA;{*-;8s*1#a?k{8^le4PlP@5wTC!=Aq8rk5lc zEb*S4D>8fAOE<7%suWwnMP`Onx7u;tT6JBV->)BYm*Erp=%so_Z%v|1nGKR`66}%Hln`7Cc?eYYrGLkXFCdFhF z-mIC>I?8(%H_89rj^a2P{z(8`m+1=jP4>B;m341~uYDYQf&uGcwz=>(12FM}r*3wh zxd-gdfcGkZW=B_$-Sdx<9Z8#?jES=WKZ4!E@IM1+lcd+}19AB40T{6W>;K{ZM*!zd z`O7No5qvBregjki=aAKWJE(o|e;WnGRTWWN;fwAC8#O>Z1GwJ-K2RnzX55Pbr5>(t zl6Twb#1C zcc#u(;1>YjQK#iDPo0mEJmU>8`4HgCAgDvuD?!RkNo&RWXZSkK)b8Iq2` zp#WcMur<4}R2Vf37SWGXj*ynW-2oH9ZxZ~+1KK1RfM1*3Lpk^oXg(Rc@@nCI7N8lc z{nMDeLMgO2-;eMIy{cs5I=88U)9vlm0#pAedTH?^f!O50ZDz!?8b<-i#KV&#Zz zm#wH7|CBetdu$)Dm!E@E4mf=y*D4f@;E~A8Zm?bvm{tRHBIybi=P7D)Ek*N(G>LUk zXDR#_127c8Q)k96X<&B)ymtY7?2sCw^4Arx1LQBj|0%RaUu+=8U$tA74=yGVLy6tk{xcD(Ra}0rb z)z!IEM&ZbHsi$}zB4?-*4Ql5*Qjl6-ZD{gq^k8)Q) z?$eN&Sv*qp;xdazssCFr$2V}CZjw$hDl>%tcq4pH+=5ceb)y#ml;)T@Yv|5bSh+re9mt%&;%K-V6+f|YSk^{OI=A>ep8 z;CpcGd7mlv0JKTc>&o~w+I(Xe0^lAi#7 z${BhxzJI}g9iUB;DP&-Gq;jDB$yE-tKYvq>2zC*DcPB~^UO@{1Wgu^thup_fFT@8q0K%Bha(VecKDb}MIz)Tph_+yo72iHvC?FYaqFNo2m zSc~_KaVP@k0<&O0X&r{a+Cw6C{zxZVzzS7 z6pzrn_(cIZAjcO3D8wHMs#t`OH-P&IK%0Ut-O>#m%Q5)Nh#=C!uyD}Y1$gE6ribe;{N`+!G20DT3-D4n+{M^&5rS~((Z@@M5p zgU(kY;X|Nr7T{8lSAetypmnB8>f@i_v0gPHH54FPNd_N9ow$;GBiV~@n^eUmIh zI*o@A*!4jtg>*S7d`fj)?G!%k&y}YGJ&7Kq37L)lp2Ty~=}GWj=IBLgbJr?}`hGP! zi+9C@bqdzMfN(LOO;QPEil>zW*|%9akbUnfN90}kGbk%S`A_*Jf_VS)yV8LFIKVgZ zH-)A<4^1p>7lH9-0A1SX^3vtYxm~2|OVWhQ(>qTWFIcwI#Wd7FyKezwk*+QeVfYGY zlT<>b>ni1#*@lg(3_%vJRgScGVW2jUNFDW@FD~L_5(Z%M(={r=Kx*j=+d=_C$G0lUX8(D2H?NI z4nJ&)-T;kFm&WGR7Wn=%-NPQe7~g*opPPu?E_p!(e`H&;81tV%s_P7qS4;3mZEs$T z{x6VjbrBtH^_Qsh=eW@j{RL>kYa;j?2Y7!m%mtbcc1E|!p+hE3$S)X|m5)qmnG?^8 z+tY<{OczR8{&7J1Y1kXWi+-xgvznU98=ccj#eTEuAymrm5jno32Di@chc##4FsSho zj5fwSf*JtQcy;|8G3Tm7;MK^^>0gC71_=j%%~cU8>VPk-S;?Ntc%j(Rl{d9u%$S1? zjgM65xyF??dISFRPNQ)|;*!MD=4r*_$9RPFDVmAK%QnsR_)m37g6Kn3^d#deJ9-uR zc20D*z6nv7vuy>Pi2VA}k{U%Aw{@X)a%&r!7g7r>jLbTqCk8W2YdpKCI6k>pl+ITL zRVP13Pf_rj3vYy&aGeYJ7CbrH3`=Ab&V{jBDXhxgsUqI#6*^gT|D{ zbT;B*rINbx8lEJ9bc*smzdcGFsI{Gbqt%cZLjz4qPeVy7DsRG$;8G=S;6bJ~s*i6D4!JJIo(3HJUR%;E z3hNRyV(iogo`bD1>Ju;=9-^>e$#srBvg#Jnthg4drJN%)F=dtU<7_83cY1Mr%C!7^ z5vevlT;~r(&P~pmk`vFKn43K*KW}RBxPqDS;(`ei@^f=U}r8o1dFCJ=cw0mk`TTXXoc-PZE)b zJ48Ckv!fsB5N)Tzj(xO4?9|-i`1peCX;VeyF{8&({w&Czn3td9L_T3`4n$^6n>o_9 z==u&URTM4rRA&)RDxOY^9Az_nrn4wAe73U)hv9P_BC{uE6~!kO6u@FH8b3YiFDX;9 zrsqw_Vm8Gm<<1h3myE6WDy16-7Css!ds@-df+8%`F^&w-PR%>LfM6^lrUS--)LQ<#;9rZv*VJopKJaBfi+ zB#g_-o;0DTVA>QgPc@%-(vLAEJ8siP%wGevQ)f*@tDRpkt;kcYn|b5&e(IdOsfGDj zvpj_E=A;+>2!ETFTQn;^C3gm-V4L= zQ7K|(~?^T%(I!=??${!s&Od; z&NeDJ?t{NMFHW_f*mgT+R9$$b4M?=EP?BV(sO? zPE^GpO~^FlP0pH-8&6DYO`%TC(RNw`czA)KhUe#qn!jnRNu6NXcBv`SDEYQs#7^kDnO0xv#MJfmV(fcd$JMuHm#AwJ*b%Akl4QWdLvbWZ&I9trWDvI~5yi%QC>>wVg`?%@&YxPWyR?&U>OpKN?sb7ams zM8~lZ6tpxKR21==Vue+YeGX#Q;a#8S$IU|ITXYRNFsiiZ&YKTwti~z^7Q1h(N=|R6 zICo}od|FYym^IKmFWD*jQ&AtvUAvt$%F}Q{NlT?`?UTEC5joY3!LqT)SGEy373U4` z_^?78z1V=A35{Zruij+W*Us_V?C(BeEL31xc<~=Qo*si!`g`JmR9Mo~v;bD=1kqP2 zxWy`{=qtg!6 zO8p?8eVuLU-e_lklzXCm#rm~F!{K3cwI{^u01M^Mdr_P z`P+YKmJbj10@FsHw*&&agsC4EaE$ORM}wNR!fIS<}GY zoR(jJ?t(d$Dw>7U3-WS=IlV8YA3~Oy@AsSNG_o^dUdmV_ti^b;!a8}0X@oWG6l ziSfeXB4JfgYEq$oDHi9W7nqfw&pxCu?}}UxF_v`;p2_l2Ji}2wjst~qiIliUmy=hN zn_XN`H0!`oBE!5pat^-!b-@dyu^HEdVp(|;+vNoqtd(N%#2=jhBCap_0N<~R2 zR?gsMSzQXEwRTjxNa{Q><^vI?y|Y+hKCRMegsrmr7Vg(goz4!{w8_FsYRU^?iPZQKZn9TU3N0P#1;5a-2#R)X2-?Ac3A~QzlK}U_44sPHr~RnJ>E1lQ$A~4iN*6 zZrU+Qbk~m2qK85mBhq!i7|~M&fNd`w0#|RkRGH17i~Xr-`Ng6SJuF~->6n@|J~ykV zC~KDJM{l-v_oo|!qN1GK@p)4)7}}iy`Grj90PUPX_a1bkaIqrqMK?x2iud01OmlpL z=^LMifd@LkV!bl`ILr--r<4<%aSr^9(drpOs zpM&+h3dtTXUeJ#Gsi?RcG#Z4(mF(Y@^3zq#5Q{UZqE7r`TftdHx#RJ=P-OzP%PpD? zLo8mb%SL{F?gV7j@4u@elBd0sv!+f0j^DY^0|blNr)y{_>FylO*d z{H&{5*LW$KoX`^0$PKc(#^>PPI1xHiM~Az{)hSk?vvhm}e!S&H=xpsz1379A*@u?u zpmeZksc5RkaoFeRkc_VJ=GumeI`oJ|=v>XXzc866B6J?BgBdTJK7P8889%{eS-<1C zn@q#IYhhg+t$e(U!wY%7ybLlYSPkuM3X)LeQi8)cyIF!M6(*WRwuraTfrah4a{2TWwQ%s|zBP+w(gnw!cR>zm^h^_a31@?K?;kU12|?tq2j zY9rleBaKKvYHF!>knXpUMgvJ;Ej0Q&XCd=;*5ARDdu2`ioImXFl%YToy8R6tRYsCb z$h10UWyoBN)tsiK`ZJpQfG-c{!vGhcr!q0{8=kagNYbi0yqJDJJTbuyR0yy9z>zFzz6JU2@lTH+Pu zCCx491pO)7=A0iBcQTP^Nc_aAj0!nGGS7)L9gWFTkZ+ckvG8mLdMWiv;tFQANYZ5r3Fi zreP9bP?1V(g*vPQjdfHyG2PFAv{#ZS@zz~PY-lQPDXTxAvAm|f^be)xL*j&su!4(H z)v&HKKEEW+m9)Ybav}tpRvfRk>KaVTxiRM;+_DE1hKpWtEUlr(80LputO9c;_P;G% zT&<1+39HkAgyjq*K<0MtLsqIpC$wX38F>Ge$1#+6J%VVA~gMI#3x%; z$MSFObkKm*`Uef+_~hKl=-y+3d1_uZ`e5S=iYBY+dC@;~eV1_t78LU&2fNEILMyu* z0g>qBr)1S2U(_I99rX~p!H%(fF_^Gu=3!r<8@sHe+JnL=_HDlWBp15L4vnO6P6`1x z+W~1QF6AwDP;ouh^eT zI^z(Xs;KHuB`tYcX=_Dwe=6xr9UYG9{#4RgIz9qF`%_70YkwNZ?fz8KQXP~I7P>!` zbdC~lm2jX>1xvtXB^tIGvx(Xt-X(AJyz)-gyeFkw;9Xl%SzQW=`3`#(0JO;t`}x@Dpr(kX>{`Hl z5EZ-y-n*662a+WuuDpK>!qS>JB88XLAx&9GJ5_I32X}+*8soSNNXU)-z^ofAgNbi+ zrd)45=6-B@Zc-@oN5!kk8nN(>Lb}26$`&}fcdHljw~y)SQCGeIpNnzEhGZJ>3t3Ek z$fA8i7Bek9j!bC4#6ld~E7%b(l5DJ20FFKbD=OHzr-D-)___nA(~M_wgaUPERKFO~iWT0-0i+!fuCjvBf98vGy7fIxXB9E;)DkB*Dr zIGT=|;JBWSd*Qebjy=lY*kcwS`FLcufth(>ObmDwzR!S=xeXyd0tP&X5bG?+=5J5d z>`G`hPz+N2?xd@zr<8lO55~XYY!TqOkDd{>=Vp3FYR~_ONIVYn8lrMvD6*3&ugcO= z-um)~FSCg-?x%ovr-EaLH~G${sZ@tBFiF+%rC@S&qMAF|Z4E47Sr6hFl1Us_)yLV( zEU8h0HB|aul1j7yGS0$2WFRJ^NM_IxGMDa%k$fdQL1NBwgLtrP1>w_xLJ%JgyM*h| zrXgksJ(nUdj0^1dWtVFMJWOQb`!xl6sY`O?1o(`|U*`5(K3pK`$u`s`7b;Z-< zmw#bS{sefI#b*fpo^g!PGeBm|bb8z=&tmwJ;!}&Wii)QdviFfCa;y^5LQW)?&Jore z^DBxRB$8_yucSsJ{v1TJaOywe%0)(BZuc2EW}bWpV&)Sp;t_ZcS}aqZt+J0g>~{)l;Y#9oNt zL}gYpmS4?jLI1|8v7?4CO1)jJT03ZH@&ayL#Y(p_Y+tqNOf?%kXavmQBz%;x>h0iB zK6@az!5pp(GCH|xYI9j0Hxml$M6+52j!}X5hNeOr?a;9*G*`WntR_1!Cc=Tdu$s*U z;NcGx*10Ln9?P0S?ry&-1?TKzYp?8$vxRVelU)b0&&FmKV0XbQ4R560j5AJDUM!{S zeE_126Rj@sctbp1UN^tGk)Mouf2@MTsZA$k*P{0Jp`R41KBbCr+p1n7{r(#MECx3SI+G%lGjk8QJ8u1oZvSKYoPbT-FxH zDu|+4B5Xd`=XxL*hg$W+=W3Y$3xroaCG?q z4y>xcOiW&J9=@YsiBh&&zm$_ZZrTKqX$WlAK>v3^N={(#O zS6Z$=c38`81Oo^-;Rdr^Q!zqfKiIn19EHM(W-SS$pHh5W!II8-P5jy^aONW}I!0VL zNnHo6x4~MMnpD#ml$OMW88}B1eC(*p?5Ol4EHrFH+jF_OMsdjKBrPJtTA_p|<&@89 zsZ{%4tt-tjN*$3zJ#4mDnZGEBR#H)UX^ZN>+c8($G2x_w1$FqsXJ0sNU1RoEawCe( z)biSr27G3NLf6_6Y0$9RQTsYaZDbA28ZO+4-K{IknNTm;VkU(tV)L^)X$UR<1a0EC)W@@8~h@R`+bE`6g6{+Jv8FJvK%8N@$B_q1B z;6e?OCtF|Qx!VFfF#WBjASoEVXeFHN&?KlDk3>v72$3SyrT~9ZL~!VK4~9qg6nQ;{1HY@n z4^ah%@20|0S<*n*RVzlqZaw8b5h8RCfe`h)hxF7+9|kR{W2VxwCqA7kFA;kUvpxGn zG9j+FgStRl8Ayi2Txj_qSW#-L#~1(+H;t&<%JxrFz?_hfj~d;Ae$H#CGlR& zDzunh5{`uekSIHbgT+dfB%*ccDVaT~I?E$zcIk}jM6l#^RfRy893$XO zgJ`?_j6zOy^A{%ubob&>nv}5>`q?pPI5twIN@+SJkA^jSdbyzxMx{C^y*yGU2xScU zsknJ~865*r&Mc>LsRZ;Lf>O~xigM;!Cp1czGr#gEZMM1WIyJ!4&Cvkm&M8rW^kfc) z2wHYekA=?lfnLCvF0{`eFYD;tOLH8JvZYP4H_WYD2BrL9FL@mdv`+_JXcFe?kWMHV zzHb2E!QL-`?I^K-0M`i}8o*_4lJ5ZlTqkrGEB0_5r4xsPL@eF5sDzE|X}4ITFf}9& z)Q#Ne98*+GZeEe|Ce%mS%}9pYv!Y&WKdoY2E-tq!$w({4l$bG3EL)ZwnGDoBX2 zuCY~lIKnL;sk(q1*&pRp=i5<1TIv<^f&!%>D-cfWVP~6PIc9veLQ>V+CML_Agiu{7 zauZk*d%SAkJRZzc+@KQR;nkFh0UmihoFb6t;)k@ZN4pJxvdSbk9#(P6LcSXqa?>uv zWE{S#@^6ZlPgJzvP=vF+#4+_@K}QBc|E8QFI*Vv|oyAjK>8Pbb6f=u-%rvhh5@Nbn zv5t!9gwJpjXeVSQs%2MJ%UQ0rsXlFwaav>*rt9mmP8bU^=FkswwLQ+`?iBLl-5``X ztz+DM=cpi@01^T{=`-z+%**xTrGdauH&_{jvfYkruc}o>r{9t*$wh z#TU97p`1Btp5)T%BIa4(WEb5ZgYy+!ico&)?(Vzs?>$vl;uJ3hPWe97Eg()Q7Gkk< zxiHX^dKy-9+plpjr@>B_WXbl7Z{9O}gcR>lF3~aGTU$p7aVBOE{qLr;6hqWzyfAU_ zswXg{1upH#C_i;_7cZgbbRO*~a;~i+)pOn<=Xpe`Ey94)#$u~tTY#y(ATd~o3#HRN zzQ~K#d99niWx-%4<;!)jV-k&fu^s5WyDyP$vuF1(E``Z-S4zK7E|YBNyw26-L3z4l zUm-ix4%WLB9wkcZm0nh;@_v<*6)4F(b=9ldIMPJ+L&2C4pH zxY8G{YD_TmZU{yPBX0~w=JoKRg}BL4PW6mwVIgj2;&h+WF08j`7T&wfW5L|Lwex7N z?A+E7!HZfIjMABTyD!km^*eN!(`e|-xzmT(!7f6q_N5J%EWqb^lnoBTR+vovR!?hV6)CgUjdijv;XKMhn z1k-9?#<5_fm*w$}Ej2>afl634Q#Ojgt%et{) zA=gDv58rw25ZQTy`ORdg(DVQq$1NeAWRA#Jl}LuL5qiysFU0FUZd!$Qg-r!H7=FV? zOaGe=wQA7b^7$D1wj&!e6PnvQ2yrGCltn$o-<8gE!h6!|-I2}vjvorszr|5P3H`uh z8S21tD-bowHXjLDe5i@K`f^p^mRs9Ysah+o^2g9?8m2`)!GD1(JD{7&FS7XzzL7op zcjaNVfrR->D8A)62~Y}u?)a4bzfdg5>`R#{C|HQEkl9Y2VksqjW_YwcVIyz?4X0Hc zKa12i4oC3UeZ(D3h-$rA#s1~Ac?ySBglD#lh8)WNPPt$W4#2;6JxKPyT@UK{UB<(_J|ZWA_1uVh(>a}p zv0lrg6+A+{_S{+PBi+8NJzhM@n=bLqjX3PGJa(#ebi&64!kth(KZ4dsi_Yb7=*+oRUK3f)ZU84(BD>m2DB5vSkh&6FJNaZ0}vl(si6SQ{qjFK&0+2#yz%9 z&!3?dwO3Vi+UL5Kw@d!K4&{p)=@}8HW95~u#2FDSrOuU2^@z{3*1Mc_x^xEmCPlm{ zh!b4~ULhxoMrWp^i8Ce5C>!=ri;*o}+H}R5uebyYKc+^4GbODqlBX4CN*222R2J8h zBCKG(nG!u85)_~aX@Bd0kAt;K6Gr)$bufw3`1__sXk&k;msyMn66Z^hJqfhJ$H-TC zu1pNZmK}Ez=xQ(8sdCK7YrJ4e*9GTV9jMBalRejUM5J=|go;=2f>yWZRXU3n;)V{> zMK{8p&J4m$o&r=>Yjv|7=#3w53Ct7O^CVtn3o2vJk}z7=t6;P}Q{qJXvq6a4)wI$b zfoY{X-D#!OLDK~J-W7~=W+!x#d^06Foir*YO870;E30e(I-MSIicp8?5l4nsx_3E6 zB1DG?60O@>&$xCSd%(^B4jiq(Apa`FW+PhCrUV1;I(Ts z=t~`$cryqaWpL_a6HvXGmX{f8&$PVaV5$aiGw_1*E^Ua{^DeI{9yFOVh4Gpb;!dbw z(!^cCpbD5)N#OKOZ*E4vmGu0HGn?|JFP>?0=TFp|;%%ic>pbUa-tqZ3V((j zA6$1b$D(FVQ~}VaA7DK1yk<%2pD^)HLD-iGac3-6u;$z1=fk~849PMFn z3i?!Gc}D$A`(2Y_I>j-mF5Zqwx7)KZY7#}&AkC1|D9(Zh3czHFnn>}_)_m>5;WUaf zcf;uuo!dLyDVTpj0dJCpQzacIT{vap*iLshId9@jz3C86o_ILa>pxC=07Ez(n;(Fs zr(u3nF4&XPFh98-OvC)_deA!j;(8LMVRpL0NtJYOg_Hh}pX9A_z^W8yjZs)HI7#6U z#`^}+#T%b+AetH+5JjBT8&Q`^bv(Ly{WwzXrkCrab-pmD8*3=*n$FYifpQ#N2Gqlc z3iG6UcIFZ$Z@o%tkgS*8Lf8^|J6-M!cDeg_Omz13MS!i34(R7$Ff;r6;~9X3P{HgT zpx5dIr^@U+>WFK4%hLX@;Ct-Mj-oo{?^)YfhQ&6?M@!3E9@mgR^?cDM`2%Q<&c(PD z+Yz%9M6Xv0Exg53ehnDP#xZFycqHpG9d-+#>WilYi)|NwiBHwJ6LBe2k&On6EY?|h zALMrP3a(ykm*y2Htg`a#j1^XFfO^;=stI@3={X6feS3NgXtG{hkki}a!>|k=3bMdg zOqnBn-F$PG&ylZFRPC2Se=gp$SLUJ7*j>+Q4^RysnC!v#oe)Tqo`K%esTc!UF$Q`1 z=z6dh0seqm~*sFrPh)E zJ4)IurCUZ+sd83=!n$nMoRy#|n^XMh@h@HM;>$-6r3O<(b$zeqsFRkiOv`T0GORR~ zk4~-aksqZ#)%;O&va8&|64+pRlY+HKRW(+;V8R`hyEvckQ(Z2qW<`QEE9$&(y6P9s zZU?C)6~32)R~md(i-jJQOBYnVbWzzY*wSO|qTigpMX@_=nRaDCTK#{2{~xq~+SVs7 zhvriLg5HS4j~@Iv2k8mNF}%92w5Fx3+zUX=f&)k4);u>#U|-uvY&ZY|ZjjZkre>Vl zIjjo%(*&F~)pN9a0k$HRSHO+k4RF^rYbTG7D5=pXsA+~siwW~FDO;C^*S!bg}jr{l3d9{JbKGT#^1RlQ_( znTbcr%*t7N{0(66Y}~WcMP{68S&maadaa=+eX+$r~Gvc9~zksC;9&D@A?)o__IohrE5GnM^vg*>>Ivt8LwMrTrOBQNhGj0n|`({zc z9k-4tt*^ml1|8g}@!$m^Ivj4C=UQ0~A7Wr_?BUaHoRwPFRHIIT(Wuz(R$fx3-R1MK zBT+lqmeeoM?vm2da<(73S<%68BcVK6TH|;g#4v$8$wNmqBg=RLXM)ehwBz8zZedik zR$B$1kJ7*acZ=B4Tn}T^smgYQ<7PO|>77&GiWu_70XA+Xl{D*cm1B-ak<>L~Q?!kT z`yN!ff#o=XQ&P7Od&6<$w3g`iBGw<}x)EMiUst~Xhn+5{vu%wn@HMcvV-?Q_j$Ib%>{aGEbP*R>`^RVTPB7LY%@NR~R^NBh8%+L00w zKvLWr8sZGK4+Ykk+%AdDi9G#CSqQyhCpDPfU@`;fepE=+3W5_11qQXykw-Tp0O|+N zAVwH?2h!18T1wS*s&JZ(o#8EY)wZEk8LZ)nKaEsRB~T@SCRUVQq!WURb{lm@g1WJ} znFRx`s*q}n!N z0<;E1K;z{#SH4CBadq}#)tUnvsFtQVHWPNO7QKS5)xNUo`WIEt#=-#t#+pkIw%(Ez z*yk^kmf>k!XC$MqgF_iYM&XNyJttUM~XkFl|kPzXrO~tMGcmAkuB!wC#^Pr3-PpMnG{x9 z7=ydhw>ta@HZj_QB4lwR@D-jhw0rnlqo)(u_AVk{PW9{h7=vC91XXQB(30I`)mh;| zM|cTcNzAX)WTlbr@cV>8Kc~q};qP!XH1tvy3ZI@7uEe+u8d)&V_s;=oyCKJFJs1?B z%|175qlZ6Pt)(z3#;9)%S+yiQ&=wv-@HLks_%jp2cPC#4tzm9{WrA;*K}iVOv>riU zN=Fof)-vcT2K8{Tu6Y_kODqQ~8CdHtMbHn)j$s(oo*4AwHNg5QfEBqm5EKUCj`tAs zsj$n;Bd`lL5Tndg=tQOfCAJ1APYZeE8D3Z+!(PCVPvPrzU>6|Xd_4l+5YVd^wP(AI7n8fu=V!BGak>n=68)BMoL(GHB=v0bi(534T z^d^#F4DF#6Vd(d7A@qGItBjdF>mH>Q^Z204qRXnp!=THc%S<{N&*X3MNV*P>5WV4H zNh61QET4TFEO$tGgf5DdvX(*LG00V?jaEI=kS)dmJ#>nQxSdMAE;~!o1}DnMaFP^Z zsk{t15dKuRQe?4+)$r$;{INiekh>6Y&!aC<+K}5d(+|#)v4OAfPB3H&je8qN3uG zNTNpb_dDm_>gs-mMVR+}{k{L+m(k*!K3%t}y1Kf$y81p{`zO=&v#Ilbyr_M(UF1ys zB<}iP|Kyldw=nj0w%t#eK<7{rra9w4ls)66J0Zj%!-vH@VCXcTgyYf+JA_0Zz>3DcU* zSih(jPpb>1+bQYkreOh-zq=mN(msw-Ii>q@q!(F}%bC3PD2&ygMg4a@Tw*@0&5ZR< z9+KB9p*doG`yeFr7^(fjVvXk+*q(=O!MBA*EEX=8QFaq&>P_!~w2l{*&+sc|`00<( zML%vAALCuevi?GnSX;|ST*w@5llW2Q)ApwNacL%FEw7)HwvpwTOzoNa$oThYRB|vHH=H$Db3B1USVPH%8`~Z zt@#a6+4aJD>`cQ4b7Cb}Y1d)fj2%K#S5g#=0j*x_~+U zZXa}Yt*w4qAl}yX5Rbww?q@6om2xW2B$8$FMHHwgFNr>KBlEDDt=RG#I);nMrVs-iypW#~F~(Eg25 z2S(kPNM5QPX6ghTKISc|v8$Ldw(->bIf3*q4AU=QI{(5qQLSwIBG$a;A+-n#L1iM9 zBT+-zWm8$j!#qB^R;bNntS<4CvQ)apm2FghoOI({?d7F(iw&FiLmJ!WWB+7!gW6r- z^Gm63XF{5t3T+nhM(`SM0sJS!f9mm{Jl@0gMlNLa`A>ttFHF75RXHgX>X%66B1%$0AHFq zx|!X0nDNlxW9RScxt*zFn;}x_@2SqsQm2OYvH(%;^-;Q86egBBve_29#~y&BnsSiZ zGI@FGwC4AxdNuDO18uCqx)YY+D%2g5kA_bNV%G|e3tvybt{v>UH1Cr-d|xv>2zx(Y zjJ<8bI;Ya;0Vs#nPU&Fm8O}bqdGd1Uie%1|{+7xi%!4Dvwm8|^k5QTb29!?8XQls5 zse#SU(W>c^_ri!uYmtnyei5QP&Wo=VtAf%6uR*$lPZWB!P%5CbG=(ljJ48xcu{!;1 zDmgyY)!p)_uA=%bZMCL5Ds^fd8xL|iHlNax9F2P z+BFJBp3TU&C6lMS2bMO_K3@uL6N{$}m(tMtLrF(Ul!|$_G?sQ3l(zFEK;i|DJWs_j zYiG-SGs6_HFV<|iYkauNs)N$1r;!pK6=OX}DmA2;w6dWTqip3U`sN>D+;m*?Y4bEk zeez$Jw+Kr5vVKxv{W>Erc?yxY3L;}HtWUL6w^996l9R*oD!zAPRO;a@$M#*tXp1yn zVc`!!U1?87 zA`QJ)QOW1^j1e|s#wwJ1n(lJX=PU_F<@zwv4sjp29ZHMjWw}@S;n*{ut+b=2kG`&- zYrotxoO@16;_VntvrV_;rH*UiE_EBe;Bc2652Z$bKwWXXeU{V_h`x{y z-mSw^OJyyULu*(0k=Sz_o6`PTjVDJP=26)5E&Kda!c?fV;;H=5A2y|}uR^+&0~S5K zl-5$3#Rp%#hA6$lq~_pawSJG%cE-Acv9#l$w2iUu=2NTojv7nvJ2}#38vfo7kr-u4w|c++ZupCGlNcOPYC{5wh_8Ko859uQIW?Ojg(Kb)1 z1>2oYG3mXB(u*4*VbtJmN0bg`tXp%Wc9d?zoR?kgjMat7-=9LI=_3=RjZAAX4fP&L zX*gp&=MpswOEJ;k=6wv=9>6ph{5Lt6F%q(Avslr~Y?o+GWN zbQ4dpro~v>C_RjM6TNg(Z8!i)>qKOVQzDr>_sGJyt=QOC(_Bl}0n+@HkaSp}!;5fO z!d=&J*V`Pyy7@4QQ{RO6kuMab>nKh0?e0WKC-H$puX&y1q_jV!SJ(}BshpAyg}tXqJ#l+Oe&!CMvn9qr8je=79456l_TBFSev}m5k;NS91@pR|f%8(uIlHfGWv5-FaHO3~jnL$!H1G9VyE#dnOu6j8FO{-b zDlJnT`M;IZvdT&8|9^+V18UP;-jhHN3WI-OO0S+|sxLP(s9-zb|D07{5C6*>=>Pm# zeM74vbqZSudIJ2r!*!i=VeRZ{?xe;!mnp8UoC`VU>iXdmywFN`J|U$YNxv58nf^}0xO>c}J_wtO$up#}a=|Ko~Ohh+Mm zl=Qp*>fdrs8$S{lxRd|W+0;P%W=U^Uc}w%s)mzg0NWXOT)#-goGbg8ibERZUGab|a zOuok33|?SnPR@fmRW-?;V52qMsxRW)#lJB|u~|DP$5Hth%3L>*mxeg?8Hg_)gfVp! zK7&xYiqeLI+)xU_bdCfu6t_u72oovHrl3ZA;c<-{n|HD4R!R$VrB0B#@Huv6BH2^R z&PQi^J)P&q?gmLVCdSSqGTF zEn^MoQ)jYE-iNQx#pINZPx*lN$A=MTD^iyr5;s!(Bqs9MrsN!mK4(s3yuUNvdlGvu zcz140*8OabqC18!8k#~8j_-ekmmOL-|4x&;wm#-|#hy`_6AopxjB;m>g+Gbo{70a= zIdE$IsV&Xbim5Hn)i(1%cokD@6SJO8^*L0TjLXs6u~F=h|HPf-KYb_pFWgD~U-5`` z$iFky-2AU>M^DtZ>L<`XYB}$Iug7TJoD%oI&v5TOQQQB=a}{3HJG%Y;$8)u~1U}RB zZEjx)m%Hz6)9phaSM`=2z%}1tJfWsN)_iZTZgHVIF+8=#!&5zS`rMsUY}|Rx{Nl`? zD()k@TR+vf+bo^^cJ3$}^JXooqWfzSu4+os@Q#al(eA?6)ki^eA4N^$PNM%Ej-4fy z6(#2Kh9c5e&3OnTvx;Kp+h+tyu5+z-3bmE4;1yaAMqIOOG+E3)bf-R{dGwQWKB3^= zC-g@N?-MGN%_kJ<9{Pmp&kztD^@e4I=^=-Wy><^pN4#tI&;~3zT}9136q_;kP;Bj? zdnjVob`NbBxra8gBaYld5k2l6icRkxiX+T*4@L2Ja1TY=JGh4;5j&E-b`Mp{9QRNt zx&ENm?p=dI^V+G8D2pEV5zTS$R8P>xJkVO6qwbR0r3)!ezUvUIC8#4PC`GLC95o6{ zh(}*GvMih9ABuddNLN1paD!|8C;ju78Hl7#i)Odm(+wd3x{9tCl47v>sF4`3h9$zZri8cRrN~`ZAipLpkG@UBT;KlxaZ|Ff4O|7R~n{?A_8L;q(Ve`o&BerK*{ zGv%%HnO@K&IX=B9TbjP7p*1{AGUq*ee^=*d#hB-=*Z%5#a=jFJ?s_Tm-1X8fJa_5ytR?%H%~;!@mm=S6FGarDba+<7 zUHenhD_Eo8%~$A8?KQm4^ZwNIht`PyeZIdw$;kKDOOfxdmv;2>&DmuS`Cf{=cPTZ! z0}bZS`=R>ug&d{fl>U+<>G9<_^!nr?A6qX)KDJ(}tB-AClxI9UQ@qA=PGFSgQ~H!I z@pPVr(md^QbEF3-O=i>ZvHnQumNfcr?FVT%ozgt-az|<5TaX@NW7U_jl$KC>%=@oW zDtI2!GD`ZIl~OUK*K-WZDXEvMrbWm2c`G0t9@axnH% z`$&<4v6mtTV=v`87>7yeNMgr^6L&rKDa2fly%xD1dns}~ z_EOCC*lRJ@W3NT7$6ktDkG&MR9(yTrJ@!)MdhDgh_1H_1>#>(2*JCe5uE$=AT#vmJ zxgL8day|A^?L#s&h^+! zk?XOSo{8Lyy%uvl_FByK*lUsNv6pgPkLjeW6%aXQc`0(t@>1lO<)z3mi+;wMR^)%< zrO5w={=yn7^1tzt4kNUi_EO}3CmSzDPBvbOoNVYD-2G16 z|sS!*t} zj$ttxQMxlnn)ouLdumxSwfQ;G8c|x{CHh0>v+%8x>);D{ROG}*f9R(4D?2qA*ekBJ zd9vny9T+Ul`)lYN&1j!Ls?o~qFsN+e%Mx5~?k8lF)-%#-N;;IG)SVJsltypVRFVF= zWma+AyOn$2_oFRJn<;&eBXy<(Utl)?W2~$AR_ZbDu10BDDCORsxNCN}%Sz7|iZl5_ z5lB*)N4W}~a&r(Tqqcwk+y!OtEmd?#BW+K9$!)Gpdoyk&rfZ)z9 z^k=^}+?o48rk>F>{#EGDFDJ0ze`htlhM&%+j%T?TZPhJ^b_*Y;j|!#jlW|FJ=5V@FRsW9%}cLxG_21%UW$CqycGGIc`5Qa^HSts=B3EN%uA7j z8J)bBoQE>=Cx3@G>ymY@)yFhjm?uZL3O5h4_gE*XW7Q?3{giZlIbmt$u=G<2vE6Va z%d}#Yy!4ZkM4fi?Qhn4l0p4n?)Vb&BZgXUS<1DwNU!E*hIdosqDbU(#G!kUEid$3gO$B#=F z=KXZETo%C5BP@^oIy{x?+AMFew7wFK8}0D3+FkE&h5d8yhyS}fn!HqXlLu;Kb-IBJ zPd6zImZeT;K5sP2dK3Sdj*uQphx24!m%Q}8vSsv}%TAicqqW1af3FJ`1(%{vJhFvr za3|!!n_%~w=}I_zq?;{FUwZY{t?8;Q>HVeOq97Pm{`$sitZZHKZn{5pbc^sT!eQ=+ z=J-usyE-cKYA1AbiyfqPEo(>GS#Ha$d|IH_=WUe7&E0Q!p8d#x4x2|bPqlBpOlk?! zV}_~rdLib?!o1Wmh;3W1<)wQ0joe*=eJ4cwZ0n?!$bZ@gIqB9h-=9WlU#+JvRzUo4 zxYkz7^!<~3yXY&;UQJI)zLvgf(oz|u|D7#oO95B`8Ww(Nf&T`C|D_v2IRgK+m9`&z z@Im^UE4RpDnYQVL>Za2wy*cIGblT>n=Up*1(<4_$ZYsH($IoTHz$#J7LE*h0Oxv30jZO{KqxIYu&G?N?1 zP}|7Nc4aV+J8K!}?IZ3=#M#CdnexBV$#FP^Kaw{1HcBJWk@3&#R%SM z$PSFs?=Z_Q@7uVD36=AlM!rN*4eTy)t&iNqFQCIw?Zh?S&JthXXYpAEjh5@I#Pi;i zLn2JWz`37?O(~zh`gqn)eNlRa^Y@4)ZR`yPwWD0LQv0DcrQvTP+SPuVpVD^z`eFsr zN7d)CL$zj=(PmYT%_^`sJk~f)STa4)niqBZBjTON0KC7pJrRR;C)^ zyfVjB;`pox5%;cDRn?RP z#g)fbm%#bKO6a{v&{%vsLnDH|Lz^>MzhIfc5#ZSR^Vl$Dk?wYNcMiKcq?-?4pKjJK z{qu#XqYlvac6Hu})bTCtP2<&h)8xI|2BvGSPCuBG>`gb{mVW2T+kcmyFg$(8@Db@2 z((lU=!6QFSf1bEH{qHNMrW@Q|mTtK=-Dv6QPh8u6Z2ADn2I(?s)^&3Fpwh|dQ471K z_q%%T`5&YwY?+&GG(6pNZTcYG^Y_KR`lac|CT-D=SNvR0+xIkNe0I_taoAFLWM|%p zBilDwv9bNj6D|nG@=);kOLkiNSW}&^--k($E$y#Ic>)Kztw!B-#vO-h@A#r-T7rM; z)E}59kTzR?QtSkU7e8d@gy637tt{|)uT$HdQ`*0`^oCf-$p z(YrP9Js(KzPpF~~#Mi-!t)cE|J8xwgFF?w@Wb&C)ep(oOq4n+~oX z{p)nFC4J16Y3XK5FGyG4KH{3W>2cERru2_*Pmi6dZrP{mVRqW>VJ6~N-tp?yqh}XJ z|M~mhPy_G_N_>YuObbqwMw!fY=m+)>3)ZxIq6xNp>?6NS^=w=(e{wp}&h2aZ@ez1!_8~Q%rVf?;K5ZmGYg}d0_6me=F z2W{3Oa3F9ZFidldOY=Cle-rj!72A*F9OVwBcf)cp6k#oKCHDne;?`?aY?>@qF-3x~KWkW*KaFhw2~8ulMB4uW%nroay$@ z#QrU@{e33a`&H)Jbbl1-nI3EOH0C!<-R?H60?v$W^+1_ByR;_W&>f5OM)l#+8W2mX zSHo0g%(`d8T^;XubnCnavC|FDSDC9{)+P6k$Nqk?{WxD^kT_$pSlUJzZx!OTj>Q|; zfKhriJZm@Si^udWYgER6*#i}p zZ#nPmm^vVh{-}6%&lTX|G3oiR8nSYy2D9c_(TP^hX8&p36IC%A9OocCgr|EpWZPxB z*CEcYbK?xQIH>ZT4W4F#Y+pyY_$_Ygo){O4f1yUksqWE$QF-b9=kNca8Ysl0OrbuQ zBnk`hN#{ZgJ_`Be_QH|y8@{+`d%nyS?}>DR=fAJF@45M30e-Qq!k$WKh$my0YS|k_i`A79sAC(Un1Yao@3{@k#1z zFZFG&flGc5eo(sa*eqx-xN!H>FI6WhtL#&=t!hTYqqS8T_7@H*gqI{7PlJ)!!lGb& zVMi3dtfr9PjxQ-r6ckn0l=Ok?ZBaJSqsAqb7yvi(KKxX1PdM}#}%rDUozxG76l_1ID;$EZ6%8L<`5qu>S7FHCEXTqqXGMtVf_+m1uJ2A8} zzcRQOKZpoZ9tuZOO}ZC8UWFu)3slWM$O^coL5-E-Wp>$8`(g za#~nf8da^j%ok=8gG(wZFD=P+F=r9YGBc& zWux@N-T9S##y~18XAgYkR@3q4&s(;l$9Ah=ter$X&-$B)A!TF7IBOn}T8Fv0Hj!h5 zBgT2D#%Fn9WhXarrT2n&hu8xx1NDxR43}fX!x_h?hd{N3qN=F6BvFR%HS;s*IR9fxCg?|-QHX5O$kCb* zj2wZViEv#EQU>BB`jD_ELRCdPK$|e=aGn*GrR-6yt@D5{737%y)9czjOV`x#qHPjr!^sw;!B zIA{FOJ>pui*qnB*VIrC6+FX_`y@2cHmkD8HXWr%gIe!87U zZ=GvmWKmTSehD#wk~`}?vM3as`%Bmv4K69|g(^Z%%64&PN!h5;*#v&95H*c1UC?b{ zx^FyMy}ML#{DqhhLi?^NFB-`!azM?f@Pb8`R)Q;hBtD$Z(^QqME-ouAMF&<~;?2Vg zAu%Ml7{AXN$-5HUa^c{T8hmyemxKF+xh9dV#CtyNWG14mJf zjtRFI{}pr;F3inccKGEMmf+CO_*6-eZe!BZxP##DJ2Di(#%ApbK*?rgudZPR~d!1Ae?U zx{@}oQAa1-u9Ns(JK;Nu+K0@+K)G+Vtwyq2X%$w&F^L{_{9#RYi7q;vX|@QQ4qpN= z_J7)kXhOVY+46oS6LNqvuHm3-LHLECEBWdA&%&p=wE~m7wMLl;WE%BAEmU5 z4l>NJ)*0B!Ce@#i& z_4tKItFQ(IW5Y`njvLQ@ZYVbjc@xnN5+|k)M;Qq>1mk%<)L=TKU zHte)Qo7PLZ`6z#qWD@s&W7mX^xAQ|2Im;_c4K?je{a4ZM3(XZzc zIygcV48!=4Cm_7|Tn~iW8e2vUQF__!YZ!hZW+N6HKWY`DW#16o6&$;R905Ze4$wpo z4aeT&(xS3*=9betp?kDT(aw7{Pjr;>#g&!i3B4AqgW)ZbBVvvRd56XAAz`;PyPt9W zBovf)%o_BO{(dxQtX_!vIKiGEc9r+7>HYJdsC;Op@72TKyJ5)F7tb@SClm^|K7BaQ zt_FuQ5aTxOCQwH@#znkZN3CO{u#MynPj{@?u>HGxTupX@j?)Wx-$2r}1_D~C{ytAo@eS)!ED}{}=xUA+9ZeiD8-08w}twq*)l)=T>7kxCkBizv0N(&2$%EBiY zUx`ZkjzZ(Y7((k8Ei{4gOi6Y51W3_64%wI4xHEdZ(BsunL|@(*Yph%6iPeXXnsFFY z^8k7GN13BmAKkg^v_wtQjVvvX1lpdiGZKypu;Pf`uF*;0($--hy8xtJP##nlm9xvK z=~YxdGQilnvbq3QP6gL!iCUv|m%NydNfi3zad2*+!RJXgq|z}QTfeu)VqD?*IqGQk z1;a{8#^e{_3dX4!#TBNU#)kqMFZHi1^3NHX3m(R-HuQN2<0PMCE0&654ei9O2DFCt z9#UaP9-d|9WLH3VC(#FYwvzI)3bc4P5JJPL;V)HV9XTE|ic!8H=u~^ugo7DWVf>nA zvC&a#mu=6CcwQXKZWtM$8CF$l&&t~IS7_e~j#qhO2rKCr^uyMvVtmD;^EbF+K40s( zD9oN1l8)Xmy3n*vEMi&M7Rpww>Q%?BMF7em^^>j7PF@9}J(?rPAU7TqW3 z062zD^sYuDOH^B{50B~CuIRLQ2X{|R1zdMYPZOUt^i=7U?oJD;OCOnXI(d{a_6Sqc zqqwMw<4QZ_yd&t1L!Y^{AUHVe$8fkPe4^L-!?l6(@yNs35d4Ui%X>vbV`R^X5mdd7 z6a8F--99w&@W75gK5^y6u|-eGu;|D)z z&~BH5)Ip`Va`=3}QuHlGH^rxC)`F`#%p&pLfaf@UP{xVkg>feOQnp$Qk=)IF0?s$D zbQ?zMV{7<`ghBf#WFB1$>=F?jR~a4}@O0~j{5i*6hzo(&@*X!NKM3MQ>BgXT3^^S* zzb&A58ytyEI1IqDNO;0d;Felaj{Mv$n@5cat+8l1)uW;dU2S!skZ^Zz-1l^3AJ%+( zTyGpa>chDLswX6P z|H0#muOvL|a?F?8VeE2rf*e^qokxipZ_ZWWPN&|gb50NHEX%8NS5qE48;bkUo~t+< zNOb(J2MH??Q&=IzO*rRa9cH{`;4TwR2wKmCE-bhE#AfZ$AtcwX?K0OJOV}LQA}X;= zTXd_z(@ZpXv9ntz$`amvVxu-b_lKoNYsjy(z6!%0wEB{w3JibHiQ^ce$AMaX?ars~ z>@FI0vTi~soS8tEfG&m?vJF4AKST-pmy~8P8yFjPg@ubAu5s*)=8iucV%Woj_2g*2 zIh~px6GwBtJ_Z{zHgv`l1#nXoI*F!xVoy31g*HPH774d>?Y?Wx*wvnv^UuUP%=_*9 zA=y=fJH8s7t=aKe2(k3~*52G^3H+GVhWaRe^jH{{S?5VHvMm`O-SER9QZx(ZW0zyF z6rFF5O5OaE&2;h3=V!ln{&W`&we|iNpDl<_h)2)9eRcgbdtfNV@JuJ-@vNMPKh5Ik z!;S?sHZ1Q^J!+sn_;6x!m<2`fHfgPN&{-(uYCLo~d@4N7jDn*4l1nkL^7D+^crZ-UArSkrc+v4l7+i>A8xE9N zD!kd*@o~t8e>NG_F&_^w@z(_5F@0|;OkO8IT*u??y3w?S)u$i3;=WnTYLCVqY>KRq zT4O#GGVUaU26K=$Dqg;6=67k2Y@EVHE zHeyT*yTLl+jAn>ojW$w0C5(HI?sPo>Hr=CpnAY*pV4yWtI>ls!ublW>4Avz2R((2h zllJjpxqC?&Ci;CZINWrzo-yq*V-Fm$*H+QAcbITA%^DT^be)B^)@e6CKH-Kl(I_~( zPCxeZ&6#&_ozu&mm-cT_aT;`rMkg`C{9|*XnCC?e>^QAet6%iB89rX{d<_g@&j(@J zvG>2B^fP<*@so40!Ka&Hbi=cbIrE@?LekIl@oMq!C@SnhT8GSGPWBvQ4{|z##A{E7 zreTbUvB~~WbC*vzH(Pr|s8z=XuFvbC5ns2U3)Cqi_dXVJbV$Q_1AT8&d$^UbC&BPt ziBF0t)tVxW&m6^X-qDHBn=H zz(WA2Zx3LF)dvXaItb2&kHh@aR zh=mUnnEc~2b8Pa~O<6^cvZ!6{Zuv;yGt>ED{}L=V$%%?6cJGsYTg-WR626kLXJXuA zV(-Y^+k{>=eO!}3*7gPsHMa9vY+oSlG+MDKUU!mYiw#j){P@J_2eeHm$0G!fr7BPOMa`b?)i@DOUjyRPTW{ej)c9qy%Iy+NN zv+wvi%O*f*?k4kMGxV^sy2`E6a4ye{FH4ZBO+O&MyKN%9(D?$QKiAe@x$&>P*G0@| zVoF^T^!oP`gwceAR<`RS?9n;)^n_VS+%0*CIKlCEgM2ow+37^KpZV;=*A(GI5{hfn z)V_O9*n5l}-@G8IO?HG6MLP_ZbKOVqAP{gCp5OE2Jj@__y%b&y{0J0LDTn;P69o|~A9--x4&)g{7t2)?fOO$aZ~-2KN!#W_AA!`P>| z6B)KS*Ik6Y%`u7cDt9@2{u=l|H?}<@PUae};IiaUsrD9>wMM9NV8E&NYrDy5ZxjJP_jVP0xhoS%~dL zP9rWhF2p}74}`eXbZ^HM>aRdx`y@^>F2viE2SS`>y0_yh_75V^j`*-~A#P9}2$4%r zGfr4eu5W)f0yW|g<3cP}9tg3-bZ^H+@9#sP9dUtiAud%O2=Q^#Ghu#P@JrzL5NJpI zz_<`kcKH!IyZnfQoF1mJ>e=pz)d)<3xW>2;w&6g!sPc8Db-sUYG{A8@qIg zO^gfiLgnaXfIl-mLkyfArtu|E;ZfTZNc_?P9uI` zT!?4mYk=%=iG!6#=V$xs?umaOu$;s%jSI2iGiFC@q&%|Yc4Mazn-~}3>B<8kb~8N_ zo`>$(?%_0IFXKWiR*q{8SYmo6w3~f%_rx3omXkQwxDcOI9td%{>E7-E^brrbeuDV0 zaUm{Mj%yV7xar<*{fh31=Mk76af5LozN^9@<50KO!xT}VEb&R5r-HTVzu%>h&85r zySwLhPuzpR{D}7&7vgf|fe=@i?(Mj}(rLt}jSKPb$^#*OVY;^)kLz=SU8@=5<;I1$ zOnD&0CrtNtN1=X?c6CfV*0>ORDG!9mFM07;67KIRTvxwv*A{WIaUsr99te@wY22;~ z%TE3rff}*KxDc;b9te@kQ^xJGxJL)>K202FT!@R62SVgMKW=y3a{M+5fg168<3hY! zc_74lO!vn(AKUjijkv(L5SJ-OeFC2_-P_GW|9yw+!-;np7vkN@kst6L)4knHY~SiM z;wsPc_}!O~iQP3LAT5 zh?9&9@mIqViVJSeu6ry@9K@%(6|s=D-VR& z#&mCYFxvbfuH6$4GcLr-l?Os(AIM`#xWCPJcTc>7K#lmWaUp)EJP=~yo?N@xe?q^E zKs(}G<3fBwc_73Uru+O(dI{$Nfp)~77#Cs><$(};n(poLU+kXfjX*o%8ODV;MLC`& zfH#=#?UteJPv~)EGsNY_h4^RXfe`;E3Q5+Upyx%@W@- zF2s+N2SWVVbZ@r`>8y5X5!VdQfpHHd=7BulsL$^5C<#AbqgF~y0_bmbl!1k z5#Kc~#E+DtodG{K-P?WpVE4qo5qRAazcVhx{U4$p2=M^rQ9nN(*Z&092M{keF2q}u z2SS`_y3el>&P!u=Zir2c3-M6pcxDA2X1cd)iu=Wn-93ZY+_(^1D90B_fh|qF2n`O10k|ptb>I6I}_#Z>&i|% z%eW8+Di4G>$aHV_E&AbqyS|wCopB-7cju4Tpw{`DitTAmBmUO75N}hC`DfrP(|vw3 z(Qn-9`VQhO<3hYwc_2jgL-FGqisL=U9W!y5aUq_kJP_jfru+Qb;dl>s$4orZxDby~ z9tiPR)4g4HZ1->)v6pcn_E8=P@eI?w-77esuex(eeBHPZ-&7t5@h#K6-4c}h5m$EN zqsE2!xbi@V9IwRdr8DwB)s=yGnsFhXt{iiWz;34d{5W1qx@(J=HZH`*$^#+pW4gE7 zgmS;_%1-=?aUp)JJP_hG)4kns$p3g(24Z{TLOfY{AjDHl_jXL@6PFh8Gvh-1hw?y( z|1{m(U4VMJ(A5>O(6|r-<$(|{HQn27U5{r-1lBR}W8*^X|2%cfi2(;FkFLLR?60u6 z8DgbzAx>A0IS1ej(|vxOv3;u3h^HAB;zh~>Ar_hL?XJVz-mh(*FGIZExDaP3$M_R? zv+3S$Cbn;N8gZ6!A^u)@AjAcxd%JRMS2&GWXE3QLw%>6Y z@m=FWd|x@{dVwF9?(MQDci_rS9A{jJzfz88dEm9Cd%I$!Q{vJhjxsL9OOyvf9Amn- zyBpj0IE{F(aUpI}9tiPm)4kpL-(ftDz&a*wFfPQFZmx;AZ>_neDcFC5#mx|JG%m!o z$}ye?t}{Io-j9aA+&wV@f%y^7H!j2p$^#*C9fkOL?|^hpbZHSg85d$!c_75V^bC<@ zm zzJYj`aUp)FJP_hXrhB`I*uKJP#7V}5I72zEXW-4Id%IO=SF2syBCatm#E+GuKL&nk zy0@E&bZ&KN5oZ|};_b=9tiPr)4km`Y=7c3;%CN%*k9i( zp9?63afb59ZYH*G zbsBM&aUq^L%j}4Km1i@=#(1w2Z-28 zes6gY&sH9lc__BeaT;-$aUnjZJP_h~(=)^$EyyjiV7tC**$lCvaUs5}JP_h5ru#BC z#j*cbk00JGz~;t<_@r{Ya|A9o-P`ee)wkoz5E~j7;!l<1`4o7X>E3Py-kq#;?@x$N z8yDh5x7aZeiRzhh~1TEGsIy|Pq@GJi;xEb(;#jzF2uhn4}|!4(|z6-yoRwB0_})}#)WvX@<50q zP4{*M*go57#39Coc%E{M>4E2)?(Ie+|1wtw;uzyXd{8;wV*npA-P^6g_G+gQ*BBS# zTIINx0oR%C?UrEs5vLI!H7>-J$}uMbe9ClhH|0UxI}unf#2bwZ@oVLQ5dUSmw>ucy zhd7ORm~kQgT6rME-JA-rEjU|Pf)<3gON9MAs1TTS=*jh~CL3Igqjmm3%2JmrB9 z?=aol<*&xGKLYKDXBZdaIOTy5`F=WneC@D(xYLM78W&(#4AnrcH411{=;39 z#4n8tv8&6Ec)H7vIN0f7o12O4Tit%*EaO6aR(T-A=S=r`kH_`|rx7nVF2otiF`fh7 zY`V8w^)&h@1Rg7Kjd3CNSw$W54!|>%XEQ|l55&hGTk*c}L-)>+__1*z&UELMcx$cm zy5uSJa|q0v_^5Fqeykj0N#HiqeVMuaiPMOm85d$tEkD{Vu$LW6hB(aW3HLV~+auh5 z;`zpfc)#*Mh>K0n5TAB>n8qup%U4}}5??ni#LtumLj2rxU;eAmZhqm~4so(^A^u7^ zp5cJkn(pn^Bc116TEq>;h4?4s@GAnoY`V9bj&x?Yw1~GD7h=8F?OYP`lxH)vf3xYqQG`uQx8lY03j3-ekJZJ0Ihn-UvL$#50Tw@lxfu27%*D_jXOO{bQ#Qn;REm zPvtnrz+R?%JJ$8z-0>5?FfPQ#&W^ZGExQM9$GseZvK4^M>vgmzHuSms~q!^K&BtJ>yCR{4|jhf_A)NSe#$Y= z3p~qopI?7$4{#cBkZ~anR*v@qz#*o4yP?=V$7#f2#)WvEa*UaP=bP^BI-uMqy0Q~H z85iO(<$(}c5Aoycf_q|DcV8rSGcLrj$^#)*n(p&E7~6+9jd+-GA)cx{5aLfw_jZl` zfOdhvG7y^>7vezWn8yYVGTqy)eFWn+1lkdwGcLpi&W_lymfd{pzt7@khzpDhakcV5 zh|ier^IHhtuSL%Pi@4ah5Z5S&4-Rmx>E4dp>zqb>&bSc&r92SgH>P{LcHk`2z1_D9FlIua9q~KkLfmg5^+1UGE03;+R~8_!S( zY%j#8jSKM~$}ztW{HN*O?kM#8N4vhCc&u?D_EHWXO<=z1-j3V7okl#vxDYQ@j{6^Q zoax?fHpWO7O*DY|C>E3P|?)RU# z`#D>@DSt$M2rL8fM&m-9syqE7;Oq;rT% zi+GrEA+}K-2(hi{-mV?C4|f{zNaI56raTZL@8|LJ*9FJh)g3djn{gqYqdXAexu*O4 zHlo~bxUv)9GA_jTl*6YG_`d1hZaC5z;nE_WZ(N8MC=Y~qq3PakE7JMUrA7SMxDbEr zj*r-^*72=E`&sSU5OIxhA-<#>eks5|neOv@1>3JWjrh88A-<^`?>T{QneOeDy#RkJ z1lBQexp5(`Qx4yM;IpQCyG_`B+iAqV7#HG)%F&+zKQi6ht;hEBP9ttGF2pyK2SR+) zbZ@r}+n+d%_?dAbp16VK4}{oJd33$E!hO57yLS`Y8W&qFO7H1`5RX;O!s!>*sgFIvC_B@f2ADHbiiv(_jW6=z0zsKr;Q8oP336Uz_(2IcGqG1 z*G?l|Z(N9XDi4Hsm+9Va6Sm)W8u2g2h4@e9fe^nm-P;vl`)sEXhZq;)CCYK1297b^ z+kJ)YuboEx#<&oh{m$AovAOc7owdMrOQ#X{GcLpvlw-~Z*xvMvyZt5ZM!NS{9vR}j z#)bH#a=ae^E;rrVO=*t%7y{c1@kZl9{NP+GCvmItsGQvX&}qbvjSI2kd1goKq&%`a z3EL+-jrbGeLOfeJej5fHY`QP!MayyDL|{3IBaI8OS~=c}18Yq8cE@4+c&8ED8yDi4 z$^#+xHQn1yeFoPpf?l`4-x?R<-O6#j1Me|C6P|~wu>A|C5hoiL;tk3JA^soJGojsd z+%IOhdj|0q<3jwM@<539n(pl;V*3iG5hocJ;#B1rhXALU?(MF@IQExrEK9uBxDc;b zj^Dxnr!Jlk|{_tkT_ zju3brh~F3&;sNWeoe>XI9<{TBv3-csh=&;$;t9%e9Rb^$?(1(}=ei7ve1C`0W&s<%-+Qd=m4R2-JwPj0^E=<#@*e z{FmuIziY7lOQ#X9H7>-Pl?Ot+#dL4C_6dwr5SSnFIpacnMR_2^S55bJRcPlwcWs?m zV_b+6l*1nac$w+m?px&lZ&wE5cgBTytm`L;>`&tLG9T^mK5LU1;sWDB{8D)!M7HC& z-5(#uZ)g#y5g#`$#MhMvLfmM&FDJL(a2oL~<3jvWIr0O3WxBWHb$o+6r^FkL3-Pzg z10hZ~-P=t>I#;-~h?9&9@ej%aAwFulw_|&~$E8KQ*SHWDD2IO~ko`%#US?z5H^;_+ z8RA^yLcCu&=BI&+P51f9QoQFzU|Ph6#)bG(<#^8xJk4}(xAigH#}H^o{Mfh<>p44O zUM)M`pN1gNj(Co7A(ki)gjj02&yU-qoJK4&F2w1|;lBo)VY;_#g!*ml>X_KXxDZcP zj^}>hDW-e7lki+{vU@fl{=~Qt&r%);vA^lwj@tvAMjT{Zh$EE;LM%4j+i|+ zg?PDg{Qd85)-ZqINU@fPDkT%a7+ z18||~-j3UgoJL%1T!>FA4}{3)%lLJ~>;G$4SHy3O3$e93K4P0%$JZJAPqnxi;%UZ( zI6*o3PvB*y`*O0q-t5{eai(!0KA=1h;)AApyYlIHen4Q^iIv8MI9EBoPGGQ*)?t z_}>E$Gu`L63GZ9pMxY(>FUE!VsdD^g4)~er-tHp!2NpRWLE=c`LabJf@h-5&bZ>|3 zcUev=8~pYWrxil{_^v@ZzjSF4uQe{jP0Hc#2z=Z03^5PBVe$8&g6;aMp$`T&G%m!8 zl*7jsSY&#J_#3CAIQqwN<0I~#Kz!7=5T8*V2yu<+{7W|D+u6QGqX; z?(?SouG)SJ>|+}swp1RCHLfc(5}*G=i(9%S00sr>$4blAuwIy$Hs+Npkrk`4+76tjy4Y* z?Q|rie^bzR+~E2W;*G|IxLi5z0l*ceXNVs)4sUAJ*|#I}23_k^<}KDifm z4V)dZ;a=F;G2wS(u-RuX?CN^G+cg&m@zA}<&)P^JL~C==d1!hE-j^Y;k0UlWF2qBW zBR}Awrt{L$zv0*(;WXm;#)Vj-9M9drQq#R1jd#_5o`ikuKZz$RkIIS7UE1Yo+(3vw zQod()2RJ+8fqP+B=E3Q0w(oEn@h;;+yjwZu$AR~l?(H7H_Jd9%K5SfwOOyvfe8hBb_eX3$<}~8t z#)Y^_c_75qrhB{T*q-4u;w{F7I7d0&zX5MI-Pl&WxBU3cphUN1m;H^VqAz9DaW%Du*h_8SB&ivrx8aP7vd$# z@qP+8#&mC2j_nGk5i5-gv06EvFM&0td%JmfF1f=!n-K3ZF2o0v<2fJrpy}SO{7vMC zz~duU8W-XO<(O9hUS_(tTZ{9u&Yc_LbH;`ErgFR|1iodux5MSJ%j>qT{a{`1N3Hc* z#rI->?Ue6XKhfCP5%;E_KhxO}`zqhF{CYb(VxPURv$*h=hRqp2fZcJLMp*o~hZsc>g2rO*^aWc+l>7@K-{92Wj4W);N;+%>vLRP``x-;54?%+yc754 z`LC|`70&N4KjJaU_pH4%b#}xb?}c4m+jU*-tS<_LXwT$(c6@csuddfaUE6gNcOHm# zPX1?)udem-L)%%O83<9dj{axsrLO0_uII15JMTnmJ9~C~b+xPO{jb=SlUSmB&&qj} zvm+k;1K8E|{MEIeuj}YCpVZCBU% zkh-3~R@(RN{rly**30hBU-vulds_s~*AaUe7ved}@p~NLxu)}w^{?HXc%Of(gygcA<&Na6XQa>KskPo11vP%+g*h1BBv2Y8W-YB<@n|VaF*%b zZsP5D_m9B*h?9&9@qXp-GXyR+-P?UT2Y#Xmv?G3JT!@!BJL2WF>^k2IzbXXU5l=HN z#6snP5b5{BI0^T+Kei8W8u1|GLOfYH{QiKanC|T+zJlXJ(BlJ6GA_ghuTsa_7{G?g zqx|x*-P>uzGmHyyf^y8$0_lGoFJ~icH+CAaiE$zJP>%0O1DSr@j^8D_0zu0OoMc>x z4YbTCC$OQF-ydH-wtG8`c!qHyPEd|=0$C67{2F1qvD1i6j0>@ca+DLu^y7A1gQB;c z>kRP><3e1Z9R7Pi{$4q5*YuBg&xJsZ*xa}fuU8H~dmw*L7`OZUQT*l*fg14(<3eog z?1=l+vfK1Je6$c~NBoO%A%3VFzuy9WWV)}<^^fBBTL`oxZZIyyP0Hc_1$^6dZ`U2$ zJ)B1DWn73;l;eBgz#B~Wc3ZLiq0@*T8yDg><$(}CG2Pp3#`Zf-Bfe`~h+C9nttsGp zrhB{2*gn;1#M6umv5WFRh+R$hc2_NhpBDn_g*e%`5N9cezdUfZ>E3P`wx4hsak+6J zeySXPf56X7_jXKYj?;*9jSKNHiGA_iYlm|k5+H{{Ek28tDauUdJb%PT zjSF#;a`er>znJdNJGVDGjrdpNLfoo65aNfXdpoWp_8tQBBYt39i1k-e4}{o2dDJH` zosV2v#BIif*!Fz0BeqkX%@8{~9nDbxxP7YIPdv@I5a%h!x4?jRn4Td%;`A_$KVbV& zx1ac!aUr%YwQ>;KD38j|?Y2%M9&TKSLzQD47T`IiXTto>#r80#5l0voVvX`Zh*{G! zp&hparxC{)7h<4dMyPvl-$@r-x}2W4pxdCyp{M#9v=xX%K&-JevvaxP86Th&LD)V$m40 zBVMdLvg7thrx8nx3-P;hvm+)d$YBS}S04GUa=W+NPdvl85Kp??(jcC!9M2`depi?t zmVe0;XnzQLZ2})PF2rY)!(Sb^#&mz&+2uI*2(%-PGcLqmDaY@of!CVu?U?Vb`toMj z$7_>V`~C04Wf*58FhAlX<3jvKc_769&vc((f8;;Fm4P_OxDX4K@Y`(!+7Zt( zF2v`QWt?>e1z!@n)qe$VYEeqdaPm$B3{O%-7uuPNfi%A;!vo4d5zU0b~XeZhsUKOhzw7vf~)_)RmA zzbTF%-|q6G4=~F~wCiin%30sp5gRDqGrRWAj@Y4=UDHP~7l6RB6Pp_s;!l(ZLgce* z{P^-;!+i>Y8u1L{LL8tRzf}YdG~J)SSN?$CM_x{?Y1w(^AG~`H8JT!^EUqmKfXneOcxVY{)@ zh)s+O@o43kdj}q4y0>FtcXb_g#6BJ$v6J%X_^`Q4yF)bY&V8~uMmYb)^2&Ke<6=|}T&p~rA#PS4Jsofa-4Y?0C};;@rGd@`EHH|H^^Xx5 zBbgX%f?dfst8{i;y3%4T0`Lf6@CsnFQT*%wcGX+tAqIO3BE4$jy&;WvW!GQhM#skO z0jgy)#6iY|Sgaf?p9AA(ygm|V-o!@Avl-%kPABf~bYcgmBN_c;o);pZ5(!00hm-(n zOeN&O2u+~@poP&eDX7@5e^E+9hv*{^giOy z9u4*aXP}32qH5U;v6FEj_EjDTvA^jV;*(A%u5dc>o0L^!nD!~y9*U4moI4%xfYH@} zH;jf6d&0P%CN%AWuYez8cf61nL7R+#`n?sf!02+o8%7a3!Q2qxCxB^2ndxGq%yg^K zFw+e%eOt|a?Qg^8z8Y)DC;;toZtem+Y?Q#l#m*SZwb+s&JZ?11WI8rkP8`kfP$NQu zw&R@TajNg!|C?hjUaGVsb}}x+cwc6%Jewh2rg5UHqbIieAm9MFyVNMJg-eVQXcRj_ z+VJXuL?}Q=Cu%0mCArvi{HuQx@$VG~EFy7|aUtHI9G{2+PBlG4oaJ=l9H#^PUj~fn z5t}Oix#E4`=|qstBU!8)KhNfoJb+Lyk(*gQ- zEdFKb>m|~oSM*SN4mME;f;+Kb`p3iRfq?Q5Mkw_iNEJ4Jj=%~h))*J!uasl%26&z6 z8RBN;Q5(A*+dOLIK;V4IbRTVJ@*90y1_G4jdW5wIY(nARBX3~-<4t_?9)auUgn!RMKV>KSXP8f#FavX(2sa^wf3sfe zo=Coqb*m5#K?wgcE%5&GEc})aVePq*&hH`e8#Vm?%PfQkev5C|BNVK|95cfFXYkuY zge_|^7mDpw&tmQfVIIOtgz=7##=b`23<1K6DD%4r%Mdmo@LL8qAj|__im(M?@LSyz z+gHN|=`(ag=#Ef=F#Be_yG3{aWqKT8{R-G1EJ1h{VfItt2>jm7%LuO^e1P!PZ1_&h zL3#*>A$*QJnr=e658$~Fp#Y%<;qJMxb>R!(c$DJ_gri_X-v_Q~!ZL6T41Q}li#lQ5 zT(=y*@kE%9@C3p<9Me4rGZE$>OhuT1F!3>bgBM{1()<}uxH%&5gI`|6=5Rk?OKHLm6#(!XoYk-A+)*!^N%?;nctPAY2nQoCmYM6aC+@+q{|RMB;JWEt z6P@dz-+;h%Lb+x+*D2>3Y>wI$!@7V}klbh>sbB%4TtNkeg z*U9D@*j)GeV+5{a%{8i-C)b?jI@6~iaJ|;25N3mOjpr^1T(g<$G;_^m2Cl*TBFgb+ zg#Ji_YaVX~{}_Sm7IRHvu0zZ;7_0U#7t|db$2B*WBegyIez; zHq^Kd?jVG3aV%W3mh05cLYRrNv5Z_tmTSavU0AO9+8u#wxN_ZAuF1-ESXp;mSCwm~ zvW~e1D%U;bTBOH8;~J&pTyyjS#N`^ITsL&U^Egf**ZAy=P=vsBI=KcX*WFxzP>xW8 zFx2sxh{H83xo#!bq~to3A0TA0|5^mD6Uj9ox$Yy^bmTgYT%(a|9&*ja;V+{-AaLD8 zYTHmYu3gB$wF&2;Odla|eZiX%Rv}HU1<3XPxVB#wfot_`Ll}VTm+S3uZ8ol-H{&+M zL*V*$T+@#0)onxI`g2@!?nes{7lGg4=Q?m)g$)a1(o)+U=K4766a5&EO z3kY22jBA*2-7@y0Tzib`ig7KmkqBHHjO%`JZjI}BtwP|sTwIHb>uhmtEv}o@3Vp`$ z2wXFZ>tV4EIRt@gVR4mefV~j7){^!)zy%1OFU33^Y`L}(*DWeWnYj)T*B%;-?U@K%8)!TN*ZSf5 zKJ2TuqL1MEJ4>EIow_g#`-UTMT^p`t!?jbcLRwsRWyL145w0h~wL&_$I9%_e5`pV?aBU8* z$HBEW);@y%0yrP|3 z9>8zuZ$lmN+xYZfn}%=^&J({~&+paqTl6n(v~SDvd-D8NJiiamy7|BCy>VP@Wz{x3 z2r4QnDjFKQoDs?zVj-sN{IcQj9WMpKRR9IA0Xjo*FRAi)N zl&F}LR8%}gZ&atGULK?3DW2lZ?^^6xYi7^2nR|P_=X?M9_V3J@;hJmR*IMgd_r3S* z*>mqQaIVHf)^C@!*=zCoEqKWK>aupatY`OQJZA9tB_3lx^wuh$!?UbCF6)iUTH>;P z_^B@<56I2&c*y$RuS0%hz3xZw=>CPbwst3;Wvy&kA6wSGmi4Y>Eo)i7`u%u};~{HJ z%lgu?cC@S)Eo(u``p>eqv#jTQ2*$mv&n#;%%X-VQma=^BDQgbPx}dU#aQCy&#iJb$ zS>spM^_4YyWu0DGgSP`Ym$f)$-Ah^POxDGfHE(5oP+7xP)~%H_X=NQ+Sz}h#m6ckM z^;ie+kn1j`4OypCFj<#X)?AhEL}U$BSvOVIM3r?=WsOr=*HnCybxK8J^y}W5qO*9G zH3G#KX-n4mlr=m>LwxH)US*9nvW5hrHJle+=PS9@59+!?Ae36wk7zpl;h5(T_J_yx<|%8t8V@Er_?N zJhI2Fs7pL_y8^E_yc2Uf9&-L$w+D7$zYgrzctn%%pCc!ijU)GX+<=E}S3HMthsO`` zknag~lWTC~e51M9x^w|nsl-bovbk@>&nTRaq@k$tN|zMzR5anvUZ!S%O=~QYyW%S@yp9w@R0S+ zWGyqLZ@mv)Ddm#RNQ~ddOJj*&7Nc+8_Mc`fTz$!pp2*Z%j; zsmM#Qm#xfuTKjHYUaEh4#_`nWo8!2)cUa2b(fHr{$DfajKR*?J-Y@=qK>Yc%`14Wm z=hxs_)|ZjBV`ROU8}N{A)7t;unNxXrMEvi1Jj?nivNnpWhazj8$oeL-cF8avvKEP~ zKO$?3$a*5OR*0+*@^w69y$@N-L)P!uibn$;vet&IuOVw^$a)#F7KW^U@eVv>JquZ@ zLN@g~y7pIO?Ig0i)VMB=;}^!CFG_iSef)2IJ}>^aKHnDq`;hpvwyXJmZ5-D;==*!e z@q(1+)c3U?j*Ro7@n_Z3e%ClpiobtQ{8@GMIWGlQJ=Mugd0*{PpR-eV+K$@q8-HJ) zHU8~!{B>sUoO{<%k|>F$3wnTmutJ_dTzPKTCUHQYp>x?9!!p;wj=S7>zZUABHN*B|0m`ZtxLt_ zS+3iWYjWf|9J$6uuB(y#pIjRw*S*NKE^-}ZN4_w&_weJvjH-MoD7F578q|9fkd$xE&O{5YQatncf*DYUCI@Esi<<9Ntd zHoC2c>-+!z^Q+6i#v5*G+76TB@c8#Dk;si1*Y9XKW#jd!t@Ol!j7T(+i9QM11_63s z`+>7Y_#XamLhp%{*NHy{(qYJ!tu5PIZ)%D3C6Fz*-zLzIAsazyS!+$&!S@{*PvE^1 z;)wUOv0-b=);NMU13lchZRbuk+H;Mg`zb}e=ikTwlu?tJah^0s+u!0y4xTeJuHQEj z*+?8Db8R~S|7T{%SC!(uf7>q(9SArxV-o*Mi~dc%L6uGR+L;;sf&Ls9=fDwgZovPn zB_7Bod%w(#v+x&l&W}U;tZ}0FpXIKMa}HkP=vc-dNn3rKBYXVJjM}7kyq8*aoAGD7 z>h8Mn)|+m-@%5;_ZQF0W={BzdZ;7{)CGAAE+`jE*v6p^Z>p}S=@@{at@VwUVYsmdT z#_;D_)x}#_dn4(;&jGJQk$RP>HRf4~&o6?Tm&8X8zls_&4>N-E^JLtswYW0sq2tf< z#vKVU8A+%ypD*&q#+$F-A)XqgL+)((kbP zq;b@02uQ106$NTNYaF%uC6GqT;Q*{;RC}BHx@;V^k_DA=OzS4l^++^g5gub4wb}$^ z`um!V;LKlX9JRU`$e6?GUgN0Mr-3v+sanUwL+<5o8b__Bfb^Tz5rI~JG>%$jU<%n~ zR=2?ll=!AOEHsWG@}qMF1>wLif)YIQ!4sbSUf&vj~5YaF#| z1Ttb)hlzx3YIV19)an5seP2tj^@wrQ>Tw|B9cmR^z0<}~tKS2eaag@#9JR{Ba9Y81 zEWMPO5v+Bxan$MpAUXG^x2iXeTHOg`=>@flTK?pP=CH>&YV~Cx<^5`8XOJ_@AOM&$+2 zLV?FORejVrYBdI=$TA=7;m?huR{sg4{oeF(?TrH{kE2!vK$=I=Tb*VcwYmUE%X`(T z3=#3Xahq|}>LWlpA5$y&G|K%oWgNB2*cW?PvpO`;s>nEMbqSDuo3RsFm*i{a8;zq@ z?*Y>5u==!d)M^Asx5H}MIBNA0khU*sHf~PxE8*dRnD9J~TAd1H+$t)#4lg#2T3rXE z<>RU)NB*4oyNsh&9|6)cs8+E+4`+;{RtMpuLi_PvMPz0~VTEBD-?U0j184a;Z5h*h zz_{3H9Buh4Kqe;D3c^X7_UXKF)M|fBCnIJhu_rdQI@>sEbu*A@tMD^nqTIx*LF1^^ zZ-6XV#)5liPq^T5)T$83q*)yms8wkkwYm{Vj_nIx4=e7|&l^Xr=7F?*KYb4OJWx4m zbv%%!ud3B+5(!N5^=#v))s;Y^*2}^Boi^jB)kA`OShY3tWYL$hLbi-zKRgzy3 z>$wW!sMWiHG@8|gu;Ltk+c;|VcOY%u>fwE`O3W`>C1)O_9JRU&NX)D*2-F%gj#~W_ z$npcKb#|at89wrQ9JRU&NcM--Dlz_GZrS*vanx!?kdLTU@bRjgZ1gy4bs~@go5$)! z!ZyvuHsh#OFOc~j)r!J`Ge2P*wff&c`o5=Dg@INF;N!8!QLADgvG1xC20+rL9$sS{ zwR#VbigC5N7&h$TmyDxU(?G_0)atk-rHEzzFUC=;{jpoC{-|0>9VRyQ@J!>V)ixlD z)?eEaCU~V*y~a_i=YiyyhZhA}{U76~RWS~SC(OfOt9s+8)dN7LzN2vk&ry#XN3CXo z^f|0vF^*atgK24LT(!;%jO%jasMVc7h8$L(Gmcul0Hnq$>NaTc4De6ms8u08h|GRZ zJwz!dZQ5TK8Aq+Q1DP5xD<0>+aT3rI9%6d6Cu6vB5R^I?JZ(6}eM87hQTFK($ zs}E`BgO9_HH;!6e0HoFCjVKbxwebPtsMREpoN?8XnrEv&8%M1Uf>XH~51bt&Jt)s8tk)X+xHc;Qp#Hj#|AJNQHSA zY&C2gwVDOeYg&0p{zWVs``~n`$5E?IKq@V+V68^usMW`StbRu`A6&iPHjY~T8c6rJ zS_RkPK2hbU)kYw_HgDjdDrwWq*BVEy-UXz_vJo8DqsCFI9|I|LWFzw!<*3!kK*}9f z+l-@D-9To(tJw(laNIa*^}m6PSX|kWnw+ungeE=OO2yeZ9o>r)WhwtO7xj}_)+7i)gwTf%<6T4TC>JctG$j#e>tqq zFpgT?2&D4`^)R@<-e(-O`V5d>vkIPjpE8bG{RfaHvr1$F0a|}$ouC}GItfUPS>Z4u zAoG_QN3CuF(reiW?&SxKqgGDH4_`8lS{-;I_LUB+^Ngcb%|Kc#uHYPg&NyoI zBOnb9tySZw)v-l5Gig?5Act9!^tDav@G|45)lMK&AJf{n1nfQIHWBNuZsVxcAdoJz zdIKyn$?9juQL9&g)IP0RDDHq>F2qpqIBHb^q{Ou9V8wC0-8gFX2#`gaHyQ%1UNnwc zEd!}G5BIj1k{v(1ok)as`|Caj|HhxwIw zwZ{hKsMSe8dQB@ht}5fG)lEQJ999n)N3Di|ESl9;c(|9OZ*9{YP8vt8ekn+==CA;4 zU9JM<5WMr*ht%5x~)i`Q(8IW50lyoP&<6eHyIBGQuWc)GJ zIsjHEs`#dLD3`2x9JM+Q$l&v81@nNpZmV(B>XSgGKCM>Rby>|OUVY0rYV{K!b6sZ*DEPmam{$Kc z!HHt%c`eKM<4RaHj<#GD!+BAMKkqP(T74Zzu0w0ZIBHe833Fz@dWesUmfggwJB_1O zj{%wfl3HQM88F^|Y8et?O2c9n6oww9JQJU zQZS@ZltY1!F7`TIIcjwxkP?Shjd9ef4allxEI7{(8%M342GabndKjGN-y27*_9(^q zmIu;X9c3J~+61KH8)}6@3CKp3an$OqKnl$YALf%b9XqYYQLFob482daW?-|g*{Rh_ z#!;)XGqBG!s{_qKUZ~YI#!;)c0a%%`52V$sj)IkDH}Pu7IBNAQkcqEr#xSe`uHc$8j#~XEkcAGl`YNn)@bETO-MdUV zYE=N_0ld97ldO@?1v2tAZ3m?jkkuQEqwU-aWYMf{fmNcvRDHxaYV|81wH9fxRn{An zqgE#XnX#-EKuZ-8uP!i-T5SO$-;Q{5W-Fe4wHB}Zrxn!(PP(Ku?gu^dNwwlBa3W6MF} zRgH1f>JA{aW>pQVz3}ih&Eeza%2BJo0%^nBiIH;lxsgb@?P2$ex8QH*ZN|}dJ`1GE ztb(iMSH@AR!_LDj>ae=XIBN9(kP?eDI6qGtN3H$}r0iksi{NU>J6}0!bqbL2BXxY` zAq|Oo*75a5a0;yD;M&+}9BsK9NY3ZgAN-Nj@*eT5KV=-XdI`w9`BR-4iTotaO^o+L zDsa`uBk5Z{*Erhp%|HgtDmaQ>6tDj2m;giNutDgetKUn*{8+rbnY2lBx?XTcOZPp5|`4cWw zj<$RikcDT|pWwOfea2C%VIY%cB}Wb!aW5h^JM1!!S{-r`_9+(oo@OC0G-Gcxj#}LZ zWZAS{2QF)k8Aq-D2xQTr_1a41s8uD9Wrx+h#!;*90GT%r_YREfW#gz-{>AtP!L))u z{at7rwYnWhX`l92aIJpGIBGQrB;TxpN7Hl0QLEno8Shf9%}Ko!vHn^$j#?dj39jn2 z4VO)I#U_rF3pYE=bf!L&|FxQAEjVW)A_>N`LttfGQF{IhY?>IfW6 z&6rhiFTc?^YV}?qV^3)>2Wx%NIBNBMAaf3@zZyra4t*nz!yQ&<8Aq+^fGnC7b{+xq z{6~$WR?h&5-mh^5_t&3|qgHuW;^^Y5YV{sy@w{=han$OgKswCom_QGoHjY}o45a(} zsui61L*JwvwK^S0r&$H}a;0#a;N_z(dN-E_{(yGA)`RR*N{an(9B z(CS9xsMY;I+RZ9>42~K{t^OB~9@|?5$F<+J%2BJ+fV7!au!r@=QL9cM9d-qyRFLQb`MjiXjS1+wy_S{<7(!7H`eqfR+$brO(* zF|`WL;hT-4R__Kf-mg|?Kmo-W-&E_{#!;)k1F0TRt6G$k=y{u36~09|YIPZqK1UAk zF^*b&4M>~A>UYLbs{`MPYH?VdZydGS0i^dU8rL=i#M$UKj#@nrq{FO&Gyf0cs8w_e zKG}U)wf2V=kIEY3sMULcG+WK@8_&5v^CQMlt9c-+=3z;o)&cd(QLEE|3|bxH;3L4p zM&qbe50KH%XW>M{Io6 z8%M1Mfebjber+7JI_P@T{8O5Z;M%A&j#@noWC&A}_?4NF4znttbq#@bEUVlWiQFN#g|P=eOYW zAFo=4uu9DJ+D_JX9N|0KIRTvPN3{n}Lpy4nsFq8Nqdj;NkcBU*)lsn80}pT0SN9u7 zt%iWiT7GiXVC`8|p981ZIKi?15}aX7DPohEfdG>>ZRgM6R6F`B`vx2hnwEcWuI(HH zPL(5v=YTV?pZb&7SBP8M&Rc}zXyxhl?fed$ zE=N20H%1~+^XH_%b}j>_+|kZ0;B-6k^8s+i9PNAwoN4nXIIbUnQ)zt~TtB}Br`Y^C zI54hPz-e-{b7*5EQg8ko6WGqV;K-MIUZ2WQTw>GO*b2^y#g3v)8coW1A2^lPr-vsR z!7Jr_5u8RxT+f12pXD!9a?qZOu}4jGBXZLY9&z{cY@Py?L@)h{Z2PHqmFhS1*gab><>mEq2b*XXG>YYH^9C1Bv9JN{m(&Ml?^=-;gtDQiG z99B;lN3B+YtT<}poE^$ht9Jn@HLKtpP8dh6_S%V03j4-uKIQj2+6PC2^Pq2h-44Wm zrfV2T;w+Y~Hs*2AddBe=MIs;22G?r#)vPuag~gsy6&Z-`O=tcRC_=gf?6G-O4-pX+Za68SvEvw%3gClQdX4IeW9R>TDvRYjf&^~J%`9yaLIV7vq$xI-7|I7^elqGYI ze|rUg%IbIr;5jqnzLRj2NA1)D!3o2)n>uA?$nWEM`vvk-4_GpT^O^WR(Ts}j(}Id} za9#(_w1ZOxPBxlPr}c4g%8gScUg6d!Oa$c=o`wKEUSgoE=ha8@0h!|%ZS3}H_z z*q_bdv^zL&0%yuN!Fg^0Ckx@Gi>n8mG6&~t;Iue6KLKaRIAusM5)t1t&;JBx!8pM_ zA9`mb5{1L*d@cc}*1@?PoNfo_4sa$NoKJxx6H7XOM!+d`aDE6*vxBn$&Y**{*W0mY zbZ`p6$=@rz&*y_v?ci(!r_;gd0B796c@&%#2j@rNlaO7Ny=TGo>9|WfWdz*AVKMhWegY$cEx*VJh@4)=*;M@+*s)O?gII;cG z`}_-Vnv4@1d%-)AL*ta9^LRe20B7F8X#yu72NLOW_!v0V4$dq%oes`_fiv#l9M^`i zY@FcOuLCEB1DkX{e-@l32j@573>c>hIpneYx_99!T;l}ixfz@y9N47u=W%fA9h^Ub z)9c_IaW{@z9Gq*w$;PRMbpCt*oN@Y(-YzL>qIKlpW44iQX=Q(gz9h`rH zQ;LJ|bUq*R9?Z`UP7OGN4$eElnRjqL4^BRg;?w!_Q*f#soIUTs+~VMz3eLELb2B(A z4$kMmDalLk^AEvkba3{4FOCx&oXz0OIyhUv$vrf^KVJZ+(!u#LIPDJ3E8vVeII#}Q zhYrrI;1nH}-seZakzbLdtBs$5)9c{u_dd*r4$gVt$bEFvY26A=xr6g5a9SOl=fN3v zaQ+F-f`fDNy_gU4)BAh_IJFMWC&B4^8bYq~zo?Zo_ZgmNkoKqemEwnzMV132}@DFvDle-$N^lk(oQJ@P9jpEX z=jUl~nv4^yb@+$yYg6L{xAO*Y79E_e;1nLG{se1%8Js5L1Z({VI0MEB&f#kxz_EmJ zg4;P8oT5VYCzx{+IQ0(BXTa$-P8n(}0}pT8BmVp^aAu4XtaWNP&buF<-k-OFQ(>Io z9DWO&F5?u#1NLW+4`co@PH;OF;K+@7vhb9d5!}xG;1nAtIIb7LX>f4<8=O7|r}QJp zp>cx!xe1)eiRyE(KOY6B)HuQIOn}p1oZxm|2B*)#$@?gLc5q_ghPrWoRh(6FisUjSnFbNhK&=P!yCa_F;4J!?*=EfLH!Ai>wDmII5__a&Wv$_ zW6%8<>IY?A1gFh7!TI?HIFrT+_UDh_L|&iXpPY|l3_3U`gVXHbTnWyg zaf18n)8H%_C)nrbz$x13@VOWJ0te@OaC#k__klC*;7o#(eR6t#BA>vqmVa4+rwN>*Q`7tWFgW!N&MY{+4$cvMs6z+mGH|j_OYhIS!6|oe9tNk? z!FdLpVFzayI13I=)~8X2vGhI{f>Udp;QDz7IQ_;c#iYi^QN7^IIyk=pCwEhNe~$PJ z>d?Wt7@T$o=U#9|9h`50v*h6X1DvAG>3uHxEb7p~c`G=*4o(j^(+z zKQDt*>EImxFzV33IUAf&2j^YjEIBx%;1r#i-scr?>K&XjK8HGVaP9(U+QE4Oob0pG z`}5!6lsh=(1E@m>rxToE2S@HixnP_E{IyRcb(=yvvO-h3I{a^N${d`N9>KLV4$d{; z3^_QR;Oug6z6DOf+39`$6F4;vPW1EmEbQRC6`TnNX8@d42j{2Y#Lh|Y^Il)TXCeou z5}X0!RHHjHtxNZazj_Ba%f`XL57;+-9h~Cw^!_Y>)8ODl2k|-AIAwuaSAsKZoM3-C zz{x!~y+32%R600+0jJ$K!TucgMO-((t4t{H%-aGi# zt#TLU)SCx?AMG@Cc(X-j1`b@4wmssne{CFGN1c&GNP78aaQdyCDBejBwVkZTl%v;U z=K-mHO4V^1A!$>qOO2yeaxY_VQ{-LX$PuBuvEH3J`;DVkPXVd_czUZ}8b__<4# zzk$qyF>&>9Xp53oJPEUo(zcy#S=}o2qpztTYFSS1ZO*tLO;6f43Y~1X`6DN3Grj zWX!Az1Fdc|j#|mRp1n;GxsS6S`3fO&&sIN2?#Jp!ifjOB%Z-G{JyQKva{p65BKJ1+ zBXUP(KO%Qq_9KrFB6kz^bL39Jenjp9>__D8qJCta5V_QadUtD**Iz?_wV*LMdS{oenjpr>PN02MD7ae=g7S~{fOLQ z(~roNeSSpljOj<@u9tp9?r7;p_(Nv_CkVgrT``LM$*0bEJ&X0@} zBKMf{bL9SUeq`<5aBGmZEcbo$bL5_Genjrq=11gSY<@)Uv*t(S9%_E%jN?-gx%Zi$ zBlk7)BUvY;B62@6KS%C0=11f{Vtz#K5#~qa{$GAX?(OAABWWPMRTB5Q~G5m^`1kI0&z zeni&u^dquXryr4ZIQ@vMvFS%-{Y*b1Yh(HmS@+V9$m*1SL{^^kBeJTbA1Qbu5-I

Z#5uGNsn%(xBQG?rvyG!xZw9h@zgoRJiJP$MFpgRc0V(~sTFD?$g~Y4p zjiXk-0aB0STKS-unNfoOZNgr|07O>7$+wneBIcG4A5)IDd6vb-4hS#p-ANG(z4Y?BM^Dd+cc{`GLE+MCm^|l8fhEo zY;^#t+vBL!>w%OXqqPx3_vKh;c-}-JSAeth1#S5#w5(nvUhOoFw)_zwbI++&@UiGK z#!;)k0huzb;N#xSAjz+UhlhMmIcjwpkon`aPixSPGZNC;rhR%f zIAhkb%v$o!LbLI6eS#1flI?gz1B`ZREnU$5g!);Os!E4A+i=Z`+Ehk=yh z=riHZD3Hf}$PAEjbgs0r-df6k0_Rv{B+<_Q0dlnu$$b`M$A`QD$PLI*!s-?vwYAB} zCxA3ulZ^Zth-^|TUSws@MI!fIo6NZt$Tkd_M9UupQi0)+K)wxRFMrrLYV|CTDpQw}6zub#jiXkF z;G2_Ki!@m4GUKS#JwW=+Dp>1F#!)L-*V@|@c@dmx^SKXiCXV!Uyr1-a<)~FVklMZD zRkzlltbOfm%8_-g{fMkt?MGxiYCj_DKl_nCK&z%h`@&A^tdZ2iq8F5->f3=djjGih zU~>=3y}Lb*T0IM-@|$Y)DOl~}IfMUI>tDuEs|{0_6U^%Ufm&}hj#_mADYG8j2CFR6 zdcrtr^*bO%W)(ax4*G#|)apzi5wj}7A6%IqGLBmP3P{tCW@G19JP`ap}kEJ zS?$@6l*6j-E823fKRw3Lc4Yl$e><|avmZI2J{9>L+R6PwdaWa0RE}z038VsZnK%FJ ztBtNbYbCthIBNBAATys=tFyr7JpafzYPHui`pdKq57gRV9JRU>NG-;O=V5Rqyu&zZ zH2`E8!`!oKfmXKZX(q!%28`#d>#?f}Z0^}ZFJ1+x~wNSkM zR}R|I{bAx&){i5RsDqOaP94TZqQ5xvG2^H|mjWsNs#?7P>O2Ow8b_^m0I7CpeaJX! z^$3t0(+WNke$qH837D_Z}Q=fkUPQAnDC2$t4o#6cJHG`uj>xPKWb^^2PARyu4_nopHol^8T%ZE zUuh>MI|%R^lFce?&l|Vx+$nz);;|kRpHqQK$=U1zcn=HB@F zJ9FY|NXik9H$Mx-=ki)>f5ZRzw`WCi@SK_P(DRv*pYFf*M$&)3$Ls4*#_~pH#`_OS zddptQ=c~wtx`t#GcEfi|QTsMoLvj>jM`kCi4~h5x?R#JTSX)C9HGl9LryZPwDM_#3 zy>D;OH6-srI{zi6zO5cw=jY)F188POo-{|>-_gr8@L4jGnNf!S6Zuim1AmG$6~-wI zEK)sPUIrh3U22~aaDoSWSro*?gD4P!TCHmbH)kQdK#Rf zOVa!EdvIEe6YS4nv$&?h!PyMXE(hl-a0)I}e}c8%2TqNH^DS_?9GsWHnQ(B5{{!Dx zI5^GV#E@RER)c*W0H?{pSpsLk!8!UD=u-#hVsP>{BYhS$1%mz$v>jz0W=1v^Y2~h(8X_!N10Q=-^xqPQjbf`_l$ajf3+I zaJn3vKY}yi;2b=U`Ov{R3!GR@dY>D>X>xEr0M3Ae^Hp%>jDvy<==YbvDZWbm!Q>gh z+4DCz25@jr1ZToH!8LXPI5}6VR`9-HCpZ-j&X>Sxb8zIhcq7J{#i7#?h|RxEp`EU4 z();rVa3&m_Lw<{XcW}zViCwE&!TGrxoHpYG=jTh{j2I`loj-~{#tClcu;0O->(cvk z2RLoU32x^}a7K(%h6E$=@okUz^IySPGEQ)fZP(YK`c=W1|j9h|R#)9v6af-~vh9Qp?&^R4Oqc@sFL4o(|5%?{3E;0!uA z3*gKiFs*twGZ2BCUxKab=U%AZd+}RzGQ#g@`Mcw0cQvh_t#%Yd%C=`K+}~dpSO9ZECW1 z;z+DIRekeRty#rqByPD9bEdDJHx=xkg2d!xrjN6E@eD)OZMmI%t|6aG$>-7#K1ZnK znFGxqZ&r_u?wx{cZo<)NJc@OFE~b{{1fwtZ*e+_h8poNgmfNW1YHB$~*(eH;jXY{u z)?oMhJVicFhseh2)|7TOO;gJw)N*ZzmYd1v3d%+iWn<;d=Fhr4SV}GDP|Hi?b8mN8ftkiM9VR1Ih$Huq&&BW z$a5#PTt_XJlh1Mum1_)^QOmj1@-q269Kz>vYB`TuUZIvJL$q8$E$36qtJLy*h?aAx z<#`(26V&oxh|!%zEzeTRW7Kkch?c9U%)zpNGlkiV*c&OFkEq&$;AtLx?;#QOo7jav`<65TfN}%ElyRV~Da* z5+WN5&+}SO<3#sK5YI%-Yj)v&L7HYYYvQbRg$PO`YG*HW> z)N%o}JQ*U-Q`B-lwcJ51FW?-ttDa}6i(1YN(eebf+)FLX z@8tda!Ko10n4^}5spTGOxio~&)70_+wcJT9%eM@!9vq;STdCzbYB>_3<#}p(gj(*U zma9W#V;8kNN-g(M%Z(vg?xB_&spTqac{If6?xvO-sO3s(c_2i~gVb^xwOmgv=ZEOQ zVQRU9T5hD4D?+q9N-cL$%gxksTZooNsO3&-xrth?3(@izwcJfDw@}OdAzB`%mV2n> zR%%&(-QgPDL)3CRwcJ20mxO3J2j7VKX2?zR`=lT-xpT3Pv$^3limZSBIZEd<%jv9R z9-Vbu$Tr?juqH$uwvyHi#U=OQ^;JTwi{hFLk;8V(mwUg!?<45L)NduPSTpDxCSV$PKv85L|omZ6~h$*KA$&b=cFL9MbetssGRlV z>Lo3?^1-i_Lt0CuwGcw9pR^_@hrN`;Hqt5xk;6gOqWQ3Q-;_vWZF{63n`1{SvVIPS zNo$I<`bn#Uw0c8mjgrAPLK^k9e2WWou`_p<9kFS0E^y7Mb?jNfwUr28#A;|8Rc)l%iUT_q}5MxwNPBO6xT?IxK>DOj`o$q z6ju+$ReFv)uE_1F*Lajs4s#FCc659#lh)9A%2~g^vPr9ya+pIoT%tPcEOcw-l2!@D zl|^waP+a99;>stjL5fR$W9X~!SUuH-+#Am0te?XuX$_E8D{0k{)>H_sBGMWqtuE4P zCauX3$JiyL)kOVOPW@GgbosKnxwb-)^>bKCTCJp2MOr1KwHiXJoV3bmpCZ5W^+gd| zq0dAkhbw3OxGG6&khI!JtDeSJ&QWfyYSJ1at#;CCAg#g>TD7EAL0b8wwMsdh4w1up z(yAn_0@8|5T#F&J8cC~&v{vc!@;t@W9^&(IGieo*R)pf(MOu9!v|34Pmb8XQtDACI z6m{2OJ8A8rIck*Vs6LvbYBspFI!P;^;*xa+{9}2VaySve@wB)LLUw$^V(P!9t>aVJpTCE?~C}|CmRy%1mkXCaDt#Q(dP!4A(hoh9k<-^=@ zO_Ej-)%+^OHBWKPmb$g3NvogYYN491r8%nSNVnE3Y4wp-GilY3R$~aQdD0pntya>i zBdv)LS_`CAvQ@JY|D>=f>%bHwwm@T9epl;_l=W+ViL^$j<~yl2ny5C$L)82VY4uPJ z<(?D1(H*Oz9A<^cVWc_r^H&vVMM*1*v?`8Jf7Z`oHfa^p_>zSq{BiA~94>|!U%8~! z^_G;DH`UXAxq|k~c_BWh1pb<<^bLS-swUgF3Y4wm+D`{1Q(CQ?uG1BTLtrpTM458IcT1`|N z_xNBpOwAyIDTuuAs7)s7J zlWdmzMY`&6n6xS=u6&AXmHMmgWVhBRX_b>!9%-$RR(S}mandRytz6PtCas(hT9c$z zLC5p?2Wo!wyMR^dub#`5v;Mp>OhCr(yAw|7-{8`mfSVORfqGW zl}Gc&0_~TlXumvhtXpe=v}BEF-`LrdPjl2NX%!r=R_piI5^0Ul@kS@b)kIp2N4d3D zNK00M@W<6bT5?}WA7^t}2(8H5Qa^uZSf_qW-F;vD^~kGf^&S zb&ysqX_b-IREYgoK513b_$r|B6`}Dp5@Oznl2#3A6_HjBX+=YfCq!K3q}4-lHBwwv6jw=z zxGG7j@2#2*Js;If`^p+RwwXFjt=7+BHEB&z9rjZlc2FHoVvQ-U2iMoCC9N^i>L#rg z(pttETrRD8(yF5zmQW7!D2HPuZmmYr8mG8=D6UqDOV$l@#nnt&O%zu-#Z^dgb)DkY zY9*~~s`)uO&o)li9u%DG)@moMTFPND$MyogPWHw zQe^#_?D%d@04OIkyu)lFK( zA;#A{Y1L2;i>MBBs1BDy)ZqeYtx#=DQEd!UZRDQr?yn`%Y9y^P(u$H+<0)>f71p90 zmQfC)l*9QDb5vwU>gTT!s*O&njV7v%rVw?QOLRU$v)yqulU5UHm6KK>Y2}>d)@miKd>YGg4;tUB6`Lll zwh&{vowV{uYk{<;NUI@)Rwro{P|Yt<9nMf4Mvv3j*Pna4No!=QTIsozPWoKgw08<; z^Wc?=tgqEeTK$y67Mka4X`Uav(5=-^S_7ojN?LWKH6220khChPzY1uM!j9BmnVV~l zS7iMh4wF_DX+=pZi?q5zXpNFq8ENH`)-u)MNTEBfanf3#{u-nH>Zkr%4e{AwlC%m) zYl+7442|Xf5Mz0ov`R@UhvHfyt&a2DIh-Y}P8!Q~G?vS0EH6~MwdP5yg|sS3tC+OP zLuf6KRx|CFD`-9}qB|u^;Ze?S6hhwDk7~0(kdmb0@9i~QzKnJhb5%d zNLpp26(z035L%_AH9>Q4FU`Gev`;Avac;Ssw7My-28yea;;IdC9-xx6hDob~v>HjP z^9}C)swS;+ItIw2V}KQ!dzV5S1Jsh%GR^aoG|vx_R{b&Vxavu3g|wzfYnZe;LufUU zRtx2@l5$u~$86;%y5njltrF78BCQ3AD;lD|T1hLLwB{&>Xe^gg9Trj@c854V944(Cs`+`+njo$E5H&waT5`7(bbZn> zTN};u^)%0yzCo?l-!G4o)+lLpkybNlO^48$B&{graG7#AOF67O&K=h@X)RH0Opw+f zX_bYT=VwVPN@IDMayUyloH*AV*F0$rZ&fQjZ_`1?qK$Mc+Hs{?tzR1pq%}!eeWcY+ zTD@;_Yb}vhKWVj)RxN2wggC}tA+18vTA{gjj^^I{%iM8AT2eoM6_M5|Y0Z;XbqK9& z(psWAoFJ`1(yG729ak=C_0qi2L^WScH9uJ9*2*WXKGJF?ts2sr3ZWGxtvu?l1BM9aj-)MM-O!v}Q?bF@#nLX;qU}A&swW8ec1yyW=V)tzoLe4vMRh;;OjFtyNB1 zG1AH=twpMhhV$H7m82D+I-H?nn^8KpsR?mCKs9M)(Y|t)_FrSP|H?Vm9ak-B^-^s# zQEkY5}G@&iv`Mq*Y8>xujJa;#^8QX~n1xv*~l?BGqA4p*x42q}4|K zRZaaBGIK1Hq8uZ>>P8mIp1q5f*6{wh4%t<_IjW2Dth zS}mlt9O8I>khF4W-k7JkcY@~mxl7$~4U<+bY3(AdNz%%xa%+u}Rt@E_h;o=iIV=q^ zzQ##wiL@q2Yml@G%iVEJlGX}oO_A0xX?2CrnkKCd(yAq`GSXTKF(1y7Ry}FONGqST z214}LJZaUDRtah4kyd*Mtp(ERB&|BqDkrVn5XZesq%}=i1EkeSTH_(+s1?#$AgwXd z>L;zKbKEr_xg&L7IYnCiq}4%My&>YtCaq=Kr%cj5Wr+4Ei<{kX<&xF}#nnr3wNYGE zA>zs>tyR*RCan?D8VxaTL`iFww1!Blo3wJyap$mzv?fWbkF?rJt0hDZOGs;(v?fVw zh_q@$q254W|O2_kcbUdFO;v7*qY2}mFBJG!_X}{bQV!vETT7@*eR_IuCj=oRH z-{`K5YSJnqtyR*RC#~`jTD7FrPFgiIZLjfW>aSYruQKYdmJoH=O? zX$^(Q;UH-hP;D$xZOl+@OjNn+aG11eDXwCQE0^Nx3h}+(C~4J`R*barNh=bfzs52S7n~vG$s5Zu_Hu^%$QA?y%M{$)< zTzMQ! zq%}rbts%6kNh_DMc9GU3X)T4&swJ%|I*y9c@q8A2UY@z!-Cy;jwTrYyNvn^vdTZQT zjil8@TJ@w=L0UO)bZa$})+lLpkybNlO@%n;&`Mg(^qo`%eKs$m&*sq+-Ep;();!hW z2%Wd-rSmpT=eV^xNh^nrqvlC#g0y1iy0yAVYnrqM=$NgOj@f2HTqE5}S_?Gyj?vuP zPjl}=)E!qpY3(AdQPS!ot+5bVgQOLqI-DV`QPSFVj61Gj(#oR#nx)zpquR&{Q5&PA zRZLnDs*PQwRd}8|u5r@pBduoAs-ZgU2=UorlC)Z>zp7~7D4}_yKE%8+OedPKv9E;_82$yT9g1E1$F$DXwXXYvowC)&gk_lU4`C)ktwQgota2 zwEAhDZ=vy3OEte#=8kKHw2CRN2*tIFa=3h)TPyPR)X!goTh&U>IkeG!xt{jRu@L*^ zY|RYqFlA%1I?Pg+wnNA=Sj)j@OAK!|;1l(eQPt^tax zlj7R-Chg_*&k+@oRv&3KlU5CB^@TV-EFrB0(i$VJe$pBXaok%kLqwd#BWBbNvn{wRw##al*9RR-Eq~D zRxWAnBCSc%stTc1Pg?U-ha*&ny;O%SA?mP^wAx9lhO|mat3SjX)l6EAl*2NrjVRSd ztis)2t)$gOhlp#Kv|1^yDvGOw;;IiZmPbjeh-zb%jdWHB(#_6ju?&RS+Vs zNzxjn^P*jJPOq8I>BZc&F-=;F6xTS#H9&FAhnVMQNh?NL*`&2db+~ewJFa=sny1MR zu~?&6zvcXG#<{6sYs=QcO^Y;krfHn+vi-&SEmu>^g}EtOvFz*=WOMPG4OurE+0^nJ z`8-ZO_k{3yl3MN~pWDgjxog}$Pf`!|Q4h9L50;*!EwBH%GMDPGj^@J>%1<8Ur|Al} zRz7J}(7cgP^TsNVuQS|QQPS$Ab9zm*U#{LmTaKS^*<5v`BJ1a{h_t#%tAVsCNo!Yu zTdRb$=4p-^p*gCTa#+6EtyM}|c@)>eKH5$^W3j1>6l8P%YZO^OhvlR-OK}ZRT-_8` zG(=pLq?JWlvoyZOXnalC47q+>)ufe6Iow4#oTMDKhsa?qY3-u8Mk$AVl*5`3IjkqG ze$r|otyNo$(421u)uv}TWXYt52Y8RamSa=1)6tPYXGdD1E;tvu3NA+6>RS_`B# zN&Bxp+JCjv{%c^9JBLf8RYT*eh{jhA#np4ZTWf{1YDue@v~o#nD1=tzuGG)wGnB(Y z%3&AfFgwICKsIURlGZMo=O<~NuYbKehqQaRY+Rdq*Wh6 zD@t0+w6C0`91c+qmo~U_SVUSYq%}oa!=zPQ?A9tFtp(B=BdvbYY7P-sDQQJ$j+&wV z8m0a!zSJF8Iccp@ZA_Ea2x-lS*e_R-RyK{VIm+QU1T6v_kKw49z)gD5tk+kwjYmv03Noyd4Rx@c8kk%4u&5+h) z2(4DqTBNZ&PFe$`)xW_#zS>D^isI_0I_#i2oCr~eoupMxV>yq;@(P`wA3x6>S2t-z zD6SdG;V9*>C&b*_OIlIVS|+Vo(pn5r8~vnJK{?E)9IjFh=R@RhkhJPZtAw=jXzran z-`!usq*YH^G1AH>tz99sMoFuZvaXTLPI4t$NZRbwB zh!o+0i6T+qr>|bCQE2QDIxn#c7XES3daxn!Z@X4@OMQ;i=Tq|>n;`YMgHqKa@37Bk zuOjvZQePzXX;ROj*t2&_eUa3cNPULXqY3pJZfe?&_nYz}k$=AuiQJfR!`52_NZJ~2 z+j^@&V|aKyctcC$9k<;QiOeRn;yswFQDh`F5P!KbHLF3K)EW!oc;D5Y3YR^9GUQdJ zPcUAGv4qcBnMpp!WB*s0*!3IU|BG?OmMty};gn6I$kp+cu`cKIoa;0{`=_)LiBMd+ zw>o`MtrXcbrCN%_hS4kju{?K}BJ0m%`C1#=&grwHHA-52q*ZHL8U?pJLoJU`%e~Zc zNr;x`sO2$gxu06@2+{H=wcJZBw^7S^hq^N#rOc0zRu5^llGY+dir4S!_i_RmPKXws5^|_l~H=58i$c=(mND zh#V_sX2{&c+us0vt28@;51Zh~Cymz;2_GUN=Tt}JN4wzs82|g5+K99ko_p@O`FIBn zfa8B35Ml@C+H0>ZNXI$<%9_Gj`5jI?he1ce9_L!|cP;Zl9B4@!vU&c9Xa1w=jXS)L zE^=N%K4)aEwd41Dqo;MgSSGXrIdU4n!KpacswK$hHLZ$kt$qSHkcjLD`AIzE1Pgrb z!2fde=HK$csd`T&f{(-C?CW&i22Fzc$9l={Yt|k&GLgZr_$PP<8Aytc@noqGlpI zcKm%V7J9~`6tDNhBd8tW$=JCUK6_)QL?GH^?0oTD9Xog8FKN-g$=Epvzi{-%&T0NP z<9|tC+=hqO7g3=8;50v1B_i=>Hwr|X zjMKNDuXXqr{3Y4+Z<6OPS)QdV?s@e=n^(mv|E7J~V||Kwb;}LSr<5f6Q!IXkKCNhzh=cnSCa8h!Eyl54 z_7E8bd;6vF*Q@E}a`0WF>0Q>#e1sz6(#wt54|_*4JMb6#B)xpxl{%U#@E6jYvPmz? z=N+$?Wkw3_<%8d=y)63@-lUiJfvMNaM}xpx(#tDpdRcq$o7RKPJGbA_aQo|bY;U^# z`b00jgdVJl_h2T=tU31&aC()qhU~o%iL|{d-tTM3u|Uf4YOOD%0%IWl30kZQq^(dn;4PfOzZG~RRVQ>4cHPU*heT3+WETlOh8 zVv_NW{T{(zyh$AnU8=K<)GCsdvPm8O;!Qf++=0LR{s>)qx)uHI)mR6R;2O)nS7)0I z+z70s#%is`E(XFhsj+GlyjNpK2XdswN*y&O_48M&p8~Yw-*nbmvijlT@p?THS+IWB zBUlfSULHrYQUQrgXPYiS2o{{gd-_Uyxe~xy{RD7?E4`d^lJ@fR0?{VDeCuV}%YQ=d zWbFAj>E$bGw3lb_7Yj-+uUaoxgCpMiH7-`JZpZUmLHsQXldNh;LA`X zvK{l7m!D{0t%~rw!KuRYTCEBY`6v*nkp%KEkVYT!RUrBA5x;QECPtALfpq$iB_MhC zD2My&cL?g(HCz4f)r>FmIU}m(zGvyLJvZq1Iv85KNq;?W{k4FAk06rtSB>@8OZbbM zlJQlAn)hbWNpP~M9T{JTck1}M7D@B+mQ0j>{{>*L-)|4plJT`-8}esskg+nM%IqyxrAabqS$jN_FRko|DAd{sh5&^0jY2PA5xEzdNHZzl6wCC zka_{B=aKpfde2{V&thhaBk^m5hP1|BRlgUKdI71+#Nb!|1F4VfmU@)b^GSV`V*eSb zkL{LvF{u}lx}25w$G#W!`^0Xkmy&u3sppaUv7|n=Tk3VBUPJ1oq~1#EGrOf;N$TaK zUP$Vfkow$isn?TwEvc80`aPt+Yq!)JNxh!bD@eVU)E9S4y_VFgNj*mD&7{7(Tk18W zUPbC9q<#abukMz5HK|vUdNHZjk$Tp9<41$9YNo9s^$JoiBK0dtJ!iMn8%Vv5)XPb| zi`4UWOTCQLW27#h4g53hMp7@>E%gdgFC+CRsh20zHDnPpwz;Chg7R zd+I`s0-CAY|Jc!B{A%7J-Fv3Fnm6IIUI&}xvtGY}U%Rh&C9@v;{a=hLR;m3JaQ;xE zXwY6>pL2SX)<%Y$k@Ig9*Q*-u+1j!mV{gh)e-w!=LC|0GkJGVDu~y5gQqLmwY*Jq& z^#M|k?UwpHK2G}L+O!KN1AItqlGOi%Z(-t`_(&<+E%hZ*UnccgQa_bquh=d1SyG=P z^>I?4pxCQ+OFcsUo<;q>KPK(SAe`YOdnW=f9b+^ZfO9-FWLwx83-9oORxQ zo1IiW{Ev}{+&@Lm4``keCkw-|BkYYkg38^5Ynvgm|8VPA3q@9p1LV5|2m5%&XH%!PF zA(Mp65He55A|We;WNl9MCzp@{LW&595hCkw`Xj9(q?V8dLYfI_BcziMxoe?cy`PXF zLPiOhAY_`5IYJf)StcZMda8#xgya)aNQm5f+8=2dA(e#G5K>P_6CrZXM88%CA>D+? zooD@4gM^F_GERux9n#;hkALRtuE zC!~vzUP1;4875?mkV!&j2$?5jk&qQavd&1&d@dmcgcK1HBcz;=Dne=rX&|JTkTya( z3F#rEpO7I!MhTf9WSWpULKX;FCM0rZYUXnY$tOfsw)c;w5<iY^DIpbvR1;E1NFyOFgtQaV zMMy6p1B46{GDgTGAv1)`6S7Fi3L#neDDAK3TtW&6DI!GfBIIwUoRBI)Y6)o|q?wR5 zLOKcQA*7#>Awot8nIL4EkU2sY2w5g1f=hb*8OtFgpO8XAN(d<_*tLh1==BBYg& z4nn#K=_6#2kP$-037H~fmXKY9ED^FwNH%^<=kJR=LZXBe6H-b@1tHah)DhB1NDCqD zgme+oOUM8r!-R|xGD*k`A@hVR60$-_);Xz}&n2XQkRn22gp?CfMMy0n4TLlk(nd%p zAw7ij6EZ}|C?OMsOcOFk$O0j9=QV$YN6J%?976I5DI}zXkTOCl38^8Zo{%O&S_$bO zq??dFLIw#LA!MA8DMDrm*+s|_A*+OBpPSkjd4xm>DJG}08A9Y9m;MT0BxHqatSFQq==9hA?1Wr5mHM?10l_Z#J>~A zIrNlo2R04T_eAnNi0>POQ*i*|M>d7HGWOJJvI?;Z&8ZD!HACNVjAo?x6B}i#)VNL` zzc$sXHnp8iEvZ_kcU+fhH9}U?WVJ+A1F8OO8qZB>`SitYiXfcGd+@!?=|_9tAnhSQ z>>XE(h4NO+3+W<1kmbzL+5cW08&(&%(({0 z&rk{o7VT`=a{cz!n_42?m6p+X%Prtc;U`*&mOlsN?EBRUrjc(0@vdP|t7#zKs*;NQ z7m(4GWPc9+-}rr;FVYP_CiY3zDg`o?lZ?~>*>Xg(`c5Fj=HZs+J6f)9+`7ZNdQH{8 z1Wx;@=SE(6B@&P8`#>T)lKuG$kny)ABgZbHKR%hPRSrb%9+k*^HIT0zm&~~Vh~BBw z%X}Y@_MGG>z6WFgktW*t6_5*tliPV2$Su(FdPnlS-+!VK4oyam1ybf~`C{Shm#ozY zL|3f!{J9^ZcZn{FE&b#eG1&d2w<( z!$9(VKK~F%yHEZ1KwcP2w)z*4M}4(%&|e~v2QNzIoCT!ZR|#(cqW7EdVs8hMYx&u7 z!;bCS;j(wduV!ojoc`S8-gyFu-jmB~=S3iT^^u1x0GZ#JoS*&v8i_nrknG_JKo)$l zZvj$bK5yCCvg7(&nlM_^WTB|;k(IJIe&{p z9>9#9Xy;fUy4UlfCOL9AZ0OI|cK->8% zkjb|tbB_40NaPFm3KEHrlv9C3e3eiIq{~;~cLUKYf;{yeAalOiW*CUKUaqRY2qbb% za(^uVne~mY1OAR*l0qvnOB@fR$QM^NkYQg%y&XvHRmoN#0@Ce^bR0;&FRnQty}p+B z_y>B&S5X^*6kDyr|Hu{~oxYYo45ZoT^H+e>Hz((L3W(lq*~{1pkQ={|ocRM+B9RT9 z$;j(~)cAZp8%Vd$pSJ*6@%eKXkQaO{KL8~E?aBT;1*G}TWaPI%I()J3|KE|wQJ?nt z1H`+ZulDI>K)k!sDAE9A(wEiyffQ~|Zs&_Y8ht(ZHz2jG$^IPqPqgeC7jFU5fRa6vQ zvWOa$MHWG$i*8(T%_{Dq!pgeHhIet_xFX`mYSA{{AM;=Y*$PTG|Co9}s=# zxheA$koCd>)PVeP2j}x{q7nV{ZXnl*HvKh_cLQUA}c z+xBoie*@%fQJ#MUvR}0F6F_bjt@Fpf$LZkbaXF`ev3u0=ZL^`6?g>|CCGPW+3~8 z#O?>O{zW{mp8_$ryKBxTq5LM^Pb04evR#yC0?3-3yrwq-IaKDz13<18l0Rm}ik3e+ ziF zO@9gGAKuIPJO`H|-5t!fG|d@6c8E4z55(MftZB+XT7@+B0HHrOntvaNc_mq=T7dw^ z!=e{-0BILieFKoX(7J6v`h{lh1~MY7@y$T?LpPj`-3{beVZ(n0TiOXKID85Fv4;&Cfl zP86JbfzY3m>KlO61bk4@&6x{4KlQq5hL2WfZQT#aT^fxcDb(UKLYuI@P7^hc}|MA#czPqWYz6YDur_Z4(kCSL2_V;t{{9UhyF~x`Zy=xlEU(2%T+_Hpq&geOL7^=hfxI0S z$<_TLAas7vA&WqEh!WlgNkXA8*oQxykOG0ApKz0aCm=S&h0rK&aIG;}g zsR#}K2OztIMLG=RYN1h4Uu*62V4sCmKM%+WBKK(^_lvf8JCKU-x<3tMyO7V!kV%Add+-ufUZpJ47!y4ah!GoVvaTkWV+p;hV2rzhm+(X&zw6|!d^QmCX1%ujLqM(*<8mIzdXf7Fft)DX>zhE9 zgl_y6$T=tlocv%+S&75%yF|Y@3kc0&oy2;8tQP%Z3dj>eVz&TUBRsUP0eMig`=da1 ziIM1}7sApCt$QVqH6m3P5L#Py<;eiiw}qQ_e zKM17dQr2 zNxlk1`ceN1DZ6f%4jkl((V(`*6KE08w>*?bD8`5=%#zlI}! z3#1!u;beF}kSS5-V{pXy%Oce&K;-J&IY15x{TTsrztD|oAcuvVKLdm=(s7#b5D@9x zJOSjXyLg$8J9$OR_utEr)j;HIeV7oT36ntjMG4;rDez{(K6Z2%X0>h!>E^&>Nt=Oi5cr9KvoOw{REI}h2$Ru(t8Kz{5TN$bN&4( zAX9?RsV_mV6nr)Vq4sj+DFC@%Xw-E;zJC?Z>oy>_3qC&v@@t_j$KraK!$Ll1068F9 zxeLgWK;8!AvJHIa=w={0Ld|a^CbPkOSc3wD&L&xs!GFOVK)LFDLm;K+KM+ z*0DSgT5WbT9|W>XNbGY!`a~;#56Cmm=k4`4kk!H~c-G5SwES}`r#TJCA<^ywK=fPT zrl!+CP7!T-E0BFaTul!Exm~oy{{_-5+Tw)Os6~ZxH=O?*$ZF9Cvp~#Fv6jY8Aj8-2 zGJg=rBbzvKE0FU0IdTBVh>+OBKyDP;`=V3P?!pIn9gtQrI&23r2>H00R)DmKapyK5 z4++i>1KBG)&tqPW)eNCgYk*uR?AU98Trb-6jX>z_JnG|G8uLIN6!qEzWRGa&{Xl5# z!j<`#K%Nqu&pi#TBkKNkAa`C)d70L^2FP_n55EoMN->&04rEr;G`f@Z+|$u3Vfmdt zp8@1}A?I!&>z~JIE&_6*km@cVJ4D?d0Mae^tat@R{FAuEUJ7Kr(8Ke9pefj#aR|s) zP&_B+Ss?p`xASfwuRfL2d=kj*Le38YxmQT+m@_~#&!w6IvP*D&Bar(=-Cy>2c!zfZ zH2VdgF9GQnsU8Nh_6AAb7Or9h4s+VUkJJA~wa2;@;wuV=p!eNdF=Odxwd!t0d*@~#(gBnyP@pm3J$ zFM<5(^PJ{WK;#_c?|>W@z2JvH_KBJva}MSXVvIWn$YY`}Zv)aUkX=A_i&5_Yko!d4 z9|tlkIJch*t0lDeLLe83dd&e@E#&haAOM)vx&cT(>gA;IB_K5Ob**y{$g!f#&s_^$ z6)pH0Am@nkOam#3HvIsQR|#+Mb3hJONb*KA{|}IH!TAXwhXm(8dKI)qNG!Up)e4#x zVI^J%WWCUzw*%QHIDd*bi=O*kAbYL$X0ubpVm3=gkgfOr7&L1{EuI8&tC0NjT45nY zyH zKcfv&g*05f+JNYnyo^5o1(24O^-NoeKw5?5F9XtjA?Nc!AjgaHd=bcQp_$(SvLCf@ zt@Dg_$VYg>F9)&<8(NVMs1fIKMd^Yc0}7Zx_* zRX`4wiL+_1=t|cDX#U}&JooE>vy_?r-8<6V-pUZ(fC|dAl zAaCpEsqO``8ye;G=Rbh-2_5^Rb@0PQO>lR%b)<^KSX7a(`X=W{@$ckwU~8L9gekR3w9SN|Die?nIWfmDPZ{uPj4i`=78 zUS9&utdQ72AT7d*uKsgvTU;xT0Xa{|a0bXKQTMxmoFa1nCXl+&-Y0>aE_ze?wJTa) zEVOqMkUfIWr9fsys!stqN96txkh`rt%w}`dnMpL3Xs@4w=Af`z$E?RLa3^n_mjWpY z?d<^awik1n5g?yJ30<3B3}hAZg3}mi%L0&HqVDepvIffIQr!w#zeNavq$n(t96A&uVy*)OctOM6$ed_(lpb|5#2 zws;+o!$M*g06AXRge4&R1n1j<{N!w2o^Ju!F2?1@fZQ%>(cXu(6k$ou2eL-gVi5@K z&^fFAVIX^N|-8F)k}kIVg)Kz0i& z`g$ODiyn75kVC>h+yms>*YMoG45TP>KM3SOp;0Go4ClTP$hAQ)(LVMVko7|6KLzBL z%PB9TEnfgKA}qioK>imNz)9nGKw3n5rOpRu(ck-l>=7-P2hvjJc`X9D4;|U{i}wII zB=lz=kZxgregR~)knzyS9<@@{G*im1xZVE(Xs*1M z*L@L4MYPvPfE*H1Jpg2OE3elhKn@Gb|0Iyrg68=HNF_Y#vw<8h^k)djK3it0&k~S+ zA=UQ*+4_85=Dk4Z&qe#}19JNUZ;S5$8L+G9*(|*>w|N{+6B~tp0-76z);;%i&~VW@ z>w$dh3Z8p3R(1<$E*E|9O+Z!)t=kLa7J>X5ko#?$QhlDW2{u8r#pys+32AHrvP;w= z2V}SCgMSHRJrLJ(KTR}3pC1A8^D}t8o&wS>I3GWVRU;vvE+E$m3podbW|}UqtAMnM z-t-wDa((q5fjo5q*QkeqoO2E@&;J6UKc~Haycyanv?T>(y=eC_AU|2h`Md?lch2R= z^+47LY1|2f;y_$2z7FI+SMyXq1Tuo&?PyLIg2yQIxfRGoqF!S_{*M?3t3dV&OY&hL z6!q#je+9^`qNYCsvR{;WAg1X9D@qJ9sVD16d`?Qv-7D$(-ihKu#1g{4$XBqNe|s5YY#J z4`k|jCim>(WUZJlwLB_D##fA?rXu(Af$R_?(KaBfh1R_t2>rQU`2isRX)P^%`wEa* zk?IFPzJn={Yr&rZIV@W61>;!j7S?7RkR_olV?byh4XPOE;Vh87g68c&zWxQC*9}0n zi`Mx&AT7`3e0~Vz2`e$G#jk*@6#D$4EzoB%GIj!K7pX2L8Zma?2;`6`^Y?(K`WQ@jnE+ExYkcRVD-v#6z z(Sm;uq}6gJ$^QUIpD1C=8_`}u8qpZwb)eZRG%5?^%&U35b^`hAD>-s4kn3;a$bKNl z3hn(Nkn4oSJ}!%%B1+f_Wba2gpCKUn)_T)AIUs99AKVF~PgtaDfjl8NKL~{4nw{${pU>C<+bcMC1G(WEUY>Cv=7tB|UUeX+qjj8xya`A}_yAu4QWrGO$RT&DhtyuL z2C`nHita#d2ThA;owoux08Mc9dM}XU1*o{?FSgRCyrGVTo>}vE}+Yo44g#P4#y#6)37ViNv1{s1f=*!WyubV-0 z2=#L9^+h1tMZfq4ko|MS$LRe1K=zh6@|--@jfMYwI*{9iKQ;j5zt805*#YFFFK}cY z2o=`Zmfb*}xrfv21#-IR%ijd@pC95hj{AUBG(7y@#F(D^!$ zvp&nq^AR9x1fRbHvO|nb4*}^GoSy))S7_9;3$O{IbzTZ2)z9{Td+sLR_bOVf}s!OGApDJ^|3D? z8qqJ7fOHGH@jf6lD{(gS<3J9grp~HA0EFf{PUoKhG9pU&qB2H&kyksAy}}2W1@Z}K zl;iU@AQho4H&H5~V_yZb=M}s>KO{u7;3*YYbs_l_kX>83K5qhYP~=qva*L?Nhk+ar zoWDk?M7uu%nA{VTTRGj@$3%8eIXSLVBn#>XdZmTD&K2?tP*^pv(ZV=eBfr@g3CY-PcMA)%&f&BJQcwYTPBdpdOkVB$g zmjiiB*wveW91yj*6UgQe2cxH9(x z>AQmRGSb)qWS>< zfb0?Vssrg3R_jI}?PApXCm_#1iOcXHkX=?2sARteazJS2^DaY~D_k0{08$aUF$_em zRJK&}+py9)@JY}d*k0kU1#=dS~K zOr-h|kmIl;Mrrjb&98twA*Au*w?T&Zjv+OmIR{9q7*j@oJSZ&ETY$8*tY_tUHxSCh zmGF8X$BS0}Zy==4u3tQV*NT?+iLqcUkoD*AG7k_UG-?|Vxx?}p90M;A?FN`yG1KU<$N`0?i4iN z0J2)x!`}lrEc)qLmt(XNny?ATL7@pXAQzs>Yw<21w`}KaaTAd1gob|=$a$g`_X1gM zC6>)il&kRjP862y|AFRyk^9T9fSg6|9t3itIGb}ZkOQye<@q3x7QyEpAad6B8z6TI zniH;sr4>5X2IM}06oH%}R)nHH+iuY87ux$}Ag97+y596%Ao>qheZ`6Rr}-Vg_)qkv zk^e#}T8neN;156!3LQK7D)cx}=5`=Egpa)i$O4$S^1KPicltRJT_^c4XpZ>^r@0Hr zzX}`mZ6N=Hws4&P3&^!X6JGcZXp7Lgeju~LgN>fD$%AISXs`DI*)2w*X#e^uXm*KS z`3R89gnXX&PS{LQ!qb3!5{=?&u@1-+LgzOFxm}D+vp`zJm~t(U{bEe{E|3#NUjGH8 zU-ZhC?nW;VKFMYvHwuaE0GMAUp}CbqegkAiw8eAZ4UG~dTnA*0 z(2Ww1)#yVIV=y+o8OWfpz1ISHY#q<*(?CuX()cEjTLtpM_n;4oyfQ$#MGMXV*(FvF zt^h*kO5AvH2hoTY{C6Pdoy#TmOCT>mO`RSde>Jp4jHhP-IaS!h^MM=^_UElY4t|aE zxemy@mwr8ZG<+M7y5RhFAP0pV`w)<0 z1?MjVSwF~ge*nmxg7Y!&gJl!?a~6=l|688wwLqQ(jgw*YCb2D`StDBT%|Koz*53aT zNNo-0^C=+D7IVh00BMKDVG=?;l+3X z?g)GY@~aNcXE%`9G9iX$50FE`pT7&p9$}*%210AFuFQ`ESuf=Lzd#<{!h6c|uf-ma zXs@$?>=CwZ7|3Iy-9HWF?w9Z~?;n2a=aKFeh1{wg`aTJ`*r>< zuQfnc*Lizw2Xa`%LR5h479(RcV*KNvStClgAIONXbq^3CY{KI}cA@U1ON;eFNw7S|I(R z-8U1B(2boy$YMK9xC+Sig619|uYM8Ny8i$&D{A_T4NP-)6}|FOAa@I$zZS^) zvv}^e0il_uE6bhKz4{xuOG-KZs9c3Kn@Ez?*Y;(`tsL- z+$}Wo=RmF%G%x-zVxLe`mwP*q?yX$%n}O^X<+&8dbI#zYt^@J|F`9oCNQZx5Wk^ zgF+9l1hPu#*lj>4w$QcCy+Gux0*8R?73F!xjj-6FElvgUzH7KN{tUbC&i7AbSPpyCXFB19?P@=068=NYwq9kHKb&dbI=jf|vn~0C`A^xo-q=uV{-Z zkc&hMUJj&Rv_;g0e;hQch0aIMc0B-^`^qFS;{&YtD@b1GbM*GtF3_AV777YMZ50>(k-m$_kgSx^*RKE)>qx=aLi5c{e-rh3FJY+XBdc#Ihg|TgdM+d`%r1| zV%)qfdiOP;xm{SBuLD^Ub^j?4TDNiK`I9~9??PhH80n3mX$2prW0OGoMbF(0WVNW* z9Y8+2ipww>A#eaRyF|OM_-km3kaH)HkHS53Z7~RBpU6ELlk*nP>=72}Rv=%zg7f(r zklRJ>j{#{#-*MjHu{Wc4Tgg+uIvdF067exQb`g*f(H8FjLjB#zc@K~qh39ZPkVC?X zeh0{If&3iEE@69*|2Swwo4yLj@j_x_Kn7J!O$jG}Z1@DP`&)pR*KPDD{8vC)MNJO^ z`3(Anll)UaUNXRum)`>I6&l_H(h3CLV< zeg%+=L`|b{gMS8^OFDR&$AGL9maPJ0x2XGvft(`p`X!JNF}^?hlPg-DaVqD029SNi z*8MqeDSx30=JuH2>bs%X15mm7)(uTku<;85A;Hu@`m&WpWkn;qee+05h)Z%d<{ladX{25pzk!l@~yjZD-u25|OP42y18WkXP z{>#bvD&j2q;1_|&yYl`G$gC*g?|}@8@nX$qF@kL2y?Z^7y&_fgEW<2lP7t%3w*u)D zGsLKGd>v>`5#G+1fKc>^tJgPyTnG6$B)Zad5HydR&E@kOATJT#&Iz}|Mv0oP19F0> z*H$1SqLs@)b_r?R@RRV1VDA9U4(N|7;oU%13Y~BH8_YOFUw$EwCxo>*6Ug12ycX+$ zoG$ph2?*(`%j@GnXlCb-JAmvFcJ&b;OM>QCKvoN%7QHEYAKI0mIV|dR2aun9 zo=f!r(FiO03m_{|cc@t~V_k7O)qB zFRab8{uc9YA)mECzWF|0ivb`@!k1V8a>5lvW9;EBq7j<#Ng#K9l=Ha<$gGgYPl4HC1}5k2n9Kwb&ebmQRtKsK!A$m2k+6J=9B<$r-3d~+1P z*};Laas6v%axz=Z&lGC)d^Knk&=5M658iMPm>Ddd1)-5iLR0|8adOp>)r9_Q1 zwPAjGI$!O})pLF6%mOlOhH7oVFx9!Zbbh{`U0mudmlg&K6O)RA4>n1Lx)+zm>Tqjk zx(4PdMf@`}vT0G}>;Do>nCcpvpMb+&HQ+kWPc`WEmCe#S4}M>52_3W-@)L zpkg+IBjOM(2&=*5=q7OAyn*BpTNyzVWfv`{LZXDdkqRlqOE|h|jMV5tCwI^)&`UnD zX);1hSPXHf;lP?2(-M%FFQJH$G)!fbjMj8RVdW^pQCsu!jU`Ks!fx@*UN zOh}%8lj|kX^yaH$0BhV^E|%x3fv#zrn2N$NNv!6}BoX!3nOM=IpD@JnhJerS8wmA2 zYA1=h1W+4%8koz?P)`X;9|kQsvfWUq&*dt-APg~t!MHe%vA|mbdIRkkTusj!dau zH3Lxt63YrD)5>TCBoRxPYF}K+ETE@uoG(q*%T=dwz7IATl|!qvNSw-SrcRStsP}6h zc;mt-ltIyCM#fsTH*my>*H)+CE5ex^-|FY#Z1g5SL8^72wmFBF#^D3Ps)TE=htnfV zYGo6bbsGjPCmCZ)V={{nH)NmI>^JA@v*oD<+{3hnIrZG3{337D(M^TKHVrJt5hXO) z4*7*(bvNO2BNnZJBw|?5XTu_eF0wgy2`qx^4o%kn$Woe&hc=l$l4@6ti2P0G(i)lY z-7+yW?PHe|DjDq=hA~ULN!jSxLUC$id2+s{s=N+)V}3eSM*Hh*8xdJ{veTzJdZwmE za7Kzc83_|T&6GqjUIuwg7G0R@lrZjO3WdVvv8*sNXbdgAkzn>AvuiqO!>o@u8a!bp~B8J>%5z^6AE3^UCNKgeyk<2Kk7Aj(NN-?q&v5ZLR zrC`1NM=5=nE}5y2O#;&pT`W^t$=Q&v)wdR~l#aP%e0TCw#xd@~I@xS_vE-K{`x?g} zMfqu~HCEqQ%x^5_;LIqs47b0ZGLCUqf3BvO>24Zg#=gcepgGKFzK(5#y!G>?)d*2o zMj@&WbieW0JS=u8H&FyL?KC!~vJ69oPjx_Mf}>wx97Gg2SE&Vls<#2cG;z$* zZJgO?esaEAD=g%TW_BH_P7--+7VSI>+9JR@=R`SIjcKZM61~kN)Fdf1TyL(VMdEF= z5QSwFs$mKpL7T=NEQ<8fd;p8+aG@ zDR4WRr;f`&xk2OQa&a8X4_<8vQN%JL$GNXsu8iXW3|cbtX33}x%3vrNO{ZiTZlUz`d$hwc$EDwU3-jb)xjkvHUr@$`CO zD(}p_U$E(T>bMM2>ySZDrBd9f#{LcED&hhB+~Y_sYbnLH6jXr%CiHkTJl$KKtCVYa z2o38$b###+m*uCj8HQUTHBcKbSB7#6g&DO=(pRP!4u5UZvBZ|WEZMr@Qj)JFK3f){ z5~kMml_3>!n%S(z-$`Of<5;ItA=dr*!ptld*?c`Po;a3$Yl!`}!W6dU{oLbdEpsW^ zR5v>1KnXiixgv>1O(YU$lgSBeHr5%kJ0lW_62h2Z=CQ4Ssv*1uYm`({g7nXel`t)n zhSa_c`q7b_&!7Rd&A>T+T~M(8Z9{!V?QLn<2Tw9uLDm~Tk%Z!tCuu58JsLf&rt%%> zr2nX}qYH;zx#FmN3{&I<=;6p(Qd^Hy=&NPmDAsKr+lKn|&e!VYxeYTLs`E7yLeh}P z!>$eN5dVZw0PSUW^!N2$Ev|Pe{B!()=Fx^U|r71YD`Klf@ zM#`rBZMLygmSKuExb+w#!w5WhTq3eCgSm-(F}flux%de!+fAXu(0YUBYpi~V9s6#X zgm|d+K*eMn@p+93m_Tha2$wQNGd`Dtzo-$SSaz{)4^05WdB+l4_6R@ZUdPyk#5>36kQ%dh6Aq>}W9`#_EIJ*=zyvSB1LWx*ei8 zDNR55>rxf5R)QcVyQDML9&8Lejr?38L&_lP#?>bzNtDHW z4c863Y{?a3)mOcT)Z=Mk=4wUTDs{{Q#@g+bt9r0bglS6r`J`ED51?Z z)e)+JEx7-SO}HuYonm)!6&zdB5A)^}35-(Yr0c06h1OFnrg6t3nfhrh^C*2=Ul}uf z#CA}-Q4n(41dwV0*LtR~pqZ~?z@TVCSq$Be!qrM09nbi}rZI=4DWOs^wZ};E2xJkd zS}b*Z1_25YTcmwuAEcY^klI zGdr|g24+A$s`N)LH*>z>h>C5pJ>s$l@oGnv-V|c_k~h(E%dR_*qZ^|0FoAPzbybXR zi3Cjb;^?f34Q+}eiJbu`mwMT$j7Uwp#4!l#ZX%sw8Y?ivEt$ZuX;`+9ZpD_ZsmAOx zy3!mQ+E)wfgc~d4KANhjP_o|Ha$yq759$Dkp3%_CS_rmDh^IOy^hSHs{o5e@2a1QCo;rICi*-k~z~ z4lqA3ZZNe2R<95bG(z(LOFK&QT1tR@Z#CXOUA%1JXsol@DUUoAIZu>K!c0tr8M7^s zZ|&qr!siLqQ2BDAL>|KU;-^}BF|VxWNy1vb^e>Vxj%AE2M6!z%YdH+!i(!pTgunWd zkkD9yXhLC0;72GS+5RX1#~=L*T}zXuOjMi))H=*bvC^K+Zrn1|J3cT>Cs-{{lT#Z4 zlObZRuS!RgzQ-_uG0|QT;_YbCtV51H++ql0*p6iz@e5N}MoRo@Vh5Q>GfCYc@+I60 zIDr$LR&M?+6%MyiLuw@V2w8kV8}HbME0CDNX5=ZmiXu}Rl!z#*DkaN|6wJw#WhF?; zh63<{7>dw#Xt*XS1}{u%Sx2Pm-1;!1&@4al+4{BKF9|t{?#&fJxd1L9^(ymuIRj(S zE-M@r2U`&4kl2L<>JC0A1J*7bQzdXd5U#+oYJfG-9zJyx|hA}=bogYt_}ytMMwk@4Fh(dV`~r15b{ztpl3B` zKIBWf$8W(XZyShkT|DIeP6h@k!i)^L&IV%bQ04-ZCt2^(I*>8v_mw?{+i z#X94y96?G~QVb_OrJWn*>-BOe;&nv7@YW6|bmag5oz%_@jb%G%sLW?n3wTNrM{cu-R5*nsSdG*tzx6V={&y&|BT8tq^%S*R z2lc3$ZAQc%LOA$xvlN@3*s_ln4hd|n40m(DCEVtz7~%x>2#~Vu5hsA~p>ioh-K?)r z)yLXZ3q{M-L_(XbM`#^NXg%}w*`a)XO0M}!iFqh3<7lx!jruUYLGWlqR35^3w$7M_ z`9!CNqV#r=C{ohUfC7ozgm!*N?I2NCL^xPJlM{twk~o_xl1QTpL#Z3GfGg~9e(X8K)t%`t_T%AiFDwl>Aad1TYtz@s$T|HA5BghHUA$Vv0}HD7$q7wXKC( z0h_$ZwGeccX-tjVhsUJc{|K>V?@y4X zGn}LON;O}D7Uicl7I0ye?;!0_B)3I~tDz1R#tDIZzGO#2wld<+I|xShCUaS);4B-} z)%_8{MJXIe*f?J->a<1|nj>w*Ix!&KTbP&>EF%m?8|flKHIG;4F!QDP4LKL+o5Q3k~mgWA_{knWh4pW*fcoZrM+QM(*`MQMl_l`0d^Q2+bpEmkjS#b z#71$0x6pjdl38gw;>3~cQxs=sE>+5J&ev)<43rt^tmkGnkDYHOSgNEANG)r+D?=yf z1aCB}9<@kNrk5mAco@;GH+=&-@;I8GCgsX`e(GzJMoKp{BF8zmgdcp0f<3xK|fHAkF}J+cxk zBY9jAQfTSnOO7b}qe!|e+uy=ho}_Wpb9{-p31SErdz!Si8*}xmoisP1u`*2{!~_)g zrkeZH;xn~$mZ_bpraG`#ypX2|!{B<_N#E_Xaa7GQME-hwNz7JJS%zvIKSqd?3%JwO zjCu+3F?3BaZO0Z+|W6u)S=agKtYw`xtReuDrF|ITVF86ZI(EJJvrTOp&bzw zD)R=}G)QE!!&1)X+|odykQq|3v$znsFj>I0s-z?}tb?gbJjb)+t=UY+LasQU&mbNl zxc}wI6PxU5p<*kB+H!SZgu>0>>d<&g;U+3dlWS5#x@MW$KG`7i(6Sbar>8A8oGmf* z5@tzvg5`$Msf4yJG!=4an@iJKy9hHX1(z17bIwvMnFwkbM6J%nkt>Qq`S z6PSm|Z5D}kl6cd3d}H|%GEk)tXf5*u`p$t;lhU??mTiMh-Z6<=M9i$aSz61yQSVH3 z43}K*CDT3XH_Y1kXwZe5=hk!qyM}`cWYpBkT?5%vd14dW>^)`%Zi~yNQ5W?STlNjb zPm@7+zoovD*!^}B)<%MFf{!hfr_LBUSTQKuMioy;VKYLZgSQkYx>661oAYzS6)aIM z(D@nvnA*M(t9!VIH@L&?=(#wTdLT5dVczyYWb;RSY|sFL7QHka_#nPxAhRJzv`!cK z$Rk?$N3uWxXFJA67%bBb5d7iDnJZnP1Hom>j6z*#9KHORQ4FXRSao?dzaHA{nft%H zA%)iQBgv(w6T`v;JtTZ~nlP5236P*#&SBLW^I!vF8ITjleHfr)H*$$tBun}_;9YYT z$)Tf?IlNUypqnPL;lwD$l<1NuR4LqmVcb2cm)vv9aJGIkn4Cz-O7aveBRR6Ks-`k+ zsGF#oCQ`USA;x$t(MUl4LGKhvnl~L|zKAErOTFO|HZ75wIU_-&SRT!*HwG9VRgE|k zq(8=)ARbuHIW}RM#+EzLVEmPJRwv|4mDopB;<%3k@kcH>i{_}jEsR0MHhj1-5_kJPe4no15NmTX>n*@`W(AdoRkXc9^7Y6KX zEjnh5C4KYTYL+*ZRF4M?9P@&4s_E*Ad0cxco2{w%UpyG1?%^q1s%}uq;>HBL5LK&J z=hZ_I*;XCEGf2j(XKlaqzj`r+wO zdV5SA!7n3*To)BW2ukHXSVygC`%G7W@GCBlD51@EQ`5;KQN4Ob?zOC*VI`9M2#Syt z?7O_uByl>Df+a-*FSBGxtZdPmZnbWlV+rmNB1^=q7ZvMiV6*%prBb8$x$;8(X((1Q zs|H0wchus@G}op4^fr^2p5#(RdO(6=B&2FS!z3kdD(@r)%P2;YMmJZEBo4M9er0x& zJd&=^OzNf!kgToo{m~U7nS*m9#%T8A+=y)U{K4T3J%bo_=O?lQDkr&Dg%#rFV-EI0YoqL*6B#rsKbj>Ub_uP4TRRj(z+e+-F%E^gCua4#eZIXMFN z?4g&txr{j`wR`C>hJbE1!LE6adDT#mp_JN1RvRAvPdaWlR5qQ;TQ=^|f-T$~tkBYi zmsFJ4M+ZeIppkTtFxqhL13e$eONT`d_k^JMLM0Qg2F8Ak>JZrnmDauqC=>I~(bV4e z{v-obNbL%SpZ04&W#8!IB2ZhW@|`}(M$NX(JAU2<=I`wZ)~IOcbv^MJA{H;~iF~1M z{P{eVFfctP+AI2mp5PPvA|3QZFGtJw^Q3~rrjE873asaG{7pMi6ieZruZ#U|9;IXT zR?l-qmk#a2bYWiX9~I&nomEp=9DzAJP_LQdWsiH6`Sz(uuc&Y_2lDP%DJqU=l_1 zlDapPcxl3_X$Fi2vaxa^UTN%9LlJYlHv;Wv0VX}IEz4pH#5 zp=`*vu(z;=m4b%y@GCd|36WSvOfBnq8(z3@#X=9*a8g+h&jU4_IK(&h#^gi|z&Xj- z$7e)BS+wNKW)e#2fBl6g2xS!istZpPmPP!_E}~MQWZ~CX5_0f7w!*1pC46tGBvAX` zN#O}XdBX6Z7RW|8WlZ3otpDW>MWc8bQvLvyj@o$R6XNu_iAYcG*xFvCqdpsm5 zO~OMTyhM>^ih1lqWF=+S;1Lgz5{6B?Q_)$Z{nYqv4PF73tkH`ZJehm`fLy`g)eKQo zM1pM6wQPdFYq}LXb_(va8NTP1ej=H#vs6^bir1uU)8C;U) zMsP11Z4UU zg};O<$RNIi0S|fCKbL^1!_#r!f28f_tKxN-MXL##1_Yz>X$dKG;URRpPtxgN_x8GR4O{May<%7to6)rMMSxkH5Sw|>YxT=jukBWx8 z=kWE{ya&K&u`95J{Ou=mcaZo>gT&K&9W^f&=kCq^X}dC7GW+{oD0TNMd48zv@O3hSsEFW&UaI?5RoLbQwMo_ zaYBm7HO9R3s)A}v=T{jEZn}v$O(YUa&_`GtE9+=w(+BU{5L~J63Wwg z)OY!9kAghr0zA`?BX>DAlQ?|iBG;U_{F+Hg@>gQi*>LF%UBFETpHbfCxl@W`R>3Ig>={Dg>JIr{6cZ< zxX-iEZFVA$aPkH>%_ZgB%(Zbz>6^L&E{Q=C*T5y#y_q}R5*ajc(^^9LmvdiQ5}V~* zdX~Va+1ty6L}(5WbKzCgtwz}~PW z64*H52Dfa9YDDkeP!2_Ip)jLPp++vx;;G@e9pPPAoJ&(zS}i9*R2i1LitO<*8_dh1 zDWZ~9?z8v8C{a64LJU8&^d_${Ym^9kTHec@M7o4-;%;neHU+z5iECy;9+8Wac)G+c zz%EZ}ws@WHt|OieI)2hkY4*M&kxirXb<4PUh?k`i+xQEFI2Vrw!E|~q5n5iAnz_@b zK{4Q;#NF#7%7Wf@lsEMVcFMbtxx|H+IEF5|=Hj&mo`c@}Fs&$2%mG;siw28xevR?) zX@0e?d6tPsQMq87W*L7jRfw-?ehm(ZHZCKqgASMy2ctz{V%pNBJfbFa$&a?>7^kCb zFvsbr+R3qL&^&d7Cg9{L=rM7^?f!I76X$KkZ*$_9fr}v`9N-K%4LQS->e^DO*OV!!l<)yG0Q4j2$%~i=63oedbWG{Thesv6R?Z!6Zpi?WS zMxQl~;w}*eeY{9Am&5(|)k0~8mUXqvhR8kid`eELB1B0ltbamiOL(!2p+sDeq3HLX>4X`D5NhhdJGru1LL`y zP=jRJr%CC|HFHOk-W7rtSF1Baq!LBCLW#D;qv}Xkz%(FpEV1AyX(yqi15mRJ8jRlF zjnwR7-DpRWu$gRa*P!7$rf7OjV61?)73d$SG#*qK%$LT?1GvaHbMeI^7n|#%8`0R3 zSwfr;Fr6)oS>kY`+p>E<*kqPpC)}b^eHs(kZ~`UcX6T|!JMz^WUI@5Yx0B`JU{8|J zEuh-mIA7UFn%3UkjZZ4beF#RqAD0^y^Hp4`m{sL&g3Oj7L`yCftv(mZ^R)(S<47HA zm}T5akFCI3xfncI(wi$5hfC~@bF%D-L`m#$lvvH;2FvA2riA3%DxdJY%#MmnXjnl;)1)Lz1576Ca_lP=F~g|ZQ;~~ zU-TZ`$i@*;71vY?dO(l1-?CUF6h+Nu2THX%y($olAJN>xRF)ws=@n4iYOOBLf~ksibm*!)9m+9B7`!pv-aLm98A&iPqIi7Y#j zC#36Y!wX|RBqSp<Hyi*Prq5s03A$@Xq0-7+(6C{TZ%BALySv}|AwZ-E7oriH?Uwzb|5 zHW@koc&ST2#A!Eaq|0T$#QH&`dqO)$&l= z4E%*qZa3$z{66!vnUa_4ZbzQFQ32X8@M~8>y~j2JuaEwblxtj)23g@?Y;7_J-$KjK zUnNG=fL;4IUX{#{HO0%W@W#}pSVFP=^bIn>`d}57b|ybCiJ3=Y$s?qWHM$NpX_1Pl zWo?7;$P`d?c-(j13;blP8~6!aXG{3rsIaax#V}DT@y4s5UA<6^Fj(t$kNajz;2|)9 zTFRk`@;09>3%_n5W~kJQ(`xm+Zi?jQj1pV+6z@-FP#r3=^$LTI9Lq_DoKD)=2BC$5 z$Oo5}Yo0Q!LEjC2_dyY5*t~=jZU;2CZkRKF1k1 zAopfrnozYctE0uyZ|MET^{GzE55<6s3HKkH`9|rw=sV3RO^XPCbtHomBO1N5PAF!S zz4?rZFEwCoWOr>=tRgIT+>RxCb=N zF!Pjsu|e4veHBKc#@VU0@&;uOXdE+IGKgKvhrJk03YdspmH+>PE4+K z+n1HdW~a~0a2t&7-ZtZ&@KYgv{_mDaO!iY$=BZ_tIX;oi&Qs>824%M29g}2x&PC7^ zrDg0B7>2Ugwp%7Wu4WGpL};-X<%k<%JUk%xbbMn)^Gu}o6*9JABZaI^VP6eFGUEfz zw`TK&0W;CCBPI^uceZEk1jJ1Ge6VdM!^9BF)P^j~BA9*nV3Wi#GE)_sm743j+WBYO zyrwZL9GoPyEY3cSd8IdIO2a4zNTVthhKx1NS-tOt#fs1pC1;XQwn&cjkS@xgc8lGd zbIgmX_n2tLs&}93w zBnY{TRAt0&HKqwwCE|OUXe_~rd=g)HlFBvgy~;u?H;hW=i^lQg+e}!LWE3{+(aPe!H4a4C@h?*E&4Z=flWDedi5*I&Fiixyo#H6XPmj=c5g}yY%cX`K^#1d9z!F69HKmN5gUT2>BtP3 z3Vp`K2*Bcm-!_rk-yqN-6ub(d_y;;c>%u5RZXrrf5CrEd_V&FwM=4}+qZgaYednmn z3VAy^5P=seyvH6Nj1a21%$X&N?2s=bAr|mi96(YzJ=@)(;EBX+J;|3>Za;(g^80tz zc@6!ZceF`k=#(GN`8B@_E+pk=-^|{2Gi+kFn|aCoMc}*1jj3aHh#M!2ZvBch{vA_H zQ$r_FW9BNJ=Ja!n_EgVSDvU@dTMv=hb!(=1Jo}`)_IzW|lec#VG%kHq5}dn#KQoS3 zqfN@VbY9VzxoEV42@8zT+QbqEn?bTUFl;Sv--cHyA**FnB6gdlsjSR4iXcv$I~>VY z3KtrfAK{h+=i+7%jrR(8-K_6!k|nMGCnk(v)#u4#+nBHHi;5+rU~BPB=3!T&n_Q1? zwj_~N@utdi1WVb1uYZmjyJ8udmq*tf?dr6wwm+NLHOGe8xCLWgn@!Cv1@k1n8pJIJ ziz-DE#xJ?@gyFTx$hc*UZp4m;Q%2kzn^LcAW~X+Rvh`vuJ5?`N*Qe6him*@t#}@*q zUaaP4h=jgRR_3$Q2ql`Y=4V>&HsEg&voZ`Hg)9lu^wXSQ`B`IfTisgx1Q5CPI3TL57BmzXG)Q2qS z4e#t6&QC4YXRDM!_LBTgm(qTjw54{`li*~ivqi)=5wGkbmZWX6+|-mMiGHoB2a^SX zN|_xW9Gk=n!2}+RZ>iMs^Hb$>Rh`eJT-MUb(d@?2p3RwTW~dK4$oToX-cj=dQU_JO znw_rVNzE)(S+AI{MVv^rK9BWYC5E+~9UW~Q!J4L(tuXq*R`TfOMUt2+I%Wx{mZ!=Ub?JLyVjeZvSS`;5?>vx%Xg}XDvae3IWP=x-MylmH&I<&A zShUj`EO+ZCcIbRZW+JpAY_m?a;vOq=_r=AeV1d|GP#I1V)5~L!zWI>7u%tpg6cq$$ zZ&AXepfp7n!m5>|422@2;OJAaP3X$dg)-2KQ?x9ZnVv>vG7~guCQSdP=#uQ{mZ9+h z=;X*)W=r32b~H0M+?yR77#mj_O2=oBMoUyRW=HUj)o^KSvYOA+dseg>PBRmEm6xJ! z#p(#IXi-(Lcf{*jq`Cw7!#SoXK6CdyvBO@HRUt*)-o@0JxB)fNa-^vaCHLS$(_$Hi z+dBIDdb8P)PHK2nBa@1zAv!=(oxH~7n~1B+S4|Mx_y8U8mo)-&RhYImRho^tqB<)# zJ)dq@y-oKAs*7u1YAz^RY>)G0(yp3lf>lwrLEFXxu3}-I!6eX5yh5TvKXDGAP}h;X zrovV;I(3f@8cwoS%Tw-ID$M*LCi~lDiP~%(&-Ne`W^2!QCfhSIqU6%Ojx`dqIq0oy zbIW!H;?X<2d2 zbL-QaaiVwQ!YDem{yf%3w%>4*HaCs(lN!y}Hst7NCQ597s+vpk4&B<8BvU9N_6IF( zO*?JQW@|KpDD8)?wzacX;!O*~ExLL-txuDnQ-BFsPi+R2;$-{Ahex@ckZD8rDwtEY zK5bW52oL6VmgjLauL?6oXD4a49>P%l>G`(Sz-kYr(B&qVmU0t?g;W~e;p!b6=-tE>4LqHmnHeyto44ys&v&*lNd`s<0#?>J z7%;zpy3tw>ogxW{!B}LEI0A^<aCCmJTY8XZ*FQ31Ad0)E_m1*3LhYdkfFgAphG1b{uMrrx;ph}F>^Uy*wq*1+OS>e_~*|obHd_f0V2c4ia zO}3>{SlXJ&q4B7C>sy&LtYzpJEHC2tKQtEw-BOxW1K5;3AFl%>*0&=mdE$015gIY1 zt4}So5d$*zj-UecFOzd1iPz8Pt2^~flT^+(9t5|?lG8g<(3fGDE*Mf6+J6dKMcFAc zV<0nb9*S4(81A~=+8V+~P=}RN59Z!!kRMvZQIgX?gQw7*{+()N5BSIF|qpIm>?RE1nxb; z0Y>M|l*{(yMl;>Cp05Nh3GcwBamlN+cldW|r;^1x!KZ{jmT(_Gl@dBc#|Pn8GY^z> zdPAW;m#fe{RC*-g;?+04lh$RH>Nq#Z)1vpcW%d6?!sbNJK*loT*^R@!TgF_ApwCK^ zq_v_`4gRW%&e_q@H!~;Nqvm%*P%|^vFHMdVCT8=+Dctr#j#R!@+l>F?urSs}>baTC zW9Rd7pn3GI?f|s~9m0~rM7ey4D*+r@K4%J?1GP%$e0I}FmZ7(S@Krng{LO5`gH4P{!R06GPa;! z;Lsp6fV_V_^z+ydx`G=MG;RdP2Td@gaYGXe z+t;O(OfId3tra;2o68INff9_#a1}Rkv3@o*mQAH}9rY(8KdtUVJzEFP?@{MZHf1g_ z-?);hW}8Q(C0RZ_{ioJ~1FDQKI&tW{s+JtqJ~6;+ZFS0NTTaXheKR|FzN+dm%Z(&W zX68IL(q;uRIS)stTgC*Dnk^K?n4-tY5pAz-bR#2_p=B?XA*qkYB!?P4>}4pMpa-jt zEv3=?v>On{i#241ZZ@A+j?;x$S%8v;S1L^Y9xcON=%)UPZLu&hS6i6G>^+C!8mopp zEt6>qazfT)^_>`?iX$|(LiO8Kk8mSl|b#TQPV3+y1pHOofW%kQIP5X=v{&#J{tsD18m?3CKHoM&p=ZiYMz*>vxgs#?qgAY}fNnmadv z4K*V)JLd|T3j&KOeOK>ne)5tH^M&Hnu)5fc&Mr?PoLCpbc5wcRv2I$|=p;L}hH7%A zwvkq!W_DBzkk;y0T!yO_ET3ZFqlK`*>9;PA6&NOC5dTCOPr@zRaVdCV9xOFGb7?a31QD5JWX!Fw)s~l9 zrLhOGtvsY$DL}``lq6hJGnuJkF-u3ua+4?|Ol<*MnZjukY|O5OYBgt^{I#@|VJ(AN z)R1?!JWXAC@{;Uid2X&y7g>fqB6<~F4;~xJy*8TeM|7v-sYSAotAWKELk&|X?#EY!M)?qc9?EC~DorTnRGEQ;i- zCGw!^v{O%8M%K@g_Q>NOqFul#DY*7cSS>$ZtAr{ z%BNfDe^k>|4XvBai_KQ~sa-;Q(J}keMqqKKFx3H8k?;gWyBL$s+Sh6r1swHaHHWsT zsO#x}`zXCz)~U4GiJ~{@#tW6qh;qr)zrjLx6yxu*^g*jd9wgMQmgb9=c2!Y*OX*d( z7*W$WOa0qkv8egAaXZiX>GGNVKkRbkbJd<=F)}{ItkN4#7vfb*zbd!3)*$dm`kQeB zKI~J2jWn?Ypt_w}=hn(Vn}qq&LZPan?@*t}46a?>gsn$hG>%zBNd@y*X6L1W@x=s? zdd!v!lllecEN0yUwcxO;x#S8mKq+9V*fgQ9yrncjcmHEbr>~Atrd!=)U9OVxw3{@>-Z^MkSzmx^H^i}rIr{>6d~L0 z#GHZmnqbagbK#xBOp}AlM~xPnNl37F=EnUrd3SwfGpy$AuEZDu-|WT&W?HEu*hoeL zxB)-aVKdQlz6{z~|EooZ&#EBZV!bwn_{r>axjJd*;M&pc?H?HIvzs=gP3REjx~_<; z>sviV+v?5|!!2WZ$L3-yX8AY79V&cm^Gn z^60j$VH8`TO3_{%=MI2U-DjdTHV_|dyXFD;*^!c_#zqLR?zWstI&HL4AIUX&E;zmw zJ%w~#$23T9AR$~NSY;3zX-tvufs(Vg(@eao>mP>2{Kw8NQY2uXk8PArRwO=hD%Gt5 z3H>`NAtvNB!x7m@QCJk&pb15ef zbXv0!wuY@N&^m-{W5o*(O@t=9LT2>zHJ05bF>}w+j8cWoT82;}yXe`gH%iR6v5A4j z@H*pSW-K?bn8~PvL)0Tvv^=J|zg+k=OhSTC1B96pd4yq-S)0+_S7xC&=CjdR6}0Z= z;mV$xZ-K68ztpY57(d9R;=#?nI0wBokZ`8KoV+om6O$1f7@2~Sz#*YP7L?HRlE@&W zN^dLPc<+>rjTA;Q3|pD2A(&9DeIjU=92!$3u+{qNMoIHY>J&xF!uFc5^BNpc@*>S| z!_s)cH2At54R{v`I)iIqaQj1=HXLf`3bZYNbDHYB-IbXwT8hTMRy3iWg2A8FvNKN#8>cIWjq=GxA{!ln*SJ;;b^v*BdF1`Sc z!M9UeA1qab7HjngW^ZTEvLn$UQA*i9I}LI25AsA0$iN5NiP=j3z|h!u&rokBOHSs< zWDo>{GGj`ZO7iTK#>j=&bZ{VHxTFUvQ721&;Y0uSQBr@juQwWaS(xP=jp#rpA z1}YI19o(o{pVASM+U-!I*gAAK{Zmc(?9L&d@!16vI)1QeVv4LMbb_LuagcE_olTLR zSe+mr#~3-3O!zox4Vw8w;9?|1651M9b`eRO_0cMW9z`8THBv}j!j*3nReE7?Vl(Po zrVeRQn~763#U}TFi3SNOQ{!z zwb!cGu-#HIN@s?^xFtTC+iy$8KhAeYcuLh7jBEWOej(bY1UUWeB17 zIExXyj$|s+V5tly%`9s!-;D?zd(&P;@`#BZip>F(Q3YPW*0if7XJ<~F>1Xy0yV1d5 zrN=2qQ}5nXm`91lb!E4rYpRSB)T~gel_v{0t831pfg1`!d)U_f=8LPO<-xo~OatOi zQyJNZ`aeIok+ugn)IxJ*Fu#y5YC{FbC)K4r#NHwT-~-#QRz4ylNrD$1ltOQ-urm~K zhfvcLS53n+CIrTG+Q=^D+S^4PQ^D}ChrOR|g(;k)B}WZbD;-z|wWtzAi^NW)eTRx@ z78yCPa^a93?9=MigW#P)%&YmS8B@Yg6LbxfW)UaCPCHXk^-@tE-lm*Hz^MwlGX0sB zwoC`neK@>8M0>u`H6i}ESa>!9h2pzFWQxJsl$gm>M}3E)Mo1ll!JtWXH1e|JLO0Le zOkH$~wWAA}xsO>TR`m`EQf(3kyJ!4J2s#qY=AF=7eU|lyj$QxO<(lnDb+=i;^$<%-%?)A#+C_O%;!@dy^kmNELZ3?fA2D4oA zIn=6{n!?U%Gqg2VEzsJZtV=^DW-3s+5qChlJImWGW(rSv7cJOPXqYGphQ zyKIy)EAqyWP+%|k94)9QbpYcNQEl~7mh&5d839^#gy=TnoPQA7 z#YdbDER2f*$8*a5hz*)=8PJqXE*Q4;k}W1U(3Kh1}^8Hr)d$n)s-BTVI}e`s$k)uzjg5OX?!t<;H{jHV>f07qyTRM+_% zffgBe!UTiRbPsM|u$E8%QGuUvgI53DyDn&5gho-OB-|{;AI>y2Yp~z|_ldD~ zwlg;N$nceY9rrEn+>po46Ff$mUF-cg8nioC7B~gz}fX;e(WMT2Du$X zqiPf-Kv87kfGvsOY4ebk8h zFRs%;v?8{?d|`@g0O`bXX?Y%TzWPP^V19~mjBkN(Hw4bHKBG)ywi*J%W41O}%UE8O zjz0wDnhG_ck(N6p(*I7GlQ~TddEuStjtm|etmHSBa34_#@xgjtsa-Z?Z2}d|`4J|3 z*<)bgd$DDR4x^WxV1VM{K*Ll2G^WnzYI7S4RRoOM+Z*&2zisMx!>CU@g!=F*$;(X zC_{xH4~&oc-QYCcBjYSps>93*jHM%UQ_}~KvUtCJrZk7H5C~Q_aQw1D_86MrGhPCu~-SQvC-R;sLiZ?`g{Sa?>^tPi@FYGY${ybQbxStbn9>_dRc(> zP24Vr+zNu#fC7ahjj^PvuOC4BP!H679V)y0^%}iEL-TU8!3}5I%x(jTnhr_o&nAGj zraqZa%t4Iw%ey-EL}tmy1k1MO&-3yklG*`SEC(3w4z?7kIs7_(P%*oA6kAZVD&9}@=5KT z^uqk~=jpL_rK{v4=*@sep{Hd2pq+NDgPSOhr;=tY=3e)hI7O63tekK!!B^>YYY-f0 zr=~Dk=G|WBf6xjqMlNe)*@bAz_R=9?HfLjVJHOkHi1C<(NTa;|kzVYiDN?nxq;54N z|3L>386F|4OFQ`+a3U#TC*Pex-Uy;>Wot@Up5fgBMY1@#NCI@=P5x}V< z>1`1sYM4r!LxASoJK9a|l8`ZR711Yk$u5R4reDs}4ISR|TNZV5k{bDfi1b;rE4u(@t$PpF|+ zVPm&y#>Y^78|4-@bHU7+Ml?QFSXD$_t+@{L+Pb`HeSexcDdU8IgOVyfGHQG1KI0n2 zUO5#}&Lla1a4@So?cP8qKVx8K0ije!?SksTT81+>u>s7~rA1%4qvQDO-w8T&M*rv}NEQ*x7^=ie9xQS+aF$le-+=Lg!6sOi<=lj0sTlHmw%s z?o`9f_NZlC_CfxB5RFHs%=r7dg8Dp?^4gppX@?+wbrpKXmDZ;vVhbJ996Co{!MS7D zV6)UH+z!vdOYG5pFqv3wZDRb8oMlgjwWZ#aO`5nc2O7a zwjEU7>5!esyRksp+F0{z)<9{ivKKL%;SO#6Z+(n2IPFTOnSzCXG&@bHllG3FFdZr$ z%d-ZIfaMqygXRi$d##cx71P^+fl1~eXK90&y7UAC#-zpVyQ|+-I=Va zWlQ7kAcwAZDP8y6#$XzUwy&W}bGaa5q^qZTNRaGYMtT|cHq-=z%8;=5+5^&JVVVNx&s%PX-V^% zMZ$pRpbokyyJ5vRx@(-o(~g+^&Dn4*_70x#Sit##*W zJr#u45LtV-A(*CpkrmBev1^!L!oP8b%sVTfMJSs#0+%>#bwyn4|mX zD=JCJLgzP3p~4|$Q9p|<5vwVeS|Fx#y3JktWVe=m0pH7iK>~`WqZK^2jqxc+=a!N= zcWRE{s~!{Xmo)0>ZV-yhgk6@?N`JH|?c)hLvF>kNBV&`5%BO-bHk!YS8@t&+-s}Qe zN=JW6%{6Un^$CwS!>d5FKhz6D$>haqZB)NMq@?1yeZw_L+8XlMB9kYZs=Gy07U}32 z?Yij#;v9lPwTG{s!3h=;P2qI6XiyC^4AtzhL>fQzp^1hYUeRkA?IIdQ(Z(91U^!34 zs78)d7@Be~g{Z0!z>u59J1FK1|HJ(p+$gPRqtE}dk8WdZ50@4e4dr|MQ+NhEJzN%z)p z=3yT%06Y2kED!6YNa!^a zjgQ9RKQVlaV3DQPQhXEv>to>kv4M%Dl@-4EE6zQHQO^KJ;#zx|94}r|ntiE}%jf#w z>|SKGx&L?$v*>X^!95XUZR&D?{(>l{%`M0w$E` z6ND67_;3`g+U%A@e(99JNYjL{Y&lyV)>+|4QA@ZX>YRVw`EYRrWQ+NhmMnhnWU2NIStd#&+fLH-x&G%4VbYpA+7E;@3GEYetD`hEcoEtET`JTu=8(y zm;aXnBLnBEWe{3AeXKM+;3y(6SJG`4uhJ*=+DE?fLC9~^P6FwK6KRd+1pufyM(nl4 zLZoTaln-Pjh!h6;Q@QJ|z*4motEX^)r(Jc>v|9%Ff z38{=mIwiYu6+gZlXDDQ>ikd5@0QuHIX!QN3?s3=g2SjVZ<*s|Lg8P;aH0Y^zeoVxK z^_2>N()%j3rnsw~bjV@Z_+mpfz_*Z2Ti_dPuda^?>}>Km>9XF#X;Ea%;01@QQ87#t zf11gv*@J0EiCT0_?nMW_+t!cAw}D^Z*<9ew{6_z3pMF|1#IZrAA_iNB+sz5ErB({! zqc;1BZd1df-oG!!bxoJSKqE?g#Z-#`!lkvqf=h5cI|3-vfCy*L}ef z4@E8(C$FDnapP)trc6B1WHXiCk*BbER{ zxHXg=5Ww+&%In63eqtYL-B42JGS^SFMZ}~ob+RAaQru-sMoDf*7r4iXBV@$Le<2qo zwxc>Qw)IDJ)HlNLR?DFH+`ZzL_&MlvTqW$^DiVEW6ffn1*O44d?K7zJh|*=lJ}gzT zZ&>Z|SGH5eUy~Z}t&HP0{tXJCRK{}UJ+4ya%fIyW7B| zq={~Qh5KZ5?P+SJXe<$>*dTlOJscdCvuwpXFdHVmZvp|ovB|nAqS1>R+vLrrkp8rh zpsw*Z_jl)4x6(UZN*9AO%UwXGJu}G66AJAN#ZZAw&}7rdYrTR5fH~tcEjauSPp^K9 zk_45K;Mu4o_=Idi)51V`ienXdip+|hAjMdgsq!f}uQwTJ!Hk=Hp;kA3Sd>?jlucb5 zjy339;C2g3?Z+#NDmQL)^6^rDk?;>PM*qs}E*S>!yn^nl@44Hkrl+0@<66V$k#-NHR>{KqVc`2V zU)yC+uZg6<)q~DB?uf)nylYT9OyHPQ^h!rK=abOExM262KP~yAOU*%_PW4vEFgK>K z;5?%5sTT14yj?Sfs|HIw_Xd|_lfshV>RR-^^VWau+fxVw4M!8oLpGZHa9h9hwX*yImW4})Uzi7$L4xUhMD^h;YP3#*_ zF|vWc@Bm?hSXHPz)LIdLWNTPOD)1#i07}wSZ!KG67NfNUlCx7BOyvZ# zy9W>i4yIz^omPo&OMeA+ls6 zXd)^x>@DO4C~gKh%GrK6eFK-m_@b-ZZV%?mIsfr7pfWxi){MI1bNa10Y#Q&ScyOOC zlg19Ra%D+i%q9?m=~2HU@X06WON~0zo)V;X=fRj>#LR_c=Nw4kVE}TiR_m$fN)@KvEJ(R%?$X)O$WNKE^SYKmGs0CfHqQ4c6Qk;YzJk0omox+fEpM%gRS2}WoTt;2cxu=hQ|uPH_7-^= zteRG2vib&ddlnj_Y79saALvCx8tY5+>6cS#bVrlsn??g>dzIz9*?v$UWUw)9)f%Y? z+(88>FQ)}5Jsn9vjV-jIENu zDj$pAHeF?9;4%l&jv-QyHQrlJ5k@n;;3lS%U3xH372k@jHWCp#L(nq|!-y&rTdXrx zk=v5P@05+>v+Swb1Myd8X+r2$adF`45OP3b2y)nS7PR?{IKDwzb^xY8+LJXmwC6yB zKd?9F`rWOtvy%{+jNt_yF1X<&Gad4308Bjj@`gbLN@TR4a`kX&YI%%5#`B60B54XP z%I?JQ^Qsd98`1W=UI9vw3+vYJkH5iaP(MLoZF2mhi|1T;=DU-k*}Em)HEa3K3s4Azx>ao3d) z83Y?Z#^uN*Ni4<1x9jU`8@j3xs-Z3RsU#_JQ4@Ts?;GD6bflxxYW=V}|8};zMKS&g zgRSnjf`OLhhZKGlBtwWmTzCW)&BmgB!oDW$I#a-DhOZzm4)E3Fd@14j)2}M>V|}SK z0yzy%z|YEAb94;5jYI0cMGqlv zNegrDr~VJzG%;+%_zbyk3VUKh%vJiY#PPRZmWjV28cE4&z#g0w?N8zMZ5N%=537Tq zSnJ&lijknQIgrWbmB8P}2$LgrLmVInKz3BL0*XSMI)ekNJ+zV7)xijL&s4b(m`THq zH|&T_2wW)Xp@p0BJD8fI8SLMWw(%{FFe66xqaZIS*5?!cr0!BnIY4oP$1E8Q)zU9g zFeuK02R`fuL^nz8v8!%pI)A1iq-E4t9Oy?^+_ok8H=GX_!~Q7kP^q0_6^bKFjX_#b zUU?4q{hM=~pE=^wnirlA<_cW58P?;7G}>&q1xKqK+2b>RYhtraPD2Ux4Q)JVO6#kr2>b zj8{cyp{lxxuPrG^;6!gPKc3uOjN7m1HRNze|ezQ9~u}M@T_PKoc&yT-vc@KB3 zW2*?Ft=>Z#?Bq8XE>&ZA*NA*n@GE_pwZpzx8cAu0vBB?fEiSz*9F$n&t%p#Jtt|Ed z!)|n0Hn8lpRbEYHn2^%+%33MHX*r~h?M9{*An|bWQZZfs7A4Z)CD4F}%~J9Rdyiv2 za-7C08$E#A^7|{%hMhPDIG3Jhv3&66;=fzqaJ|F07t|#qwv3r96)_Dg(s3Ptgu_aF z{0Z@3K0!=Wh%mMVwFXk3n{Ta<(X<>V#BTt(A;= zqq)f<2E0@5nK?#L93@Yx4IT>}RMJFG`~~F##ffcMzRIigt`FEEXV-XjvVJ5GBW<)z zXM8hyY#mDQSTZa|D|4#^cHzqH*Jza%$YP z;$ILlU<`a&-)uKlU!+iRg#m>JG@e?EQ7k;?Z5rZlBpKqF|61R_g{V+-japECJ=TK# zNTZ5kEHSpW=D?r_Ev)(}sHaW(ywWKQ9I#cSG&}@crG{t;j)| z2>BwDFJ?b)N{Ds{?IPK_wa0j!gDW{($OTpd=IyFLqUCAB+HP1eoohw}JKKo%M817O zv_QQ4{1sjK>w8SG-fVB`A=Y)xNxvtMMD3{=lPkPOY|L+f8_|~I$LGI1TfYC1oyf9r z9dSB|+LlOtFB}T$RJgO&(JA~_6Ox)4;(ZizZo+NilblIijFPV%+v@ywyZUqiGXtR& z-xa1rFuswsRTLojcW`)q%P9s}nGh{4SH3}CIscU=QCyXdOKzpv!q9;$FTk&ls+g=J zQD%hvLvuk!PqDFq@&S85;oGzxp!OOgq`-vDxEX8J4N~K1wY+65sPK7S0)lb#oSX`f zGlr4xvM?Lu%gN2{_LfL%$0u;__z$@mzixb2X%Fi`_fzJoBtb53&ajEqCjc953}U^1 zr8Y;>e;Q~lxGqNwfErTgM;!Rgwi+IwMug7`27lm!e!g3O zBp=XeCPXmaPQ;tfmpZ{+Jn{N|JF(i;=WpLuD684rV1CH@{?FBy2YAi~Xk=QZ>Wk~) zMEteEfY8%k(p}l!<4RC$yKy}B=;EsV0@2$g!o=g-u>Bf?JU6LtI;MEa_HXY{$?^%u z;)l^Zvx}1ltH*LX_3w&(a6sAqQlVGeO|B#*$Yzqb=p*QZW=fdn(N4%Q-|fp$ICzO3 z(G7Ab61?CX%@}1DVhH>ICS?dc3P5voIZ7MC@jvo*x{4-tsP?Xlg-WnAEsAE7$bzxi zRNrbdL<7G!@jRDo8zp&h)hbTk-dGhz3T;-`ax@(w<@Zpe;7sLw>j*D~0AeuxvJ2)C z4rK14lm}8Z0QgEe(by$Tyb6gQ!dD8qCKd!ETLu7B>9oqKnme&L2-YS3xP|P3k4r;F z|6LeWq-GR*;R>skgdCpp+UP$n?yfH`;hUb7r<9!AY$+05T4*`ONA{sz9KurxyVUxA ze)x(pk>7)xFnb{g`j2Wd@5Zs>fTgt$7pM+5!&JxC*w=~k&d%dFz$cklfAm}^sf*lp zeV6-;wSbCx*)3jk;}T%7KDvnD{RYqCnQZQv38FG9Zn|3#kRXsPrd%Z9`GwDWS~$e} zFp4gO8|_qnb0$fpJPTK(0R&#Bk~s5vVg(F6=uYg&d41fd;%_fz&Y`syYOWO3Gpw>; zs9>aQz>oYCUQ9R0;Hy-f+pmtP>s(5GC2^qTa!2wmd3&A8eWYcE%hK^-CH0xDVqdaQ z0jC6A3N-viUxEGVk9+3yLfdPmXhVLTkPKoP;wg-W9M)h#K`DWD6Wv)*@&%xCVdd5qY7ib2^TD%;(dLmAH(_ttw zDm&x{&Jg3_V(70W10{T~ z#V)iJ77H12aFyKYxQ1~wZnMIiYh@s6J$SALscPaJn6qtBPeTNS|n<2$Z7cNXrnW2Rb9(`6g~g6l_)_iPKL^C(q&bJ%Rc^j z9pN%QqUK{1Es3$MdvyGz(??J`v2eMJZ77x(#RLRgkG)M zyX&uDG^BJl+bca|?{6=Gw&OSJlM_xPD+HNECPZ`$LX3Z(ktSyypoYGTi&qUB_s1Lfxaq8HKhgKH=V{t5HqFRtI7eRzF`SW;3! zuTEEsg>t5TWLHl2k6AOvu^!(>;q!Hsec?c#3ELm?En)4ZevV? z_bMaEC!OwDj2%LR$%Z$_YEo4Ca1v-hOAHyN_-m68fKI#cDu|+<-Hy5Xa8or<cAW zBU=*p8U9Gp6DiKx+|%Q?tDj!~`0fI&FhW&S$E241IT^I|uSBUC(uNLW{G_YS;}x_0x4!w)1pY^)PU zU;w^}`?|g5YCkB$Fc37Gxo(<&cb3f3E$>;EyFyA}6ONc(K7WD2_fx3I3Y9L-^U=F& zPD!Afhbz;FO6$?*n(0aVOy6cxGfT|CQY@%_SkpXe&{D4YnqaM&zvBeBFH&$$Du@E= z#T!Raa=qE&Z-XH_Q)+xkwTOMJkl57_Q0&yaUf55-*hX0P{W-=dlJfqCD*&a>l>p^- z=6ES+okS;q9{;(y{v3YcvO?0S70c0)(G;!boL!PK&u9;cgi5_N!WY8221J_}(m-yL z$N2xTk?~-+<5$lmVd3kK%kQ;N_?Nn7STzSOggW+<$A*5+;f%xdot#j`)Jzg#Ge7L|)~rD3Zwu6c+zFJp-GY@144!wznX1KNR?PlDQRE>h!Vu^pi4*PG4F`5Ioh7I>88Dh{wdk5RQ-|Mb}Fq_uHk zxTgzK6F!#D>Za040EJ3K!9z~ADG93rFfu_%Y>ilDWuUfrZ;c3>j>jST{F61n4L(4e zN~wtFe45jm-#??R$kcF~DT>u4B%SjqqyNc(Tlylzu${cutY za9Hut`7ZUn_A|lOuj>0EOwk<#9*=5{{W}4z%>^!+n4~~k@sc<$X_(Z4!&G?oB=B71 z_bV5&XH!uX{R?VlLB$|>Pixn5C!Lu1#6Bedz>|R5cM8$Av-+%#enO2G4YTaokF4z_ z!M6`%t)3xNdmJhz!aQ&X2N)b=bZpvCJTRShgF4WADs3*@uc_%J-_2SKwm5EL5U@6UlK{~fCF=a?k9q9Za;z$F#|@Uj55t2+|&^4 zg$Z{SZ2S9?jy-e+^_(dQm%uUBoCby7igK1yI?|AEiyv05kF5oI?JagD^0V3b_E3R5 zR_EcEJWHSWrbiaeQw%v!4KG$i6w1i&K79qLX$-1nq(k#PP*lv~NBA(C&R~fy-+-lu pAjayynn_URSCk>Z;3U4T2^=ci$V}h)s$cwKhsZNz3~q`d_kaITBL4sY diff --git a/fdmdv2/pa_enum/Release/.d b/fdmdv2/pa_enum/Release/.d deleted file mode 100644 index 950b4681..00000000 --- a/fdmdv2/pa_enum/Release/.d +++ /dev/null @@ -1 +0,0 @@ -"" diff --git a/fdmdv2/pa_enum/Release/libportaudio-2.dll b/fdmdv2/pa_enum/Release/libportaudio-2.dll deleted file mode 100644 index 302e66358e2108ad4e402a992d27842b871180f4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 361728 zcmeFadwf*Y)jvFwOkjY)Gs>XRMjhKxgG5a=hgdqRK#wH2tBM2SR;I^&=qC^7U*W^2TMhPJ)< zZ!5Z9;yH?Llo0xvV~ge4Y{P7}uG(SJE}PA(>ahar<4=MnyX{MC^gO$*060JMZ?)al zKH@WTfzNKsKSuUlKLA`3_8EWY1#V~!AaCGx{E(o2{0H6He@(XHrt{`}EATBO1_)~} z{-e#dxA8yg&u?q$Jnv>zuyZUkQ20ayiL641qMZNyQk7PE7D6w|6(amprCGmzTe1JV z|C&Fa&krAmpE@Ex`mc?6Z%}xJmrW?gHk>uIyuka8Dkr=K&ut@7uIQ+8o#$0dZy+Y& zX&ds{-iwb>F3=+Md07~JAmt_;quk9mwA^&PP4(ewjs$0%2N5sTUChT~@|@+o7NAEVsGB`jtOk+y#P{~!FH zd5m(GTqxyY#Ry^`@&bedWX|B9-v;_7Tp|f~S0dPoa@7dWVWS5Bq+FS5M+f`Yi^ft0 z=N(zD7B>D2(1b3U%AS zL>I!xQ9kRB@UkBN|LFgN9GIcMRUg{zF~-{J&b>aeJy2?#>Od@%a+JNgaIBFV=?v^o zZ&lfnxFDr_e2L3mwb|l%tV7!34j+TW*O409;JJ27cMNc^nhD57M$l=@b{UJ^p^xo> zN%|t6Q=jE?=%v2Ixlxqf?TWk>JlR68g~NcOSM5s7NvG3B)vo9bA6|RiH@B>J*`f=G zrj2O&du_J+gx=1;^>L)&kwmXqeLULG8SoHGywc~OdH_>;E6^kk16^WL3@K(Vm5g-U zze3T`8CX7uuB3A?o>&Nkago%L&g6GMSu@)g+MN$1PGTl95@b|4b%!r;1?e0_ z>HgeuXQQ0r6=9Ky=U$)qB@(Bj6*my*7^D#5j|g$M6UoOj*-4xz?>+Z=bk68`9~505 z*%By0(l~;+)&y}5%U)uFxI6=51j?~Wdh3>M8ShiSiK*~St6e57C!o%iKzb$tO)~-I z4FRNR*wT&uQ83<#NyoeOZvAEbg{WgA`-g3~=ysb8u*U0thh7Y6SaNE#&ixpBfqgsq z6Dc=+;L^}~XIXFXeSJ~SKxl&--A~vMrNWXeCEGh_rxHIyAL!ix=0{T`rDwSSFNljF z(;FS3Zl~VpjQeR{Ae4pIcE|JrpI+%JNIZ|S1|q3MbF8E@^(N)iV+4F&quLSa3=T_; zZI0OB4vzG?=(j0)13Nb zhcV5ikJRg3p$++D(ZY%y{ZFMgU%qoQZqSM&-;sMDzvPOt+cy%Gb=)IQ*tSq}^@ zqt@p(>RssL3h1b(Y^ozTA~mtB#t|5!uQzf~YrkIWbAhzI5wxvXzaZ`xn+h6qH{hDN z+mYKGyY{+nBS)`r>047hgKfW#nm8bXX>ORsju zt4+>=A>Faq4C!L zrCq+j`Kr&+s0=gj>g#>Dtt4IYUh4Vg7~)Hx0q4?B@h9~-n*ymqm&49NuIhoO5K>iz ze)g$;E{GO=32n!2(8($ zY72j+MfYZOWR`E3sUfO8(2t$7d^uz3Wcy+J2Q|SrGZ-vAA$-x5z*QFfOv?u0 z54J3Jbh|)t+xy`2K_Tgu2_TChg2%Xg<14Ef$W? zZBxpH{xT^JTyl&CCfX)p)+>F7Akz86?IoR73%5eU^H4uS2I91rv~rZk^Rncz>z_G; z^0*qkM>*+yb!6|!5WL=+;C=#u9phLAegT39z2}X*7Pt@%DKPCq1I&D-FCS$LXV)QT z`$z_E=o7>I_Nh7c0sFpb!8d7enqw9$=m6}C&x8Y_JR-zyA;{h};LeEQ2dqe5vJiC# z^-j0y%>r_CSaZxkoqUT4`xLIBb-sM;I-$-stJ)q`Lp%6?&=wZa;-JkH(IP)Un6}#- z7s$1k_UOp2H=Np|lkHvo?h%`{N2lg=y^%j+b8lzet1e$-?`igT?VnBy_L%I<*a)zV zCe;Vv7b)QRYG7b93z|O@u0Biw+zEOudy@rgya{XDJHjzI4QP+{Xq{bqv<8GZM?BlC zpJ-2zq@+L?PE;8FT@Wr#J7{mJGh0ErSq1(IvC*MP^jnlwB1G(4HalEz>n9Gn82+GllH`ZSSa| zOi7J#@+Qo(8|}?qA3NI{b1;nVohm#zQr^x`bK z@t{uz+bOu5RANCU{ITQ){b0)3Nmk=xcbt+XGDGy&DtoTgo+13u9%V&v1e%uN$h(Ro zLuveqRez8lkJ`}>SuA;fkdKs`L7sf&*gPT07F*sOtUFkb{eJ{sOq_$P$>ygA<*oL9 z5#D5%_hj+X8jU^@*&-j5UW*M>x&!qy85wIfPHEQ7A$dP*K)zKSF+?cmg%@849alb> zW$*9EZ$IUZMtl1;_B$GL+OH8q|BWpDQ8=@0JQY@ruWsNlPS#I>&h4uSDmszn1febn zKMjIoQ%;-x*_z-0={Y*jHkhaCoX9fU-eAqx~=&iV=(g^ij7O6N*s37X4HgNe8Az9ez&Fj253C;!;DK zJ*=xAW5IRyu7A2mbZd`R=Rm+lbOTs}jzx%CtRZdt*xuds@k#BoZMhJY+!kNu6~TCD zLlIa%n{*tFr{2O->8sq<4~+R1#(#%z2i6W1KCM~LAk4oaxXD7O{8g@cH25l^!F2%L zfFY@j?d8#bD7vX0(l4n| z?ZZKy2jm5=Mt*}1^2-3eH}4@B)t=*C@4_7GH2Tb^sX0RdoYex%8S)XHhUEOvQC`hL|~bmI-cOFV`Br_wTeo@9IiA_4Qrva^~DVs=eOc zUXjD*W9IC+JW$4_yfMsX>&xpPYc=SVENt{8)q=!|z8=h>x0h^5t;Za?=i#M)PX8&L zdbBxaHOAuIkhTPF`s{W<=7_XqDAIqU%xJ-3XFJxRx(>V122LHani2e?Ma`gX%=D!e zzFQfuj6Q=@Wo|M8mb&ym;_aX;1ukNn?#atAk_KuBBY)M`qgHkXD+Qmk$Occ4jd}0V z6z!75(@YxzjYr7i5$4k5Y5q|B$KvT{$|O3>!Etoi8zf`doBWMz3l@eB*#l?mn`7G& zk*z_@1%e0k&D;7BcTeILU~qrOfu3|4>z zX`e_MB5k?Ay=Gu<(e=Q&#(@QDhC9^rfiAlij-wHwH*&PF6Q0BxFLTy>XqMk=hP%x$ zVTQZRuwR8z-2j|5VJ5`n`R9CIH28cWp9AtNc(1qc-ocC}W~`aV-{zR)f5pn5!+bw; zB>j>h>BX6J?pX-pLYA)+cs&ZEHi%1y{gPzX5Kx6c_*z|q4GAEd8^GZHdyAyHI1rFtC{zp z89r}@533Mu30$?Nh7W86w%Ou)b6Ju5pJp6m<7ti2}PO&aa`b5IQ=MiOq^01c^(Yk^KYeV(az61A*d11V#Gt_5#R`Y3|-FJFa(@q_HpI#GaOG zXN%9dW7O-1c8nfC{_9W*Eo#H&M#JBnN*nU?E3hG zu7MMxu3UZd_#A!YwcVUiIgL8t-7a{i67M!fJ$E2Yf41vQ$GB(pZN$6H#M|gF>VWr) zRQQqLtrNV5fp?7l?0C2C?9H>~oqPRs%yk>NCj-9R=f$=f`l5c>?tHj3M%d>?5WUOi z#!lwgE&NL>?Ma&p(G`dSV z%X$}UsZ(R)dX0kHjceUy&nJ2f$-l(}4W05jdSoPOG_(F=MPi zbKIsoeCax`nY;AOcph`n4s7zy%ftd^Yz-@6Vkvu_OK)T$rm#3AKh|+095C>)!wUv0 z-IF<}KGq8ZMIqD2`VfO!xNO*6vY6QfekI30365IhY`Mt=Ez$r>iSlw{Bry>!4CnufFm;ID1Z4b_K6kgTG+!%N3@b$i|1Lb1f2!k zXQFY(&f|fS*GjghD)b9N@X*qM5%Bxm_JjH@9z5r(=X^fr+y8DKO&Do`UNLc&uRsfL z1Z~DxGF9IeceImK*@tobH>0`2KlXv=7eaVvaH(-FOAD(F&x7d3zZg?J*v4}kQ{80< zwCMe)Y21TP%cyEjsds~CRV;N# zZx97JD@PNyZ-fb(JP-U}$+tUBC$`w652frjl+{*tVzzG~**Yd$}0hP7t;JTr8Y8O<>)uW`ToBC&o40v8#hsRofP zOTJ=UB(`c;S))@98U$S_55{%R^0I53fsy{Q&G2{gzz8gHcaZO?1IkzT@*o1n?9x5} zh5~W9rxMCtgMJ7H;Yb?T-1<}t^WYVd+NUFFqF4bfT8(((dxvq@AkM6x)9n;0JRSNB z8+OUrmp>wm3a9B^I0K~%z6_^2aIQGvfwMvb?!Xx_am7O$ywS=v9Op`4iH}uXqmf(jELso`D~p1Fuz6;oZ9GIjk5^6SwlW!me{@WqDcH2pah7RRu%O95rc$bGr@*(_mP^T>vuqR;V zZbij20GLj)Y6tZ=c>*3epYPa@8c7G9Iu0^+7$tyb08rP0q~x>sfliY-$=4;XgElg` zUBzjKlA9zhI_0(;3V%kWyrxQ>NDVp-$4*9O^a*}MmOg=A3Z13pNRVB7^9U| z|7hZ5_hL^jadU{t#{HS?;aGokdyco-bDE-U(XbBob9aV9!|&m^qEZL>@CEASXV(ku zc1PilqIsUghL30jTJy7TaKhp;99R-^lNYIj$|f!{?)Bj$oXuG0yNkh-zIzxv0@6&q{ z--RiKnTmvwLw#S45Tp|VPEk1!_+Uv96dDyc@Z>?P7z$nrtw(eMka(du-$bKYknA^R zIuKMEGo1)(jLY4|b##&HaGt2an3<2n#%L{yHGnoukU)qV+2V)m9$$KTVQ9l5G01Wb z?0z+Dq+(c6d!Bq+wwvq#a~@`JuWucLbv}+hePQ2|3>thBz_sTQjfZ_~75@;WhbO!X z`&O9I4ExGxSC}AP$2F)%b?Axp!oqYv9V})-6pUBTsl-ZBG zfCTb$J3^E;;rJCxD-}y?GAykFV;U4o8^KaPE$(X8yi15hZj<8d9L3qW8P3iFX9J3} zt(f*Sp#}*BNm~(sx9n^$9);doZ04B6V%WuCF#$uc8z5x*gdTB7FZi%>b-ZzsG1?FR zU!UsG$1<{P1DP+qgF}~BgPF0C*HSBC7iDnohwdOwe=YSQ;^?l>hBkDP$n!cS&rgax zqpwy26mU-fk5-aLi5Z_@z(CVs*tM|GKFe1LifVLB6dpvTQCDR63sFRv1!-O3Hq94uow7GuA2*+fdpullR>61t7R0jV6+3>>MVU=`0 z?bR=ER#M4B>d#z%v4)HDYGmF51S3k~5l8L!$J}gyK3kU=Q!$L?HDRh!6G~uvdBo20T^ge+fGs=iLg6`O zky?!qD?CshEHJsvx|T@(-_-RSftNetCzyCm9SC*iLr&BhP)6)o?i8zB@B7rT3_bbj zexwZ5ti zExw~yviV+kgdBWc*&FeEZO3#MXkuWEb}k8P62p9uj|iYY-!Nr z;&+z=lm~p{=;Ai2=sCHJDpJhT855A}fajH-S(J-I$4DvG*3R^5YiBs@Gi(*1jn1;` z3Kn+g`$2XwjcD{NtW-DdFgV8b*?PMA@^|>L=Iu6#Sk2NQ z8OAvu4|BXjOY2C=b;8Fc<3>NZT1mnx%0WUgSqVq14P^yQk;$(b{gom7DiX34hg{&N zQ}ND0-huL&mEcz~uoY=*t0!n{s~q+!lV7DKzly-G8hgN3ISyUUZihx*$Hp2X$-=!D zm5usRtk+#S*a$3RtW&h5(YK}Wk({_{08$*rFrux8Zw71|fz)9iHYK#lS$1pb!X7{^ zwbQ@Fu4rgOi}HoGCxe*O#UPJ0Xsj)HDV{{{rLTIW2g|j!FH_dAIowI%VgCF%#*Iz( zm-M3L{#;~XdbeA8zkW{A2M~}>vyyS6hr)L@An6-{rkH3-wgZj`D+c9Zgm+_uF~8Bi zQ7^iCaxVB)_N>6009tE|`bJ={L0!3!mnGhjGr*n_-lU*FcT=Fj&IyF4WSJWo4)F(y zu?t>QRrdXYg}ajVs3kZ9?Dmaxt+G^xOMJ#~aI>bQ)3^~*{yxh##2wn&m+YQ6XR;rF zmRc0EB~Jh-O44pTAW?LA2!8>68(%%89 z^xw%wMb|l`^JzQ_--Q1~uYh0V7C5z!oX?Q-HdRvCZOx=8x2774BRC_6(SW5-z$*V|z1q5ajN{}Y$vgE@+ zXPKwuG}Kq~MrA7-kW*(e2OV9BS|W?lm}wIy&?e3Tz;a^@3i!!j2uXR_R&6==R`r+1 z2Ylt@phQLm4pM7joFIcHH=stXGLywdMFB~Mx`4KKwY3Qx?W#ozPi7Qhh?onxsT1x} z)&Ie@2wFYbM5W_Xs9!FT3!21IWIFUBF^Mf16^F1)aoC4fgyLcm9~X;QbR_NN_Pu(= ztcjQ|XkqpeI==?{=ata+hzEWraMoD*X-vb+%BRRq*#D-jMJ*6gY}6Nkf+E<3)2Wq8 zhfwy`M*BA1bvt#k7#NCz?-~`2s89>0mP*f$0tWCC%(D7VmUTqNp*~;5NbHJN%mQ7u zl_S@-MU<~k(Ztv*lS>^ zOuH)0F-eR@eT$Kc{Zn)w%uX#vr5ZIV?U?MwF0NiN7Y_eav}l41O@Z?vbN-U|VrY%i z5czUIz6S00CM8W(l%^YDzab~(kd5-thFM~}fv6CDJYj(~Mi-ic?N8W_dXDLk6G|!v z84THnjWOfaBgnnAiE<6GzZ}$n1R2vXe;aQDoL&g?sNGsIVa**K&Qx(Dp z0}3BED&~$SfQq@OUx=}$d>r&hi_}5mlb1r6V#YM;@GIlu+S)@PLtDEISfHIWCbAiq zY*z9)ttt5})B;2iPh~G^^|(7d+je-8?`@sie<^W5iShm(jxIFwzhk~cJi9qpmngdZTSb&zI zbRD_M!LG=t1M+%|4`7RZOjX(3(uKc~R;#wMJ){F{F4k{n>&5sLWBF#`T(}M=8IqXI zlE?t~7}`)PGGqLTYYoDOziZ2x12RlrL_Q?LHT`enKGN3SRF0NwYcrh5*pGcE1bM;5 zi*dgq-;=kZ!;tHI3;Ki5(FvcJCle4Pe(_6;cdGA{Ui*!Ci;UJ*`==y<2Jd(}UA7u< zp&ScPV@Ux!38GGnfN#@6E6DC!IhI#OACa!*NG0ktk2++W4@Kv#KQB1wH6A2ekWytl z%uY9MErm@x2a5Sc{iJ};8(&T!{0jl316V4{7WurvUmEqZupTkK>|HIi1Gz>;t1*93 zw7i%raL*w@-!tw75b9+|?O}mO+L#KZFN=%dd5!snkpsqjpBDZ|B4O3ci_bsg?`J>By{7K3i$|DbLbP&ZqR z@t~esKc0~AjML*7E}qq=%|Zjc<6tE0i!kx{n|8Yoom%#{KoEVhjIM&&C)#Zh_7Hl; zGC~|Tz^`%h7o{F%{E09d1z|=PdbQ;m;Gpq?jgD~q(O*DYb8l^c7lh10%yH1!KJ>Cp zHYilrhJnU!(1(fiBS#JGk@p$BwE#W(WekjPa?yA%_R~AzB^vV^?0=`rl8c6w4Zwqh zi-ei0QQ;>P?h7knKvfJ1Syg3V7+SSr&QU{wQO{*!uKTKm1Wt-^9bCpZ+?$0Y>cct8 zje@Mz9ythPPfg76HFP*9Fj1d2H+eUhYK^&fFm8@`$s~C{zhSTu;fY|MQPGtACc=2d zTr|m+yb4vod!=VZ=ng+@SS8l_W^sWOy*wA{IuH9~a~uJRM}We)66y-Ka^-S_NaK8H z+74WQ_KP zfAQs1M*a~)0G%DLrtw8k%hY&v9RpnC)|USgMj>9EZxb?Rsa$C)2)^?mDp>@JhG8*P z#{%Z;z2b_m{1@`|>ItArPW4xt$!|+C2hcg9H*`bPB(s6FFMI`JS(ze58&!Ncy*55xi0t2@BbI7+b!v}x>ebjWfM8vhudT&_PRu(Ju^JGw zUaxK<%irO2vl?E27Ad0HLv6&{Id@0A4#%N%wu4oKS*-I2>wv{k$5vf<8C@_O+lJ=vqp)AN+trl^qz+ z6(}h3a2yfB8HPbynS(frF8~28EGon-^0mNB5rY0)YBOIA+%XQhA~6$A=I7|IU^doLhNCPC-Ca?5wKcb3$eLVz8U4pZpdgQ3toqZ8}0hz@;n zYqv330y%dIl8ic>M+>-(HnuB{|1iGT;UKekFglA__K{%Lb~K0QO6ANd!1~H27c4l% zxT6jAqK+;gE7aArp$d+)pq@t^QrP<|o4dxjDq zeE-pp6>m=*nkRe@nt5Y~<_X`gM&4)heFz(l;Ja@qG!eg1CZ;oo<_X{T{K(?Fr8iFf zHqHaEHv`>KQKbW-KU|iD{!q_{>d%Csz_+qfe+=M5^any;ST?zI!6^{%3%dGqb8jOc zos;<@eNcxghQiuSSk-_<5w0AXH+mHE&JjGy7v$wfF36X|UB23=D#e&wI{zvlD8>56 zdhPaQKxjnh%|OwvKA0*I{MZeiYHGjHb5yh`SM3waq^)c|`_SGwRFqg|-o z66EwyhAgrX-ZqbHi2L+2*nP|X%oz$+csJ5SdBf1WyNUAsJLT-0rFStDMc-%X-qC#~ z)9EAojK=^$pEVU0ygvqPvSGo{Jn6Fqg7^QUKD&J=LDFY$tyIM7L-VB1wwQVCL-VB1 z{(!vyWuM(W6s+)Writ<=L-VB1zKT4YvB%r2AgdgJJbGPAUz<3?HM8LRy0~-c*jeQ3 z*|OuDzEg~vx!H-YZ&9mrsF<(U&5QdNq0epcHg-M#LUqGPQYXNZpS~ySMQTTI4KmFp3Rmpwp|*VOnFkqMB`HW%#iEZ04}MST%C=$? zbSu5v;{n*TL+GmlEKIDx&9!mE#|w%S$p)AFa?9d+_G1|<5y66jxnte zlXLZUC$7rCuHtln4{V=M(urYeer5^27z0G9zEe->8)GnvT;JsSR4Ixcu|9=GU@^@& zqjOaerhQ!HEXB&UwLS%(FNEde1olHe1~sLCHpdvJwrwVWLT-6X(ALK73FhebafkK# zR(&93E@;wEaw>IvtK_{w`k3zcEm&R*?0kctTb)6387Cq^_9;YC(Q{m0!ytm?GugEy zCyMM_fvr#n1TLguwK{t(?E#Q=1R2Ucn7kFW5`90Cy?(OyVM-m?%f(=@mmAKCy$c7) zA7n4&=1Bf3mbzfphVJ`bRhh+K@Jwhnrj#C&zwDI>hERDVi|vPXNgw2L3_O&ZG`x4G?s9c_X1gG;5x6I{&X0*I=A6#jG4gj5*OC(W2S_$i z4?(eBSBRiguPZX|%JJZvwbw)>0vA|Z<}Mpb1DOXG`RcrVb%lM6#d2l^G$|hwXM>01 z%ni>q@%{$GBc6Gd2=goGIoEkeVLrx(FB|h52mB-L!6w5QI`nDaJ6J_ETz5|VfothZ z!;ccd3t=HA8dDtyCZp6>4IH-kG*Dq&TVOas6KmW{i$l1KBnRkut{lD}>5?<%W}K(F zze##{A13vBtb=F9Q!lHt+o`{2&QDwCs7a?4x5CU^Tt+33eIhzA@xWTkuJh^MKf(;p zGUwD+T%_jI;Ln6)bDU!h{WVUxJL_2bZmj>QQ+pQdDCXM8$U}yXHIy~5)eQ3h$vk>z zjrW;z&0%!_blnjLK)Gld{w?f?(dsbfIt_Q|Fm8Uda9E#KV0eBs@fMeM=Quu+!CK_| z9K&#Y8QUXHh+N|4^)jF|@x<%`f)SNzRL5jznHM!qW&L{e!foiuMjVXS?tGbL7vyuN z^Gl32_-0YnEU;fvVCNhR%UMt!9o8bxq96*m5hM;@2s$>A_%>F*OC3dCAqSHq+@W=8 z;Uy}E#tI3}PKN)KvAu6DcIr_t`4{m#xQL617tex&sTw) zw8Z^h{~TPpM6PeM87XYPUM^o2FH&JqK!t@ZD)h}!p|=$k(5QkU73LSJ(Bo5~+p9uX zfeM{r6*}%k7_BJMA!tp9rN4^HRaVgi6&9AN&{wQNZ&;!Hk5G}{um>L#VrCJB81x^mN zx?!Tvq|m2+H*tw;$z93_|I)I}hTn~fccgwr+fBJig<{Yy2UY z=Syt1y0~CwUMft!@@{78RpDX0Z3U{aX0jJ*YtAEUamM8(qm8r~?x;E@;6yk9J`zoA zdb%4I_-Ubo6X8PAWiB5#0OL}K`-H3{jX`dWVdUd^{1YDhYVBWUoXbitEIm$NY}5Bz zUUJM>#pXk*hNVNLh!LV5VlDlJ)Qj*jLq5bug}nF;{4UDV1!&zZcva_>C|V3FS2{ja zh|DjoDqn@hF5qwdt z=cfk`L7-Re1mCr_UG{kLC1ua;FDM>qD|?V27w*6x@=@>?THMtlZ!`a=_=(xELY@2? z3?cfLzNos(qjy6v=dj~@-$$&5F@&{dSZ9U}W+!qr#IjuU^p%=NgI!d?87toZ=~s;^4Jq)`Z!~iWZ+<704;^< zu<}ZT(HkdlVefBGrPGPlA^}nty%nl4&tx=2frm4Az98`vjB# zO_kHaa)%V&0eXf9@VLo3OQ|8W3=T2cU%={7b=L<;`Oya7B7`0!wIK&lUP5a{=+f3c z!p~mFQsgQTSGCNC&gRftoSt43+U@1=_Gi`vkq^90B3n@j|I}-ug-}_YFhVs*g*4=b zY7xPH)KIF-&8iBt)$1Hf111%0b~hIP#ef3A<|Bh(?5h`14g-!MOAI3$m7|;XEyRw zEW@Cx^k`?`;v=hnH8rIAq9d#S82QbysE}%P?kQNO(YyLWjUd17Rfn&!@8^tWIyUk{ zKB+$c3)?_bg+@Q{M0TU)c!%j=FWMs;^5IVe4B3)=4;5p^BeX#1;W=2uNnt=o3+Mdw z;1gs(ndE68s-7CYQFQV;ai87nLm=q|}8R_hW>ChtF zob2ntmAe&)jDLc?thH1AF~2T<4K{Fx(S#?ePV#mXiNRYd-q9kyZH*-l8HufhT;S#z z40Q`a55jo}X~5?qbQy*cuD|2KX&6L?n6l?yQ%Y9@2|LiXD_csj((?x;4@!yk0kDI> z^muYS_B3gq$sG|~Y5_YupZTH_mU`h-I3>cA-9;k1>wIl0$q6xT9U{K9d`&&XH+h!A z4MuN;f5~xc9uWuu90^RJdvbE zttP`Tsy!r+lw>T|S|j~fIUMak5td0$_rd?b01P`ij)zf1Er=iz3^9+ck)Yga_9w(j;Sop(e=w1{A6Fey7CLYWJT!=ec7l;*p~+pymG3=hVZK`;9ts0A{vp<8#^~1gIf0M$=GDSe z+hNQ_PS5B%<+Yv17cicuUcgucZ>?x2_7D;BrPW@2uP&{kMJ{_87xGrIjrvwRmzJ#` zd?qQsE0k|QXyF1*E;61|ax@;BV~Nv2DcjhOISAU$IW6f-41b(G>P8&hhQVwCV;IbS zk1)%(%W&X6Nxuib{1cbVYF|`2E1>0P3&eXXH%nQ1M9#662tujiTjblIFROrzVJMi- zf(ShfVF`HRlNN!l94vw;Da^qTkmiu34tsMIj@7Wmp9Nm}8blIokYs?V1oQ#ptEkz=NPtn!A-18xvixWO!%qN1Z2(KCaLEuBA#{=HhMK;R z?I8Y2OR4^c;5hBTX>0R*46G9Ofqumtst{ETfDN_VFhK#rtME4;dswAL3sTv0#QG&e*mqRVgUY@BsVytH4rKhKDokZt&9OFk@eZ%Dzi5bcAulINt7KfzDQ z0rUL3wN^RU5$D}3LLrihDJ$jVODf44*IanK($ZwC_gboESqITPCuXwbHUBab;4x-d zCq`1yd&#UsF^Go+s1PE_q^z=h*;N68rC0rK3d~k!BmWG51EdF$U#%okw0_Q_}euR5cB0tK9d;gWE)Uuztze>PS$G z)k{QiZj9h6_x~ENmNBL|qaH37;b7HE0(EOH-e2LS5c6G9SdwGvrT7?7dYY?)tTfMe zu6JWEoFD0_cXhp)o2hN`gOw8R-z2U8+ez)?rUEKNwl8TY*$(v+$f@(Aa~=9zkMRSK zzDF91W=?Y(wa4e{HTh|j3au{)bryi~{E5??i%&+TeVb9D7aQNfZNFfbc~vO&EIEp< zSq7w689<5a?L)XLBT|NUED^6P^pMbOK1MpIL(p_K722NIcDK?k4u0skWE^1(>zF)s z2zT>+Jj#3bdQcwqoL+>{d)10GR;@8|%%+{(_kHrhh5NjxEjX99=Ps5bnV`83ne`!f zIgY@&3ohu(Yl0)RYy%;xZ$BmazlWJa-aI7BwXw&?<^a)j%ot^Fg)NA~F;v1T?;GGZp46H{5k+ww?u!C(SZ?y+jUjau(&tgZ z7-p>SQR|E=vH5Tt-qnP6G(pZ5?YP|C`=svy6lq2YhJV4QfmZl_jactP66}0Z*5>iV zY?OKs0gALCkk>^`9FEn?Td;b0ro7Hb?GJDT^Ngoti9NAw1F3@pDep<2_+8hMm!Fai zpk1;+*=y9f4y?Cpkp;t~OIiV#=b_$oXyJ*Z+3(TAvb~b}GI`a5MUFO>!5?}?iX&Xa z=aTpEVvbr=;)S0RSotn_#DeJ%2CPu2-{8_v09mYJe8Y)mani_zc{6dxH~wP=(fA01 zPC>icZovxeXh?AYFaFW)`~+fXk;VmEEzG;mV44=8z>+UGs>?!(H(_q&8#QiPjt7`r z;|8r^Vj!E&M`gvP)ksQJS#04fyy#SPm*QWN3tr$JDdS((Y7wGrIsZ@@ZUq2>w>U~& zkXcPway&>T&N3{)Xpz$Z4Esp4z&f>$bXFcJJA4l!A@S#vfmJpany?D=7}GH1?!@qV zmv0W49riULh;+(QPB;#;i5w;&!HHZ-Yp9dATX;)1@hYcncL_3m72Q*FW5^M$kZq=C ze+RXPw0{lQ`0X%M11a=S6KDShBZs+77goXne)E(c07}PC9#a0`15#cTep(&a90L@S zY`T1dbjtn}H4-cyrP=7vGArfm2v$uU|C%aT9pmsezn-J6JH0yOSq2ql-y@{bAZ0cQ?f_pT%BWs_&T;M(_0fyb16J z7fIr>14IFhhOy@Y%>bu|g9rFbs1`P8qzy`vzWJxx+PkC#npuDptUpG}bMU}gvPDya zvqIPbhxpB#l`^Kd>oe{&83p6)9wjD3<j`2ZzX04vQSvk4 zPMk z6b2W@E4+jruaI%fTEGc@C0>GXI7H&=A^ zvy2cA$y{5QT8nOt0ltn7n}NEys_y63@+Pn25|>yFAx*ZZ8B0D5*`g``F&<3WEmJQW zTyPqmDZJyANF%uoPxFWCDw3cY_mfl!JgC-VciDS^L(1eItIq{hG z5GAK$C`hdPChB)($&V9KIj98rmNAOV2iqX6JD6)ps~*?4imWo# zl+}Jj*_14hE<8dcX-rL#6evfDWOxMIX&$V=-BMsQoVttxmFX*otS{kBFUdEs`=|Xi z4P#ORm8ORU?gT`Quu4Z2Vj9UZ^@apQ*D2*+hmS@f<8#eRBShF5WL`W@q~%^fGtEn5 zmU%JVa-Q;(OPhMBFL9nmCa`~^Pf!sCwG$LmFNNZ4CSc=cd|dXH$*|-PzzufTN~d(3 zwQTJ=4vP=VW&_|Lbr*v=sNKIK>2x+iNctkgXyKcnL&?p`qjg4ylF`pKki)Z-OsyE2 z*U7xs%{*gh-aX7)Z|2=GG%vus2au=EP%hhDM7}+w#>sVJP7+s*07@l?$se`DjD|m zvuxP63jzNSO{f^7yAcKZsMC&qhUSD}D)`6!M>T>_^VRMX4I2_UmY_Dr5dI;(1J_zG$ri#K19D*w`caqW2go111z&L=d#@NAC$flWNLEZ`!FyqYc=BW=-LWTy z7CtUjk8ES^lR_3!SDr+tVumg1cnayt)>*5z(8StA4mtpOSF2Ah07DJGtW0uIJnC2) zb>K6QeE$yiPo(`A#kb$4mjB+yc#Bm_8^GK2dH6cJgYb>Zu*!oaydO;tb+(or#y9Dn zR7}@aK8KQEb|Z@+Y+#6X(qjaMIhcw!rDjH}{CZU#4CBk@(kC@oO0H1uG8JB(KuwR$ zSZC57sKnZ61J1`a@+5czMgTHGTUp2UBNf9QMbp5sapIfBHPwm&qo^GhfAx4(9g(Dd z^q8Av7WwAv{~h|1v`K>Ux(z1;Tj&jd3Nfs;=_|3nhikqhL5tx2AMFZlqh96OR5i~Y zuOa~3rm7#<n`(_u$tGp`Pr-EV? zlrjJgP6Nce!?g2Ta}{VO8rkznWs{} z2~^2R99AK#5Ny$WZ6#0SKulpb1_eBdrC$uzb&%>g$yLa}yaTd5xOvgA|9%KMrCS6H z_u8<{MLSTA4`n1qTtzbxsIc#R;Q^Q(Z`xl8QM_??ZA4l zJXykJwm5Ty5;~zp9s=xm6@~|Dz&!f_wXTf!NN$u2H;o5WBO}i|U&P5SSZAKynU0BZ z>lqMXCfAfZ7mmftJ`6`>wI<)K8Ln5ksaqyww-&w?VwYU*W=1s{FWmOwvHf$VmJ=^lj|vwVYyv!V1pxAcwmD+IO9RT7I}{#9BP@~td2Tu z?Hm2cl~UibKW*)A`IEOQFxeE(NInfYkwH0m2E6S-AhcM?ZwL^QE%q&Wv1$id3~!n} zP_{X+WN0s_GXmx(C4v_qlP9>$F6zx|=XBfp;zV%F5eRvHZ)lB_gFVtW8~0DBg&B+5 z*8Y+|`CE9nP=UvXQozDxgN4CR2N=2lWs|={axB(yyxq};4fhVa-!>RJ&1WmL@~vkl zyoLhk?`pIlF$tsF^!wT{Vso%hgA>pf$M2DaVIy&8y|@GSh0Xja;2`s#LjD?7VxjMv zg}9_aAUtf%LN8<&sxk|$FblEAS?I0oLSC~F9SA}iFbjR0UFhTKiYAV!Ec8#akmvX; znqD>w-D4KQ8^#1(Np_(>nT6=efcto59=;=$=tgQhkBzDO32b;CDQ=V)$!d}qanF?) z5zLbqp|m1KC|qC8fJp9PXAJ_9w^!krOszo$)tT`;3skZmE*J7Gbjd@izJ)Y-9P`nK z(gPs;3WWS9d#fK zxrIAMD?sJl_}))wcd3Dw@5gih_5qk?I@DEwIGyL|TThJV-uVbxJOz~M6%HOUD8&Vj zNyF^+w!jZ29cLTf7PuMWtIVr)t_wRqV3(Zvfu_oFWQsVNBPfmsz)wMq;tq>CIa(T( zcq14HowiB_I=R5IkVLB+0ui(Yo7K0n&*d(OTy`|?vg4br%O;Z2fHTA^=qQ_5g}P-G zj^O)=#Z*LTA-=(hHsDT_9alG^l{UNioxfr*!EfM10he+>NDT<#Y&UW7{qUytX=}F{ zwZ7VBeN?kPKSv#us`Z`6ePNt%K^^x|XZ$|U=R35ucv&32kB;L7{khG0#c|E?3OB{7 zm?sB8@^xyT>3~nh*?SpMSlwnI&h;7q}0PolQu)< za{?pdc@jYxIOu^BY64%E3L$*CfR%7B%+rR`2Osk{u#5EPLOpZRTSMIrl^T3+NDZTk z%chxY&L$=n6f}>UVk1s0ESms@`Zc(f_^?)K z0Re-RoL4`Fq{J@#0G5bBEpEBIjJM;Air(-c#AA!tP7pP{AWfdA;bg%UR}NeAg}}d zYt%MobOyrwz)_egMhHgLE^=iEfW!<{)glu2dQjbZ!bv}2B56k3pJdy&!f0D{)RJ!*lNiN%>?q@+4U8QKOo}c0n2GZr zecEQj%wlmr?l9Z;8t&XN`iy?%v>#6J?O361rz(1LpM^ALjNVKzTY_Vrcpi}$-%}d= zBOpK|e}fY@AzVm^aQF^&yHsT@G!!o4g4^4 z*cr%=SM5R~*UdO)_)Y*Dw!kfmX^&1g7;i&Pz_;uLexhdF3(NKh{iT=a!Ck2$$g1{N zl(C^u@LPB{=AmUt=G#BeFWW^V-y)K)07-DXYuCL^Ay3WKv`p`iUP8kyiWMsJ`LZ3#HGzz)Up_#_4+wF=+wL>bY| zHP4Hv1&2p(7`XPjE#1Jf6)A~(r}9j|sR`!37C0v+m);XAk%}QN@%L|Vsuq;bt?^bo zre<4>Yn^zl-zye?8&R~*1d6ts?X%F~HMhp>Phc-N;<>;|R3mW-8z9Td+$FRExv*y# zP%W6l33Cl;(kq<05NBn5f_n9MXZ3G8&~fS1|5*H-?X*yiFj0aRW*a+D=SpL&v~hbN z-^eNJ!53mC*2lZX7Qh*IF8IJx{VsAK2NNryJgSqfv?@{fmsjcCHOWFWh!MHz7dZ?YE3X1&ttEE9b}6DJ`;fJQd{v2 zF4bRwF{+Fh1nE`wv00croQ}Kl+3z>tu~gom=8@TdVEL^5_kjKwd1d(=IQP`P9Eor; zoOu0k7o_QgvVjFN^~3t!gCFUeO49uHTG`+A6~C$F;8J8@3AZvXwSwcv`xTR-2~-`Au4 zwe!FRdmtZ^V{EYl1b~+3BGjINH=FWs(tIt`2wl=jwwy_uiFVA@hr#9L0dwBBoP&@T zBbyL|&k}QtZxU8AjUWrEW>S$IW>wOEgY@7bF{+!t+8G1p9Es6^# zJmz+3F)H)^LaVcElXm9=$im=;X!K4*$L)o!!C|(M2P*Qw<`|OngXlH9EqF)JxO4o_8oX%gyppu`v}2;aFTq!) zPbu3I+>5fLX(kI6fEIn9qLjmFQ-EP^e z_@yp36aMH|H$e=~HNNA3D?S=s=nB2%Eje&U!3Cjz?i`V7FSw*S^l5HzPxPwXaqAN& zqK;*Tz}N3M4sPVuMc{Y>8E-*If#(M|`q7gCH#5AMjQe85pvO}`!}uZoW|3aiqtDm@ zzk-gZait3{j@piU$AD@q-ep|j40WDVws~=mzB$x+TBv_-;+-l;R;BNB#PzCv|8oF%$An`t+?MdV(E9dZi1euTRdM(Le4mB5wKS^d`sfVt?7z;6ZzQ;^KZS+(f)p zyYR^jW^!3Z$wBgLovcuf$!S{|JJx4VK8!brIUj>X!!;7b2Xph#UG4w+(_ax6p7UXPp zj}hE)@U_s(wu7%HF92wyUFt}lM0jAIx(o1Qq!U6?r`Rv205}18u!yM*kW6 zqLYi;b1TZrmW&9kzhu;m9qsjL?GK;+W6yyuFW&z8Dc+zwFk)};#j@GEwD3KIQRT#` zV+vCqo^ogG8uuz6xGq=H*&N&C$Tj7!dm^iFKrm)Fja!_0&-kic_-^k?%ol9q;`%L4 zSXWD-fysH!!0^zX9He@Q#1H$WwQok@=)$xV!*tnsz>i`sw4!~?rV4v; zs5=L_sB)Ida|BP(4;n!y#$jc*+Hug~is%$Ox^g#4f~Ez>Pt%^x1;#`R^3blE_n`hs z;ISol4M@K{TCM7sQ&519`^C)hb2{l~@INhAZoo$pr$u-V(QJnn=8R@jZgEBOAWB0P zr&12chslmJDUOqrG=3SyN;(zK2B5PaX8|99Jjj^E?(m}kAs~64x8~Bha2@9wi;91rl_2DX_g^-F<#Y=f|z3WV~}%d zf1TptU}wyDQOD_M^a# zS!Et%T}~Dhj^un~lO8S1d!n+dW8K+;G^uxwwD|ndAExz>^-sG#J^it7H#aw9Vv^Li zbsfs-N}oRNkb33LGvK@}Hl>642a{cgbGi)vZD9f(8cLh+okfu&c+ ztHd_I)s(de@l$~=aRd9I%8UJUqslRw;-gnNLjB&*<|_T&M2d&ws&ET*cv{CyIEfeH z?@Kg8YSi+~Y|JO{_LeGV>Ni46YPsd()#AN%w2!Ux{6N)&N!lDZnB0ouD{cA0tzy${ z7=F8T>Q7RRJjwj8+XQQ)O_`a;d=o6)vSkW`>c?|WSo=rTafSqW*7o$+e zy(jE7e*uy?@kwmNT~K`AaFFDBI#G(`kKi(-G~l&tc{|!S#D~yvJS#nJut6w`BRSG0 z{>b++SijeVcm)KEb)FkqC%avt0h_ksD^%359l!s1^Q1@K#y4ZpJaij;7W9Y~PJph^ z;eRdqKkU5=c$C$(@c+(az(8UWB_e8+QG*Q{>D+)xGLU-+8X!VI)YKv50@0AfWWq&J zf)g}Dh{jf1tJp(Ztk`2)YHdYpl{=`YD5#*K)LSz~D_TTUG~aLS_nl-iN$`B<`G5cK za?a%0+3#L^t$kU0?X~ZFU(X1J{(J?a6pml-1Zo@+416gE1gDODIiR{Bt-J6Np1d6R zTovRytPggb+B5d>!N7hz=|aDU3Yq*%98H(?3E$6t{>x*-!~L~vuz)vz=t~PfrS7Pq zzdBM!c$_!v+8)Vkc_JPd`ZqT=csZ3Ac?$9UbqcQQR~@7iEquo~so@qAgP`Dr3Qy;M z&6|%TH%n%>9o+mTOZ#fjJVv8?K1oyMv54_0tehKoZRLj=nDMLL1a6G24MgU)<6Eu< zkqJx&tnn?|L0S1xcP4w$s5x=a7b9)Fp&9G{HZK15`-yNRU4-rxOGe7&263iWPJ31d zEIwLAJ&Tj>UHl{8nO^=B@lHUz6Q5xBoi;YOEmy{K}jtC<@>9knu-pt6GINa!k{Oh8L$yO{>$mgpJs z9k@9*{*mV_Y;Pr2d3DIjl;Ms3Z-#L(4axCun;63Z`PTCa0?!ZI7vJ&(u)se5d+~uo zTfQA_e(6V;C26(reQDn z++}^ZE!*&iEmj0LEH6m+)Cb1z2i>8 z34DGDC%59CDWa@7{ zj&dP{OF>Ed=i>9H$3H#Pe|%ii$DCbXiMH(eNcrp0MyqgCr(+fk)(W1L*3(c-2whwq zWV$JuG83MONC&>81(tcoz(MsckKcH1lry^eoQT|3NS0Lh=@H1)B%ZLHdS_MKjDLnY zOTA499-=s_7*137f|;nQP-f!q*epIh`mlm<`shP>?7M_(fS%DW@ghFePw_~6a(Q#8 zak)eQ_e)r8REi5;O&o^~1P(q~%rZxneRJoCPw`Ka6d!!D1Q;DNO+Xg`f)6A)iRO7S zaV1j++{{x<9!DFU1u%1`S?(E7H7$4xy$F@J;D^$mxIUIyV#$|zb8rC-o{|eHbkoxi zXCn2kzb+>(yp@jS^p!9Eg7^=^G1wJ2d0 zQ&-DbV+o@91vwp-O5ap^*`hBB{Kv<{ullRd7>Dy%1`i8e#kP86;9u<4%7ZqAIqGLy zUh&n(KfN{A|B#O5$TLZcOD@%OKTT~SP@wE6m_oJh3CRSztfizi_Ed_Z){%U_h7 zWm!E!$2ecYR=qTJt2p!N&o-TAuqF-G=ox5lVuU7-4a@#4`hm=NdIG7*o0h_e&RdbT z)lq>j0&fR@f|wGYzZ>3Igah5&H1<4=k-yvQ8|Lrk8g?rTl5MmlY{W}g9p&F)flg*H zpd;{R+13N=Tg-g#vgP zRYx6&U)4xRQd6>4j|iRsC@?QDDKlvU0e>L4us=nV%elY=XDN0&8;xg6?zXr{)9Lz)jOYlXkKz{IA3ekUQMG^HqpHg#dBt# zc&sWONp~kWD%~o(!5ug|{9jRYKE*J-UjG3obzSh|L-|Ci$mczRuZFh4=lFy_935_w z+sIn1geZf6>9moOM4lBp(pkx6ilpvaME-1ruN1CiboB_brZ}y~nSponO(sWti@doM zRt+w~gQ60>28Ay0J1jwAa;W}oVMs1I4>1a^K>$R(puDPjwbujm)1N@LwBQJWqlLp? zsq)}9d<^{79%WZ+0B1|vJddaeIyz`4Xp-oPU-cs-lqttP%J4>^L8PWhpFyc$q47bJ z3m)t<^r9TO%2i4a0v35&J%VadNOUP`yFu_0(4IsQYn!TQG=g7Aw&YfLy~Qm= z?6hDs^dg{}#^CCF@O`*CH25g9Y*KQ+2c}AzsJ~=GTkt$M7XLVUBV2a`UJXipif0vZ zp2};8mw050$6xvrtOIVaERZ16C8Gm{mQXw4Bzo4tjL<@wkhc5*j{==uRM`!WbP_w3rKlaM) zf*D$u>P}vzCCZ+bHOcD)ApOMCGSX*lJ&$w_`Khs?m~M@oM5gaEp*n{R-^423Z_Q3WNuRWLb3)! zD6&BN?Fjm8+F8o2MvGxN?f_NM)2t|_MvLH+F-5O$!1+q(H;)>GevM9V3ek(Oktjva zA{Fr;5&Gsq=Oncf(#>$L~d?#LE9eLsIxZ^NrYK|8~pUTlDq0MXaM;Ivy!! z3=@B7hz$(6Pe);}F|k$#w6*%hgEeeQta+151+i;+At5N6<@1|HzR3k#vYos6{ru(= z44CA&o$Qwn?A>xW(Ih*~QrRNu8o6X4VYv=jb{v+(ki?#C-tnt0gQlB1!zi#)_@#B_ zh4Q;J8TOHXia<(TT}bR1yCZ0VFM(MJ@qf$vNrL~#=)kL6J{SV{d8&u350*-?x94|{ z-5cNfI|3&-8{j+%9G1zG(yb2zM=iK`D%*x?D8j!`{5jaM5o=id?HLrl;TjTu$0G^2 z9#MXBfOK9ME*-xYnazv8GkI7K1=q{OK@a9!!6XBZVae3^Z(?xv+}1BeTa2l|sEbzY zBMs5gPh+>`#ENRmVup+%#+siKkN-pFuFUemd=0ZHZBi{rku=mRkKgU^PNGKi2Z z6d@x^3ya@KPCoc7^2ow2fhM*NmH!3$QH}igmOB}k9^7)^(k#L~_^f~r9YieSTRxF| z4Uq(QU2$;pThG1y{K4l82_7);)}g(DW(^kTItldIgU^XXW>F~1nM(YXI)HFML|!T; zZ9l#qilVgwu8HW!#ou|Alti!iGnQ1s@JW1Y1`;F806~=tto?jIrZ6|Hn8F#^ z6du#oP)r}mBIi(v zR#dFynCH%Kk&QdHwBxs!1IHzrv8aQwyZA5tnL^f&rsF?Xs?Z`CJ|jnZhPrXcL&AM}>?%62EFcTt(AM>N_vfHGK*xDm-DgKVD$OBhwu{|6W)$+FFh*5f5wrIMQqUkOn!R_@Y{2z`ckmW>} z*KQd~s_%FjT#}<&i8~~Ca32#$E3{5)?XkKzMS_n&K zloX#w>b$TqfE7te5lOjBU_09iUv*#PqI+5g#SAV1 zd0m|P9dO4?Y#f%`%E-M^=zrC}N5Pz=wD=YgGo7b*yo4Gd1*7r4l)E&7947)Q`9fN{l-YVav6KkK|(}-@?qxuy+ns+AE86Z4w@vXuhO) zne`fGd~*FD*IAQ~M}Q9-PUgaUk{!Jg{(&xG?n272Fn-OMBH~oqu{)();gxjsT5q6a z#Vu8t$$K%jP=)$R5=K=F$`RB}kZYIFJOarIeoTi~?V&3Lss+DcMgn~-J&WKXSrYsf ze*Qv61EHps=WF$AnRtsGIK?ifh;6}}aKJ;o<))9oH5HE$BCM^*;h(GqE|;eKJE?T6 zZ3OlPv-Mthmh{VmS4+>kpLoIH!VwVc3hW5pM^A;cZ5l1;KOj2hQjY|u@xh)>G9wzy zhMyKJBIO-0*EN0ypG0=_s@QU!eBP9Rl9CEcf)b_wzO%icd-a^|=C9Lu zdz+%=Ip8jWm#5?scbe>^O$lOfg`VFp4+PgR&t!;$CBEe)lw?mIYt>tf+#peUKj;@} zms&-?TT;3KJ%VrqiWx&0y~Td{>y(Nrnol(Os!K(TC^IRt;8~D`{$4D880jC&O z9VHP&+Y=i3Q+hYRop3+ZtA39vxIjO%XUe+p4+=9NAA8yhq0Nh zMV_RUW>Of5in|J@VA7w|f_0A`m`)oRg3)AUcgpVzT#IO1k z=5!4`q&jE>l_K>9TlEfTc%)i?DSM$^@HSB|LRBy?$^?Vn)sXZDFJq$t`P22jszt%G z^eV4cJ{nNUuvj&8K^MqlKSf=xgS71m3%jSFT~0xJ#(u>^r0ub!3MuuB-7A`FxoAMq zbwQakl0p`Z9b7_*bC6gaOV0P5uMe1{-*uF6Rwf~YSkwHj$(O4ig(Zr9^)e3Bo_bk= z$eL}B+FKKZ+A3L-xs(XrngK~_*`F6cNbaHI%TRrxyvN~bD@bUMT_u&pzcV)Q>A%(! zkY2_#8zY1@JAxmfm~z6RXhxnTUzC@$TylZ6$W#_WC0XrB%3SdLHzjIJ1|S3?eo3JA zM1U4}G*rWTYGemdiV)o)(`ZHnPmnt5WKTmV;q1UYE&vcxCF>S6tqjxNid6$N;7X>|IFVYR4;&6YA2}}R z8m5Hzz;g#TtG>JpO^k6HJ%gA-2W9l;-)@nyk>120^2^y-yZg`^ut$vv4{klMB{ZZE zzFqeAyD(9oT!>$_fwUevCCEb^joTHfX}xK-y!Pa_}-PO3lvU3eEmcIv6DMpR(CgL|mp5)?QziXyMG z;c?K*KcYP-3g^(l$dosgOHx8)!C#=X1{Sa220bmRbd)B%(*ZIOsf&vJhvjU9HFzGd zJ|TL@pa6n0XG=V4_!Yd86)gY9MY0himF{+8)yl8CwI%af6ZB5`_9FI3fvhxQyNq}A z4kY6i7$BV+R0i>hzEGP|+L_Y9E%gZX3}ax>Q#2OpnH6rd*SnSvb;hFHcWD=cu?f-a z?z#sZqqZ1Y+vJc4BV+bI%>1RTfvbFMp}^?nQHyy~Lx_oBoJ4>I%EgUPjm{FsyV8(s ziGTd{0R8C$oudiezun55Ys9{LBksn0DB5Sxmj zSz!b9QheZ-b}kpJ87CUUk)?0Y0H!6E*=~I z_=rGiGI&W$=r5&~v3!5v8IsGEV88xI^ESKaUWp(usuw73iAvLE^a8;n(MbXgmJ^21 zsfiXJeUElu^0=g?^gLTF4*xY-T{A`HES{nnZeK z`=vaeqU1-)7Wwgncz19)|Ef6exEV6_WR1+` z(@p7|t;pDcfzZjT11Ua-T9FA5#z0Xc%F$i7lMfC-D-|!hhuKDx5dRl*RUk@lyyJjH z601Bw4BQe*v)pH>((D_q6UrNBog-@V>OmeU$o+mOTj{&I6nhDxS1NVkWhojqU`8hAkHi?BoQEX^;NJzl zN>UyG2t##;)GcZo$zXGV^o85NGV0Bh9L!7<86L^B?nu+Nv{I_fM0SIU|JZ}Hg3+WU zNpgifD!_X8@y=^>34T5UgLiPGmN1+lI?omf!fZ9Kz zDL1#B}igkMBh@KUg=sXMVd^Jx{cx-M5{G1ry9w?r`01`6WA1TR&HQx&gz)f;khl(HXWlF&4H1APQ_olEwHugh}=~n_h=`y=bUJ} z>;%hLYQcKnyS%DQktMLRIT%MWLh@C^pu0s1KPQ3d&t|?d^0}v7t|w!c+qOj6S;WF4 zlYn>&VtdUh3yjZ$PO$x3<;2}w!?Ueul_lHoY0JCS!a6(-YP8( zS!^65FHSU#mJAR}N!Ku`C+wYTioVR>X-V7C@VPKcyqJG!CL8i9w$3+rCb#4&AZ=v> zlSEX{)?a}HezDA7luEevV|L~hqEwb-jGfAT25lz$D>8jR7}6rUppn@X+c~I{u}9AO zI`EqRLlfYeOjiJ(rM&v=7R$U;t z%ZcDhLmSql-Ko*5w36?@wqIIi%W;0QPu@8j*>xfP7Gsw6V@9zv4R4b#C^*GR(8+}w zn}8_9otH3@Q5Uu~6#}vf=s2{+INajs!f^$THSchY^IbbF!4RQS?Uv=5cFPVS3BcE1 z36+Y1$eLPu(YjuzthkLtVy4c?M6inaj}Q>EV4n?4Mcrh?rlMYmW2Rrf=LUNJBo(ob z3d_|umaMS2C+Kl=ua0Uc>j=(}rFl@pE1NkGfF{Wn>Lt+2`z&pw46J1`IR&uXkI-gmL*97^we!mgc?|Om&2;3nuLC;+ud8!g zmbUD)qU7Wi2hhf9k3Eu{8YBIT3yDOvv_Ti?|H=(Rou!PV333udOzr&6|*R=;qi!XW$S=AddvTD6kdHOTMz&i&|w>zle)_PY+1{LGPc+lKz$@ z|7tIg#1^*uelM#9uJ##%YinQrZ;5c-!_C$HdnBU_KxI#ntt|yQ2y7goy`IqBaIlbk z_EF8m5$OE#LeO;0Y`1r_%X<{Nypsq+7@pdrrO{oW0SakprP6SZ3Y?}ZE7y`onYboV zl&EL%a6iL1i@Nm(CNaBy%f$pxgmQ(ZsO{<#=qU*0lX;|=fSiyYn&STq5O_#p> zjc;ljOE^uRZZvf!GJtFwCo`|B!BO4H&LhAA{{+{!zXRT?=U|g4e0izDH$On{`8P7| z`}E~+njV)PeUeHH%oNc=!dKmW2DJ)pB&fRtbr*%J9@Xo5Nsr^b5xq2qIb!@<4oH3Dce$bZY6Qa;lRHFZnon24|PwYfD-}>6R3`zmr04J{*2r)Bj<^b zmqgChbEMfKw687sJRJXQ@Vj0_FH71l6F6yBHmWxdYj-<4?JOh>?{dfQe+ zJeinA5x?3OOYBK)=h=^qedDapE9c`uU8j)-}>ouC1!}LS~ zNq1&vfYbE%cF&#dQ%EW67u4ov_3D_Y6oC+tOFBVVe&e7O=|?anQJwpl z-_x1mJ7j3eU0F;isIGqxfvmv<-VSV2TXEGk^-z6AQ?(aM2b7b$JdoUxz#HP}@qgRJ zitH0sLK(Y-Y`Fq4{^P?}WIn-c5s<*Q`#uT$bLES&F9!*Wj`!au@y$H3@1yp@Gxq%> zun$S(jw9F}%Tyb8jp;R4a2uw>PnACO??*AdVzn)3?B3Rw_x(fe**TI)e2HAv(Ip~5 z0a=E9$8m?~#W`&^pV;>)Tu21O4_;tb4U5VF`f0Se~UT5yGzG>liSxgUXa?x zFe(u9#CT!i%f#*pOry=$c@zKC^FkSF?b@%fsvVVdGPkb$$YFuKLOde=ZzZh>{?A8m z`TLN5L39L`CU)H_vW-eaa-vrcZ;fu@mF^s1S>ni%@s9rP7uWrh0%_<|+HX!|=fJ@& zUk%}!F`2_xgOrkc5(0@VyUNbRSQ?u4l6I}V!Qy{!v=oeQ1;rR`m14&=mcmBJEvs4U z+1J&6Su`zC?Ba=SmgKQ}{hdR$beg3FR(BAzmE0z1(fi&V_C_G__O?>xgeoh;l1zQb zTa_4)uJjbrJofmO;~a5kF}dlKUHM9A^qv}b%rMx9UHtZ!ue2p4x5u6>m5!Tv5^p2O zELH@*kZ`)5Aq;d`*W=i;Ut`{s`Lr=B(KW>~qI_tLt@%wsb{Y0^!(M9GZo@7# z>>9%^HS9dYE;8&A!>%ywdCJ!BlxsF%^@i;;?7Izn^9_1hw;Ohn0Y7TEPZ+kvfInrp zqYby!u|^P^9eiEcPtp4!}e19-{5Yd=)gWY?7UANc$sFi($|RG#xg>E9?DKs_*eTr z9Cjq|2Ck2K(t+gm+@B-^+B*%>r5zf0W9*9zd5?tPavjno zB#!1UTJGwhfs1YUC~&aLukW#?Z%*2E{(PbM^Af4@P%SS_JGi7eB6^y)12BrNxLoB# zyVD#0fhDQ&e>>toHoB=O?SLHS(Hf%Dg7LTiJ74N%sBmob_M7USsUCW=t-@p;EG$&o zw$Eq~NVDFQa6nA%eWEmDhdn0^8{Yq*=M)=w2l%H1@)^AEk|t)@K2BACt+@VjU>kgo zRd+(gaERy4V2)}#K>mQ8y`Qu;iKb{x8uS>Rxv!feKDIG^~ji?LJAPA#8@slaTR4%A)^i?)^s~XA{ReGyytLij`c-^IT zhmLdEV(&}?E4QYsv9Yodx{AtyC{-uyusk>4ElSqj~m~e5n&#Qa7Tu<`Fs2$@gv=D8T9+H zJB;tvh%ncE53f7E$3HU8NOvdnqcMju2aNB%hAnV0yCd8oc*x%TJ^mrQ!EDLHG0NL= ziEiXOk#Dg>CMm)lf`{zn@9_`e4Q9tekCK>yc}df_A)x!6Z0)=50!{la2bc}x#;{y+ zB1bzRaQSi82E4|G@*kGhEm~Ap`@{M&Z+U%FO)394$StM!o_?Sh_w(ygSozPhH;>Z@KGY*XYZxufBA$DC=<*l~rX;HNL5}^-aFK%Ei^?l~#{65=Fqdbwn+h zkMvaZGn#z=u>fW@m6wxhttXS#+B%=Ly0+R^T~#D5e6CTtw_IT-KX>EYC2S!Pd{2pgjP1yo%mMU{=Rb2yo zQz>X{s;{R2iGONMP33~J8sYu?YT;mAt;99;hcm2tP!e5ZQ&kmdtgMCem5b^cmT5Ua zNR_Xy&N{z(LAbMVQ5kLJ^g3%f_WZK)>#YrSO}^^dN^4_%WqEZ~wM1&Yp zUsqjAtEydDR_#-TUtxs=EP%D7t(SW`YmAa>sx4bwR$Wszzot?HS)_;brfT|6}u zWx3UeNwwIs^jNDKf!5X6lb&9P6i*1Mq{LdcxU!+Drfvynda+xZYH806P$A-Fm6(LE z3Zd!EoJfv%v36W0GD}t~tjPT$YgsRE^mHL-I?j@YYAU9$Za|d&;ZRgc60LQ$HOq`V z4iHu?5{JC@!Ro^=gF8Jb&LO4q^%4%1O{mCJ2_P*Mis!nB_QI?wK$mXZ0bn z)*CAu>c&c43jbL&i+1cl6h{8chON7f9WX_>L-3F-J;xjKwBp>t@G!%_`>LDzhB~Q- zC>+V7UXHm&b-JedN8>8Wd}Y@2Ct0V@E-KQdDa|;)UUUX*Gw_r~&~>I!5m6ljB576C z4UHkPL6JyoAG}LK^;?g~Gii{(B}&PJlFq4(s*==HmMum;&p(NucTayXEumJ%XWyeW`Ax?(2Hf%Ou_&K|~vdD`{1qK8-y zIt-x?bw6LoMeZ)v>rk$t^k!Bz(#}X5R9}`$Q&(L}tysR?dQvoLnW_FKN6Vg%;?~pv zq_L!~SQl)OU1`jlGaIk3BoLH%wS=|^m92ETB(cvguezbK+&4=!meJtwxF~%F;ZRw9 z^$#l=#Y_4QOR8(V6;gFHJ&Tu4Zd}G7Xi*8RbOZUUt3kcj)fQJaRM%BZYm_|OdQg|3 z(nUcll?p5Atv+{E#aiQ?*!xEQUR_|+SsFYAuV*03xhq=D0=%RvO{mDRNsbxRtpVdbMf?#u|c?U7IIrC01d?cv`to-TX(C;n5;-}pjc?`eOC*48~c=7o{BMz~i-xNrOV zz8|cd`r>I1p08Q|5c9#jmI(K%2)94N{j-G|=IkH-;%OVxh5n)XDDBAGi`ITJ<+q_brmRMTWGuSugtF}sOo-Ck`uh?|ns;-wa<^L6%p3cenDMAJLZ zBtMW^KCQZT!IGXqLKS^MG)4Mw&1#)f-Qa5~tGS}7vSFE3Jm_1~{k4vvU$e|t*=VI7 zTvk!hAR~$~^#lja?Aq&V>z347>l-VZD(dL2)zp>i{b70!zPj?d8Y^AFM(H4rsTe04 z+D}F^pRQ~pJ^T>v^s?#nQLD5RtyXK&YWS08Bc-;fre>^GJag*wk^*o3+~OJ2^QV`1 z^QKOjS~5#Zd7Am4Cv~&l`0faUh5Sl@c?|fPXP8ICh+nB;hw%P0c9s!Ff?*#eo&%Vt zjQBo&syB^8|JwT<8P~=E(vtAE!SO@%#YXs#fZM@$4R!+dUgCNTBd~QwyvGe*EyOKh zCmV1Hs}uM8*x_-8z6DO%$gpggCIv-PYS{+=w(6#Z?>r0@JCoMD{Gr=l$^6sqd2K1H zcV>RG?B9w<HvU1!13z2yQuLG8jLEHgq#|YLZV}Uy{Eiq^DmhC>x=OdR?$qtpZMuEk zab-8Rj8j#4@9E{rZh8G@%C3Cj1+lH(b>S5~hNkbh7SPAMPbj)8E2UilO2L)$4FWkvZOqN2EI6E+& ze|^_|lR-CNoOS)<6xuzQ<49nGm{u%zQcJpp*ZtznjvHm3-k(*Gqb-ONu5~(?IX}$HW;lrC!(gI?ZMp+-Dc>r2BTZrk4)*S6VUC3 zPUJC?hu4MWRd|>}hj9YT77pIcwA} zzY8PMn+0wXxLE_>-WY*f1Fj9+2?OBPN8sKIt_R$Zyo8spF9LTvxJBT8U-?Mhj(|HC z-2X`4k{BG7f_upT>8*@NL!p7ol1M+ET_1t#1Gj>3Rp&559?+JwrFzFh(z_1arQm*_ zJc#V>0k;`k>A%TOV26RVM!=2&>i{-dVd;3$(X=hV#)QF=fNcb3HDIFOY`~rZRw*zN zI)Hx5GGEnSzX@#(w4Kn_o{V<>z_c5nP2zEtLEGotxGZzgz_jl}>xQ=MWbw`(nASQ> z)8;{Y_sM8m2c|8Bb`!L|lhH05nD$<1k3hTXWVFi$rri&%=lFNsYS2Ge8C4EUo4|<1 z2W`j6;%yn2wg}qY(AJ%dwtQgPR%lN^D}A^@pUJR1c3+VApQN*xu>HM$E5+~o;#e1!-xO#ij(dTr@vVxZAZ}fP`Eq0Al^wHy0oArR z%V6QUp_jDpCyY7Rk-RbI4G+`cxiM3=(f!>?n7i~a=R$G>+66)@eZlfLi}0f!lhyrQ zH_em!39JRkV|19^jN$1_1-2j9N7(X9#$@sRh?%R81VEaM@qjsOn5y!(5WKzM z4W%zSNZMWI_5qTU7Zc?iI7{Xq4S=2-V=f&4tmX=AM16#G6c6d{DN`f8GQwA5?Yx+& zp|Q41K`42b%O8BuT#Q?O!XLRlX$>$gUQ$1S6#?r2rp6z*1vU@ZMqocwSUOC`MSFnF z41=`-i{%l7@bK0GO8}<&QAT(hfw{t9QfIaUn+r_xhpZ(oKo>Aop=IGbj9(3YDN<$w z%S?wUYWP4L&XxR27|E1b@hRQizZ)!!g1C*QsGA20L-Ei>7z+vGDV};b(+DHHpO+ig zVKV=#|3rcCZyj`Nc`{A)<8Vv8d<@toVE6MKCUa8DW>721r7Fstn7S|*xsm#O1lm!< zyY=xa6!l7P=Bxk0zXZk*9{jH{!dCM&Wui4u7CAA6hW^NnsnkJ|w>)Snp!w1F(RdAN z3P<8v4&55)=6xSsHF2Q?g=Ql(oBk(ggoiRNdLJ5(#KTWu$AQJh^o7MTc5ngvfx^=9 ztiTF^h0CuCSVb62bX_5^W?*eXO9}KXU+Z;Jx?w6ZTR7Qg_ANVRSp-DYSqXbRVQ(Bf zY?{74VXIR5kAy9J&`@xP2|HE3`3X$MMaLsxDZmnV*YrGvHF(=B=@mJ%fSXFX2dQ(- zrl<{O(Nhs4_UxFbYg9doP}Qm)Wf9y`%>IP#?$fE)gNL0oXk8Q;cnY)r^zQDY!NaJJ zN**`}p+A9XPVDYhXRZgDzT`{<-{}qyV zf7Bw7MfvO!;T2g*0dFaIp}vy9JiuxqV8y`Z0XtLT<~Isc0jvU;8XKdlt~UC3;@6B{ z3w{NHj9-=EC;CX*jg9y{E`Fi3h0S}TB>PkkNvnjpA3EFU?(S-#BTO>W)2BRwUo(EO z;>S<$A4oVtYfi(jgh)3)3TZdnjB$)i5 zgj9Y+hBxE)F@C$mZ(td=nXet7hSH8myc+t`$4f2^@=e%_!+4d1-yZzp#gCuh+kou` zHc(oM@Jp0~C4&5)NQ=y;t%W`^EmnftfZtL4t~J6InNBdzH6)oiJm7o4v0d2R{U^$J zkob$u+Xvu9c8s}l0Pqw;_GLb8&6w_PrDqAc7~=-k3ar%V2MjQ;Fwgw#0D#wX61)twwSFYzmKSg~9Upb^(KB>L1HZYsN?#!(o!{ z<6&tOJV|$F1nenb2O?m5feEj}!+RgtrU=+^U>hP}a(UdnVKB+R6<8~<(At1%2d>wv zjHFA(JnQfeA77Uk;f%sx^g#*ZYYD5yfUB_s{8V98=wX%MzXt#Hg!Pxf>mL2{CkJY@ za$_zNnT}}UkZF;r_X*c>ad-C;Y$?Z{b(-R+83Y#ANiG(bvAjsvsn;>+nA<~;lDDKw z=mTI!%E|I@F=0UFjSvjsVJYTb=+%4#-vX;QVBvM41y~1o;bnU-u;vKZBSIem+YD@8 z1Z+RBVql@NlXyEZg}_h)>SyKu35*ArJSi;slXA0}Kkn)N3!TVGDvuS1@*sS18L%w| z-GKV-`hj&(7W6*oHeSksc1aBJ_M=y0t(1ZKjxyxa3Ndn3#!&}twM7r3Z(qDPY8nF2 zrvs1^V}7$=Cz`xLE^`f!O`yMNljdC&QR6U8Zm_iMe;B8S#doMotmdg96x9|y2967yca3oR@lWQD_YA=Q ze7!9=3jKkM?rxDWJ&uC7!zT00o{`&C@}xrlxebH;|Yf0h9K^6#;7omJ$KG8(2~p%!*suiv(cX zr0mhbQD-9P4BBO`j>#^i&-UQ8aZb0s9uJQBn!)D)CErPy!{DnvC-_&R*9GpvbOI08 z_fnKa20Wy%1a}_h0J!ok=_)qbhNU?71JBXnHoBXZ+?XHXtH&!ccpU#Jx_=gZEwUjg z7<~LGawutz<_4UtLWgYi)Y&X%J9;#Kc8nEo(HHB$RpWbbMP?HiASjtNcm~R#Wq&uc zp?5bGG_xIISs;v;-6wU1&FDc#viBZhL?;gxZnF{Y@`sR(lZ49@RKGz!5_#(YRW&Dup=Q7KJUa$GGXRwMzb|dt zhVJfjPLeir)&Pmij$uBfUwpYS%$)awX2)DH08~_t`VE#oDGxD^kUq68p!6Smzh=wM zFP-+k|Np|}H|m9?44?qU}BTQP3TX3Tn& z%0l3eVb=2fRu*j933(VITVBYIPg13%P%m$!)(R8iTMI^%6yYH3X_7#z)ZtbVisdoWA4ZN8M71f zcg&|4Q@Ke?#^hqIz*J$DV%jk4Fu%tc$#*)HUb@RhBi_=lR1X7w6nEys8{D|=V_xgt9HH|sh87ge3_-r?rAu4Yw8*+d!6in&(jZg7IK1x6Q6?93sgr$^mBuKJ@j)N5k9>q zKtq8Dge}5#264U|(JZN4>NDI%ocgIzoyGu#5fzm+`UI+o!{GYy%vo#}H$u~Mm0RCb z#F^bbuy88HiJ2TK%0KR7hs-igXM!}N zzOvRRUfoad1la2mPV~BFRr+*C0lT^z7gko}8V9q4a8^xaWxe|Fl{FZ6y0fmnH;9tT zhDCBBR;5WX&+tRW|NHm|#`v#MifC>Hf0!wW$X*FT6_kpznTN(yC zDzw0w!(a()u3Zakpx;K|J2Cq)?_*A25_x+t6*C!Agqe?7j#-0Qhj|pU6|)!fHs)(g z0t517j0aPMxf)Z2S&sQB=26UM%pS~P%u&n<%|^GpQ|m6b)+^BXu% zsckdK`Lw2n2BIxl$bqzqsSKSC3N*iVaWz*#$T`(HWewGma;~@#A89RBOQ~t6nQ>36 zt7xjJ)N?`%*#eW(ui5%BE=~J4ffy}V{?csi59TQ~b@R(=vTHcVrTxWlNxC%cZF6tS z6q%h){KzFl*H>2LFO|dI3o5m5%u^fla&l)Ck@_5tkmWN79v6jcaX&U`_9$t%jog#g zG~BRJPlz^2cd3MwMor_GaJ2+jQg>x_MJ0!`8?^hP)WJ1Q)T%2RC|1?6;D&_z`}q*e z4NaA`<;%49qBx8TN2PGN2+l$iXna@8x6XKuxYkjs%e0#W)~Ddx~@g7S_O`|YV z&hl%2vlyr8;I>ar7i!OhAS#)1DYpcK49wy{UQMNO2yyp7P}ug4C6v*Ux}MxKFTpiQ zlvHiy(rTZUjcd_-Z+TOLcTpLf4rpGvONoQecWU1G+^bW)*R(0-GIdD0*j(nTV^MIH8SaY^X!FfgRW(hG3uWG}inIOY+70F^B@xTaRqFBu zP8OLLAp5n8MWP@otEnun6W4mP+-xDCJZ$C`jPgbG^zzMcTu0KLGdKDg$``VjwO_t! z%j=hEADb6f)i;n@me0O2FDY-VsjStsF7MKhajpqs7aglf0(&Ef9CZ3 zBB$QVr!7b%eg7-WqQ=GL4L)^VR!bocF*Aztdm{GWo;@pnW(ZJ>JKS73b^5evOY~)cGOY{GE_Y?kCER+9V^PHfs^$xR* zu%*~0*;;K+*mm1qu;thfSK{yE|JgxZPmZilfYjh*8Q^kp!@Ic zp!;k0@U*kjoM~xkv(m0l^QQ&U?n?W4+HcdIOxv0ELfWfo@1_OQK1=&5ZD{(b>1U*0 zkUlouo}QLokUlMacKVOfE7Kd&Z%JR3{^Rstq(7Mc@9BR`e?0wf>D$tuO@Ar<)$}*h z|DN8RJ|yGRj59LM%@~tWlu?~gpYi96S2Ip{PMvhgq|!+ZlkS_eVbY_Mj!gP`k~TSR z@~FudOirCVe)5#bKbl-SdFkXelh;lD>*NEIk4*kfh2;|j-BjxtBJ;|9kv$4W=Qaj)Z7jz=7$oDSzE z=c~>%*G$)8*TnH%(!l zVPat7k0-93_}huEO-yxPpSC9bp7akh&hcb=9`tPVJn4DC^Oa}zq(4tOV{*gf-%tK% z^68m5ncQuWrLSwm*jC&AV*At<Ih$O-38_}PSCO!yOV zzck@Bq$8P_vnJj#@g7Qir27&|eTsX!`yTgN_aEJx+;M4Vq+Oj>mbN(U=Co7NZKan0s`COb~&-iP`){NIP-p=?}#@88_d9LtOc@}vxNS8OWD)Xky zTZPy2*zb$AW8!-gKc4u-#BV1Kai8iw!+oxMjQdjec=sfCzI&Q`wtJzw-o4b_>~3{; zxbJnZb8m2OBpsXGNwQIm9X-K4FPo}Kjeqz{lD)8vmQKb^Tf z^I+yXlFkmjZhU52LP@qce&V>_@oUGwJO1SOt7DtvdB;A-n~wJ!U5@V@L!EKXk~N_Z1p_ndByXF z=UvZ79^0g}NmotsPHLL8W72z*-kJRQvfXVju>Z(jXTQn5(Z0uSal|`D zIYv7&9kU!>$E}VB9e;Lw~w%u%7WxLAy<5QEjFJ`<(?f5X`pBcw8zRMWuiSvx~oaed7GtM)?Gucz%neLh6d2MnhYc1Ll zC4%TCi!Is~YfG?MZOOC%sWzLw!M_)0oz-)!?yQr zowko{M{GxJ$89HUn%!bgu@~7(>^15T4eK{%){*`{jv?9}YfrEz+DF-w>{g^H#hz-n z*$v96gr9=#f}okTt}&6o}2YQ`^PGjwB4@F)#5vbl>YV4S za4vM#IP0B0=Thf#XS1`#+3H;5>~P-gyw|zbxz4%Xxxx8}bEESy+MB1Go1NR8yPbQS zd!7582b^y?4?Ev?b~-j>D=36uBpM3uB_{lmy)sCOBu%D1x?x)Wd`sx0^W~;02U&77$pZD{>i|u;4 zzuXu9?#K|ezK_7HUCrvP?w`tCzuSAg)jk8WHUUkk`yavo%zokjDLdpJeJM}I^`rmy zypaFh_*aEC{DG&Zzj8sy|3nsdDxcpQfbL&Ikb3;v=ckr$>-9G1&%P(*-&&qpUMGA1 zjGs;)_+!Zb_`=lk>8xvqe!BniQ&}NUpu(S}mS3YYT)B1sG)KsP{m)a&-wY9B>;4t) zkbm=ispbFb=RYJfP(=c_%TMi_edoijrIk1!V?G8+2n{=c~* zD-Y2W9w4O9|#d+>-7JAb;!Tum#O9N^-JGeR`B)oHLp)CSNr6RFm(Fo zSasL^kK%v+xxEqS{ukUB@*l-rRy~JCd*Xlo){uYFYpLZI^-KR_w}t%k4y2aTB^6K|%Lzuk{M_Rf(1TW_b9H-3`2x2GQ!-kvg7( zWOL2lw6f~j+?ge_WZAf>UV9EG>%%f({S}{HWAa)OY#}RVO~mU+G!xJS!SwdBTCcCB zk!J%S*sDOk8Xg9y@NwPq+EYN$eP!+Z_aP^@aWd<@IppLfZ0!|sc$e0A%d~%lK5x`c zgix9qxeE4TV(lBFSLVRwK4xvU@`JuyyDs!up{?MP_3i~rRQhUsjTKDyds%=euhbsw z=SNm_ujeAY8k_12FX6-47_%nTNZwkJgAN88#Zzt6HEU9dUV$C=3lHlntDWu%UgPF( zUR;pbbzzYF@-b#jD%BQ3+JuWd)_E7Gbsn$qu~GXX0$Q%1#o)7~K>6VvN>&=RPXaE% zcqv!!H2KoB>oI0c>ZaUEGL;JE^?H{~aCsGfSb6YrccAzB%4I4_?Jol$G;mG!;>uo} zF_Jf(-dj>MtEVg^x;ZMkpRl252Ve6rUhmA=(@UmK%l8(~%AcJ#!#gv-XhyELXzHvI zZ~pW=uUB=QPln|s6#byHSraAFfGls`udFlJ4Z$y}PK1&O_Btye2h ztoiWM%TiRz!xZ`>;Idev$)-Ad)S?L_vlW@>oOaN#NI=74uUeJy<~g#pPZX%O z59~*T?&ZOb%1V{g`<3&CraDSU(GaG(P|~c4vU(^^(|)eXDyKKt6FNY`P$UIR=)3~s@O2=8p_GYh_H6=xnhN48W ztE;M%^FlTzdcE@IE!eH7e=~1?h46b!T-gYmNqn zu)u~fYod?$!Xc@w@U}O7xr%MR2CmY)QH3fr|1bZ3P3FimKhghpVjJq+>(h3MiuyH^Z@Y3W zE}`Oq0fI`aZ7TOJF7wv#)DKInOy3#b>-g4V z5vIxIuE@e9KK0T>n`z!@`O{#4Hz#}6)Lie>=>;=pPRlNtI%B$)L-q#sT#BcW-*_~e z%I`UR)cYEg67XqP;tI8i`USOm)97VS-y-i~yY{D(BRI4~q-Veo7CXq7#uiLrMW}6E zhpVcow%kh+_|&vxnBF#VV1_>gj;aFR@S)^v7(6jJFM}6Dy1c?EqcJO)d6kCJ(ZZaY z#XEQA)RL)G121=j3j@7*`8l(vXl}AuJc!>K;bNqpb}bbBfJ*=x&9OA+(v-8cpdXNI zkTOhfBYFcaqv#*xGeTV66p${sE69Z^$UpND5+S^`S24YftyI({>@jH|0sn~rhmmL6 zOD=gAVRWh-7;QHFIQotY06*Rb96~NcAZV8K2Hr~>yTG>)d?+rNi`f2sNPiwbt{$t32{qCQ$|UA%x5nba76Sl{=;Na70gPjQ=TWGq(95H*$!!nSGD&_Y2t59; z`~4eY4=eduOjD-Mw&q%0IJpjM=s)SHlNbCkge{#DH9I03{jqzA?$}* zpb(>admm9hHT_bOWf65d#I&6M=4c(W2i zW)A3(QKxu)i|VUtd5S|buhs)jBJEF;>S(1N&27@1GMk6!jLL6G?lun!3V&PSvGM^T$H|Rs=h;|)j zi8fj=-5qVz-ywI4Z`45jq9MPFmHx8DGL`>qO5=ab2_lG*PNMpv#4HtcP3(5mVnf|K z%g{#Y;hj2%G2XP|lB@Jj_2`93fKwfsS=lZvO6-{~&7y3#Hbm^)tF)nF=kcnBc8YxE z%%bxatzc7U6=#>^7HY%9l|OUljG5YSg(AJw82KU@Q?sX+XtCm$J^k|OGp?MjohpvJ z{9L+nMOvIVrrNaxg~7*Z`iDb1UH@=tBNU2TOVj~w?FPc(ORtZb6woR&T7a&YvJ zORg%;7XVHzI(#@`I#=#ivRJ<3f1D|v@n=nqS50o27yzKeuEbDfG!H%Tgwt^3V@~sW zdFGOCLaTsfaZth#K|bBof5yyoX+Kz&9i=Mcq`8DP0X-=le3@Aru@GF%bg7Jz%y(%c zDwc4>qmd4S=~Agj=DRE-YU*XnYlFOfWV%!aR_42+Pn$oplH~kQf_zR=lV~#L=u8hQ zW$7l4d6-W8WZG^7>Pa&_EJ-FB&_nZhrYB4??h{O-Twr0_6y`Gs;0X3di|)AIadHQB?^|qT;27JnmJFpIpzy3QH}Lw z4eSE16=1#&jE?F}56}V~8jH;IHg-db%Vztk_5IQ+;Zt}*u3o}n+f1fVLQu$nt!ZbXlhdaRFj?)ufBobNC~}X#Tm`Cz$D|#4Wz>|f_llfxZ_lS)$(}{CRWO= zQ8Qg9F>D3^moDHlJ`^|`j(rVv%ld_Wt4Tsl!+ZhN!cNIB~T|uvZ|H zv3lXG5_bSMvkgY-Rcb?QoTk4dX!@~93e7|44`5^*dkIF5;m1Y{r%6X&GyPae+g+AW zPVSZ{qNunEpqZ3gZYQu9 zxbKKF84be59Xq_%8sYd!YrE`KXP-P({6A6KWs&k?78(x`t68reQN?BI6h^MR_-8Rs zxe*Ro>dU6r8D}%pH;>VU_O4fGNB+11V4rs_drm!SpD9n{F~MB@1wLJsd>-zjABmJ# zO0|E5!;N#AN5f$S^2FsA3Zj!0s6&R+>wLZE438;qo;930Lk}Xi_qj{$OCz-2S1t|V zIIdGE-BMCl#~4WasxN4k90}0AR>0{z<&%q3t+9Ngeg%h4wQrSk zs`199&du)>Oiv*U>^axjZQ%AkacLa1oTWdhSw2f0234m+n;#YS8-T{p8OoJ?pu!vD zaOJJaLmiKuf#4X=TKW}KKN~xngP$RIi-H@G6H0-4@p6?BhI$Rs@YHToKn^8=CTBAX zc=<9r#2-C*+U;RD>V#!UT_j3t7)nntwf}>?FAuM(y81r*oO5q(lAC)&2oOSqKtNHV zGKowgfdq&Ih$MhxAtX^Eki-lObsnnV&`O=HTD4YfwV!jHTL*9+TdlUWb*x%v>uhcP ze!sQ$Ik_i^zVF+=zUO=1JkPl~Yp=D}`t7y%+0)s3A4RqVU%j-Nwq}S0np!ngJwm66 zQ0A8}HFf~`bm60v%#kc-rk!!qaZWIp!V}RmzzSQ7SZzaQv2)xT&GmRjFw*Ng5{dhi zmb_xAMf-P^j~-A0s$niclwI^YaPWY_T%#gu!nf&`>xKVtbb3?}6Z4 zt%d5brK<;f20 z7OTVSk_nE#uZHhfE$_5kXiu#|_2r$; zTNp~^p_=i|P|8ouLqzXPr4p$J$@`&F$<&pk&QdBrbw0IhQ>rk<6R5l&D^--LBz3k@ zC8?L-An#nIN>hdw&Qof1>QzdfuhiHS>oxBJrOH#B1oJLZYJBQUTK|bslT+KtyI85I zDZaDzE>UV`>NRTlsZz63pOAN%QkAL4Y438S7Nl;Z#f*EKlINIIl6G!a@&c3kkivH;d9g`tA*MT(yv(GgG45STUTIQmN#3pG zH72!;oM)KB(lwh)Y!uDfzfb4JG-ol24n|Fv565$!AS!SCWq@`GQG(K;g%ge9@#1 zA^C)oFPjuQMBbB1zK%V*{)-6cU1`+IhmgIe_yFb=dVfC+xs>F?xooQAy-kV_Hv>Sw zqqHydgei{qt_CC|U?Qd%-qV1j1mKO1_r3sjxmS&Nv(#<06`Ew07rAl-@=sQIrVf6NlY z3KQMZ{H01#2S$tepCH)OT5ckrr)+{$ZgM~sq|E+O#ip=0A5^VFAWej;S*= z^KY;R4r1(jvmNwKm;*075;!i!HRyVR`=0qB_~;?}cR?VWSjzF=L88;F>C7p{TnG7O zgYfM>DCov3U&ViF6#uCfp~b%?ihoNK|5m|&H}om~tx^1^3;vhER{UoO{v2Q$Otb?9 z`}u->G6DgW6|Z@~m;(Uw_qdiKcsyP}E7a{#sN17ZcSND?h(di(p#By56zYRfs1FNN z7v58-j~JRANgBJ`9rLK6D`$f)JO;QPz@@H#CUpH2MCdb3m}YZfvR(5mVq7}7ys;iC z{vD<$$Bh)895+&UaxK>uo?Oedg(vB$Sz90G&@M%%NX9(aoLcnJV;21y`4g-#6TnhMH_e6O}(`@a{ zZ(Y*`*6(qRhHMPWB-FR}Mxovtg?e8U>U~kD_Y2eum`_rl+#iLyU7+3#w)%F5OS69_ z)N_I3p%Ch$ZY~(~E&roKnmHAoW{gvy=Y@<}LgJg<5Lzq|LdK$9r>A=;) zyvWlx%!@pIC6;TSz7or|Pv1@+pT0^aTcGabMV`J99-qE7U@O#8k5AvJpbMLU; zL7zh16ovYIj}71;m{O=m3G~UJoiux~W~*nq0O(F!bqK8*5cu!3D5%q-piYm1Iz0;N z3;}gL6Da;WBMRz=0_yi6s2_>{{y|Vf*qJsz_srb@bPq1K%fCIubX647RZ&b=M=@O; zV9J-C!!?4*-IlMAt_dJHY4+I7t)96T=03(X0QMFY1b+B+)aI|FHgAjCye+V)y_MU= z=6d26KinP|)!xdT;)iWuYv$bLvF5%3w3Fsg!Mx;|PXK7=;_}9)5c+Be>g6b?m!qIw ziGq413hGq>HIBLj)T>cYuM4OpU@NGd?l z23#mHJUCa|hT&06!=so+L@|vBFcnFODHTlWq|yM-Q0Zrm5Ny@C%f;(AYm^uYAIRH{DK&FUsvvin1+1qCw zYL;t%WvX;$oixXbW~pzs15|2QdE@f{w$GpdSX~rYUDQMMQDpUjhqQbz6KD&WNGVFo z0&rTsR|vQ^ur=2X5U>4^v~;Kr6o9`1?W8%3HJ!f62f$ifuOal+5M);rWLFeqcNAoI z6yyd0xq`X`LuodKHpAX?hqy^+r(p$O%w3Fs=+T7+F0z4kq9}&6{J##H< zw?{$V9tC+v6yzOIkar5my{Jn--WdgXw}3nlYz29b&xdjoXbiUSV?Or{0o{!2e1s;4 z1faf%g8Cu~>dPpoFQcHo5>Ow9puUQN`dUB@fhh&`FQKP^c7|L=P=jLT767^x7aq#v zLQI2Wk&0q)EK*Snv0PhG46)p#J`F`NRuo}1UKF$Sgp=lcg&7kwx5L~&a4mzq-SC1% zGiT?h&7Gq*cZu5EC9tW*e5}~KkoYBY#s)^UnC}*2iMa=C^~1OrpTZYG7d{UhZ{yNV z*7z6)o5(WGrI_h4^9fkHlwe;9WPck%oe_mPBMNn96za?<)O`f%3hEN5`$VDcD^QOD zTcOU5v0l9hw3GgjS++E0#sW|yt~(IgG&JyET@+MZ6jXf_RDBfGG66M+x&+j+D5wVOz4_X9|4H4)=@Wg}=Oy@hpIN6a(<;03r&N9dQhG?P1{AUmTVyP_bwq9D5k zxq)c`RV%SIK*PohA65(RQ`6v)K^ z5PbqJ5gU0%OnTi0__apWS{vzG4m_{J*UpOG{kg$6w~!l zOgBU^-4I|>=iHd#oErl?>YST1oO6p{anhV+H225M3&1dVr}D-ufT$=5obx~w$OB?3 zXr8x6aco!Sa;=bd2no(FS}i;gR12K>G|$IO z5m*axH3H%vLWnO!A-)jx)gPl6{}}j6^Y2dr^0)N6B;w{|0Jv7Zu`Ug`dYao8V$ON;%CxNQxm&~H_7LwMiAbsHc)5kj07 zg*Y$jxA{?w^P_%SARq@)mt^LG0HzlE{RHOTV5{F2#@QM!2koT!uD~1^H>&{XB3#`F zU0oXZ?Vu>AgQB1gj)FQk3hEF6^-KurkSM6{38+s)P%DKlglQ+uHx_0?+*}MmzsEHR zp`VAKHby~hjDp$}1+^&(YO{d)5p{{DHb+4nB_M7FTRnBO6#L(ScG7%rVor;jX936^ zQQr6wLeCf(cdvM8v_qM$ApP`T74pe~Ps`k8>*6Kn-_rO=B(J88Z{ zGB?M~cmQg^wH~3rrXqPQa!VA{Em2UnMnT;g1@&tI^$2wds9#4x-5#fz_i!nwJA_V_ z0aT8^gOkC0pJg77n>86flEQX90m1q6x1tGP_INmy(*xpMhBo?4L~V~ z*W)zP0=8BZe-nBOX!wh7*GuecJF_OsyZ~e$;X?OBNfM zt0ArS)@HH7evJA>^Hj%d%rc)qX+-AGAWo8%c9+mKhJN%T#k6c5(ifX=EG~XO*{zVqE^?7Pe^HsQc z&No!~7hInqboI^w;y*-3&3}lFnxBu3nxBu3nqQDn^GVbt1^$KTsQFJaO1>Iw&HF#g zQ2s&EGTQlzOp5%C^kNSfmG*Wa=tuBl&h^d90K9l4`mqQdf=k_eUKI3sQPAf{L7yK5 zeSv`f8FdNh3!sOy&d7r=UNJ&SZWeGnsD^l+2EODKnYzT?3F`$qfA% z&`z4`G|VG-mjHm-qwr<~p$$|lPxGTukdH<|J{AS}SQO;r0&)lRDagm8AfFVFe26=1n4nYaX)iPn9GY-ScS^(36dbr2_+^z^5)W$4t5n5k{93iQg2_BNcRX1i& z&oBvvWvDL@{6UzE@zG?Ak0xV6G#L}3$(Sg~coq6I855()m@LVNmj}t%OOi1Lbm7Cm zk_{}HlxYIJ5)4hs4578#I#ZH@WN=}TF`i)(F2uD0;=iT`B{$q?GTdk~yl67KXfk|B z#_Q0h$?&7eh)XgOy9de0l5)KZ=)#%6@xw3~Nr62N3{6HVBN^!+8C*MMo^{Q|h?Y0H zyzxMYA43mHGMzZk+u z0@p2?zZpaLN9>GFI)b+{<0T1iL>JS%5nW94W^^&lo6*HIZ^>es_n}Xd@K$s&&D*k= zreI=_gm+{y4L07`_Vry^Oj8TGFdw*9;?ku2Ll)C$PJCh))}*;K)BMbsbr9Qqm-5CY z#GB2Gmtb(*Rw8V@v76ZYBkYxSwsqsUpa9cN)Pr?+BgfG5H!J0ra#Jag-29+$6V9k;3Uxst z_V#2ME;xJ(>bWHWNgB-JExIbQtZJuh6JUC;4S=LEqt7GZnzMu&gRKyqT`MQx9F+|# zCrq5Wl3rQ@9jF7HnLD%6kBCf~xc3waEeM6CM)(saOx}C))Jan&Q)oYP2{gfu{LR{# zE46kZ7V}A5z{I@L5ApVeh|H~7f(To^5ahgoTEKNU8T;KEIoi9i8MWy@*u}x4|vlGITk1#}|(|>q$%ofD{39jT`j`OcjMRgw)_-aFc3YM6Q5&3Vprb5A0C@Pw| zB|+*A>%dm(PJZ|VA6se0zQ-kTNw=Syk&#d?*=Y7>`mckgWGBC3(ZmmMO&C8Og`iRJ z3O{LMHc2LHoQyqN_;ic?5}7&7P~TfX$MOXyDY(Oe)H$1_YfJH3JVHb~~AAhH1<$7x!}M zP(se@0D}a|H;OWWg+1duXWll?1Z>6Y4We;l-`cB66TNH&qW+<(&N^|k06@Hz^ zF>`+exbLvU3JLu^SD#Kuen3b0u%w7Vm8gd+&k$Y4TttIx02=#hnSiZ(k$&+ zF`cKCxa`YEMb14eD?1zUO%~L_2XBOGA4hXcP0@(MPawO_g7|+`_?lODA1;TV{Rah* z$82uH^$-hSubnsFQU-e+5#x=$4p@C3hjewf;M+f~;aNjcSJ~aDv7Un)xW71V4{qQ+ zieTIx+|VMV9}f<0P3af2MqM9yQoZ0T9Pcn`u63hQP21tY-Z01C`Xthoc(e)%n_9Kwtd((paIm{s~|mc zZ@?^A1JSLxB)ps96%2OG}{ zS5dorV^l)s_+96~tpB3iABOL2b^QlLo+rnCHM_j=-W>Sgzg6TjHq0Sc{wHO_ZZ^ff z@C)G=zt`SV?s|!3>^+tH-g{3G`0aa7!TgrJr#&3q%@^!F?FsMMd)gBg>^((D<|e@2 zdr!gs_PwW~t-rmejMZ!JX=YHk_Y{G>_nuO&*WObEW$rx%iKel+qqSjOxc3xc{q8*# z+5hI=Q^@KDM}F6{t-T#x6zo0C2#xMN71j66W{G@$)p0N9bAdqX1{-=8 zL*px01Gq2W;mcD}jSr@7XkORWEYYe{-6b)L}1td&7##MGNbkT-@!) zvkQ|9s;H~LkzaYZ6-9N8E%;bn^8$pWoGc=CKg7*FdU2fJO=73sx5FPhI^BXD;hf-iFMtSL6N(~oq|DpZc8hwNNo!$ni_S4zSSZ?BJ7tn`N3!RG#i}AZR_p|V^ucTSf>ZL zofIv&XSmM-P>3O@0PXJdb6sNT!*$$TZ!>TeiodSF-5~j4Y6mgKAg-t!G>1P7!vl2< z?guCR>+vs-NeXrL`98DzBab^nhnQFJtI^ZPKxe7@qBje@ZY}IDdA52`--P+{rlW)Or$L$fg(uG*vL;34?pGeoC4LLEzISyq>|{TWaPOD$1eT%mNtSo*e&8hL zfs^!peI4#|g|lV^9pP$9>Yj5y46SF5Kt+Ty9sv_euXq1gL6)5wof=)C!@; z!Aj9{UX>vt6eP|+h3#Q*4haq1e4bMt zV1K{;#ZD1Jf*BTn;o%ZklEeOndH89$}3oOQbV+ zOO#h`-AgEgm=*8wD&Yj76%xvfB%UC2g@itec!VXPlChH6(6v6~6Q=T|Vqob9 z|4iYti6ovt>#uN+9u0HR_8i$SJ*)xe&il3dBAXJ2qmWGX&u+^@CarHcyrt3c&#@1j z9Hf*{%>8pI#iyiGDpl#@s;=|8g_FpB6KMSNWjIro%s!XY1xE=lKYKY!mVcpE+l8SH zJdOTEtbACPC;gX!IO#tizqg?1W)YzO69x~JrlfCa#Dw<=Qd^qez*2EOBAAm{g*n4A z+x+Uz6VF<^gwMNC{sW-=k5l6)&;)tbk)_tad z!7%V}C^uLPj0|VeOp!20hI39sg@#K{Kx#+HW7wk&o|_1DWoRgx!lZsI-r>mfZCni) zQ%_3cpd_~L=41Bq-b2usJCK>?V{**WopGMlr}W0SsrX6yyxk?NGp%CSI^Dbrjdgoq z-2eo~VxEDnUT!OLvs?_pj6TVwZcwSS7_I)(Gm~Eckj+;aTZ}(;YqbFzIf@&;>w# z@%Zw_6X3FG0~}`$DSfkq_p52xEq)yYZo%~+0F7_OnQj}Jm$YKGb3a4z*CFCeV7l*s z%w-^Oh{ME(@qf~AMDVBMW>?%S#m(f2xS6ycevSsU*D~A`!Sa+dP;?mkL&m;p7igWx zQ+Frbgz&2%3A&W@p@{H|&}e<=(Y1mRC$A~j9hortaP9z7;0042S&ANJwb*h`{+bpTWJM$|e5B6Em z`68}2W}@g8IL>q#8G&nyiE6%HWT)%{MaculGCJc?dP#!#-6Kb+sR$%(Jq$6PkV-@Yb;qbUV6b% z&n%X1;N>thUc0+d_7Y(i%*KX9R=e{jl{d~tAuz{#b6{fD%Lv;FrZa)uHQ=ry_hwHx zw~~XJg4GH0hz%6$0&8MvGb*%B%$dsxg^qbbABET9yXjM~7X`8Z3?rJvCk*eA`!>&> zw0Y)ho;@wDuddKMdq(oC6BMko^Tnbj)^(^Vr6MdN8DWljTS3eOfWvU1#2!Et*e(H@eK$GgeUZ)H=Et`s;_;H7hL3^u zimgp>L;?F*Yk=Wc#c?Nas6tm7rMsED*=*j9hrmrL^a6w?6{6wzE4jfF7Un6MVKdP+ zqX#+8Er{@%Mk1-mC%Q7k-G-9S9fz+lu&|XsUhpI6V%XD7+I`_-hm;F zdy>Rm9*x^)dc&NjDryn^6jgD^V9YnmBX)scHMOenLQ%L03MViF7~^<@f`5rS@dEsp z9Jre1GQo;L9gYX(=vQiS3*!GqEj}4qygp*_2C?`;V39Fypv7CZuTkLI)JS2>h!B8(e>~f@Y z1+6Ci1yGmAF^@KJeMU&;6|Ej=zGxaYog&&UMSvjH<111f0>U(@9bdJiua)C#wsN!& z)ZaX|#Y-xKym`Z8TziU7W>);6loi<#Md^UWE#8Nrq-7} z_qI~ao25Q|R9_YNs7}Ufp^xgNQg#C$1*G-SGD&EUj{-XI(Q=6;G?~)g{@%JeUtRsIb+z^Fb5Y;^A@HpZ3SJQ3YH|9b zb=3{FI4SLJtJTul&ANO5YW)QdkxqUUxX424Atne^iWH|?pT zhkOWMi|bCPn2r5_TFUm6fdnF=-VTO-WV`MRH7CEKImH&(FmLPH$C%H8A!ITxTPC zOK7k)FsSKj7YpO|vli+hUrP(^eJ!-L2L`sNf$JcgoP~9Ri2Z12U`y1%X=31=z`!kt zvxWvv>uo^hRRRlFs0C_Yhik+_OqpSyqWbKLsD&%V!nF_)Clx~ecv`qJ!-6!voT=$( zZdf0@pfY!>6N8yaXe2m=o;~zYrIuo_lg4V#H1Wna9-z^}nt* zJ`HU=9<}kL*!V|a<2r~xM;lM}wt;3+Q=-k}U$Aiah`IV1|H_)yZ@8!xcnZY!f86KCp{+WofR_)bbN28{6c+_5L zhP{z-woumIY(#vR_DVDC$urEEwV(jbjLSp24#J<~Dub7}w^B{b3`|YO>Ipf3e4n_s zWBznqsO6Yhacu@|>pxrI3|nNKeJpgoOc1ksgOEAA07a9c_yW==;Z^(+L|;)FplIrY zqB+iKH#RK+io>EP)(DFEi!F*A==_2x)?}cF=5utm(VQNaS6wF~;tja)#5@+_IX%Fm z8GfeN(zfS^@f(r(^5kqmv`cZBGr!eluW3wkjT)uF7uD$Ok%1Ggi5mT7hS6(#jNXE1 zkJ9Kb`!mWVlFfB;()bQ_IL&bF1JZXoOid4F;&#NfIkx5ap*Uw%uuQ7zJV^1Hhwj$D zQ0(Xb4XwA=WEk6+DzuHxUASGa7B^VCRLyTEa1;{GB4Axp^DiM0^HyP{N0iT}9Q?yM z{eYDqAK?fF+r{lfa5qQMF>g&hq6NXy)c|h;@x{D#LBAsJMY*dMMN`$jR zGPDhJY*L9P)e*jRMcmEG=>liJ7Npl4#mPToqKRLa!HRjakvhj4g;MHl1n%!#F4(TC z9sh&1EBvZ4zg(P$S)c#j+7--1>v{-x-WBkfT{7tRT8VO<2Y3GON)*<4I2iqZSE6*| zv%EM39Yw+}FS1V;PeDiE{|_rsG8T1(r=Z7smNGnrC!%L50~ndFHMo@FLkje_lp)Kf zbo3QCg%j22Z15HMaX#M0_Wug}UMekfvU|M(*Wg6?QXUNn-Z?)1xH^Lx_wRXJ$e@E@}yx^Gah$& z@)Lu`Jetkhn_;JuWSEokrywAm^7p~NeEP+{ID_%<7oc}vjg57 zE^z9@WkxXOvevb&Z5vzpd}hSuGAMGFH{ig0e3dK8#x!|XOT)Tlomd#f?|E#*qBbu` za8z^=Ka&xEk6#Eb_yf=<;{S+eVd+By?&BXbKj72Br;z%DNyM8Dv~Q&gv+aHav;)oS+ts5^Jf=^3 zSy9n2^nv18Mw42Sy&4}K?!@i`IxWM76Hi!?(olq-DT;_>TanQ{2&+5g{qDME>{4lR z;yD)8SgkF39*TIb6hybITsgLo#*^l2mcg>|MTPWm%37Elf*{UJ*E#XDm6;mK5NK2; z-^$F)aD6YR11!|pwvNicT41qOT2=sdpar`CX^>S8^JFVXbt^qDo(kMQ$hyC#KS_le zhz_=*wb}TNY*b5;(c)U)n}zRUbUN{&h6U9vJHQr!esC09<%fudsNoW;eN`Wxs^7_~ zZ;Ggo>KJbIuPHi!*;i`j3!-&JJNSf*GORe=vi9sXL22ui38QT$bQSHz2xH75iNC3c z%a`Iin?phJI|rgbP506;PBeIBTTIcHR8cM}ys|S)(RtLdyVY@y$=1yaJs;qRPckF$ z1bbx{o9s>*dDyL4@x2TiQ?KkAQ#6Z8_BNdY&gG!Wnl=9gW3}yX5 z$i;lxj5BmS?s-`{<+CPA`E0My+OfLRQedkLd=w-;%?yCdu=#T<`EHjA&0Ww?(g2cF z30Bd|jWeuf?jpy`QJI&l%$z_*Zk%CgEgSLik*;Pbs&)L@Irm73-o3C|q68|cCC*}d zvs17MaUp|u!W+yQxmnk^9$(7htus7$8-}Si%@jLx>X_NCFDQjWe(`TiugKapRvKcAJR6MbjAL&6Q80zkT?$-m|9T~biGjw-m=+KXHkZBZg-z&Pmw*+Uye?#o|xnw;{n&r~m?=n+A20fZ(%se18 z=2u3KK@l|DU3v*)|1q_wd(DG_sH7Ha3htt`(wCBcpY%iSq0s&q>Gv32X>Whft4TjB zsXLeS?$q~)(6^F)ljt55`W4cj zY4Pdrh2BnDeEO`=uY-2Nw5wwyiJ#<4;%6e^2keI(`3E5J7&S}cC;3eLr3li*PxhJk zCrC>X+{X)QVgw50V; z;a8BBwB9B3X3$LQ-9p^}sweNl;u_O#Rv{p6UQ*upCrDj{Wg(Jdu^4l#>(C&_;xXpf zC7?&OYY#E()HZimKR6~H z5#Cwk;X9KSG&-pGQnIuL_AOYhh&@P^;?JbeZ;+PSE-%KSHE<iDgXn!!$>Z4nH3!qHsF8PLPduYfi_N&}@ws7h@E@hdPV5$T zR5H8XIFm>D$#LwZnnP7vzG%}@8x=oP#S2AUe*)iAfuh~C-=SNjSIP)}Tgh&n8AEZi zikmasZKKC=Kw=v7u^u~)0}``Zsq%3gkeEgpk8B%1j(rx>#4d}wZSpwwSWFX(k-Keb zdC=FkqHWcn;)Gz?|?nZXUwTt-mpJ5X>zpkQxt_C?YH4BFV;T}xLtWK&pMWuU2jDB(SUF=E=;KB54Op;K0xtZI<*}4QhKW@rNT2*X>*|T z)==rR04Vy4f-JN8xyu;F{F%nSDTsYn5PP&#GItAhJi$GVhO)(NqRufpjJXmD{)%fi zxaRMHmK}kXNHmEQb}O-L>}AB;c{$dKBJR>5)+tW}aYsuB_DLO5Zu3V=ANENdJ#9;j zmTqjoP4+T`m+3k-&a{clc;1+?F!&O#i(vHQ!07X#(YdU*UWgifAuw8&>fsh2rZwrG zL>AwIclH**e-7gAjDq^2VWoTym=S>J8E1^&Gcj_ZnRyxTs#jpsI^rE%Wc~7f2vN*@ z5SUTFd=Nl%GIJfBc~PF3@n0HK1KkJULRq{vQ2%A9UNZixeltGM7iJ=QnN)NsuFnB> z$WRN`k*++u<^tA8Zy3c$!5ZnBW1*d9V!(}NqS*Sje9XZ~PO!P+090{@-iNCmQFku3 z-WeI96z_}*EU9-!1t=p~sntMcM&d}Q?a=%xE@Wg^pu9X(E*ZJI3@rLS!K%+OQ(W^p zGz>owH3=|Y7pR#MsBxxqz*-9aDY&*nX23A4sXYLJ$ln=_^537)CjS#Nj*|b$nT)g; z5stux5$Qg`{VWR1qVz0AokcypyqfW7C`w!0@cb@x%@!zJa!`5W*FbVJkVu2DFhDz6 z^1UYN(VD=cn(xXzr$zI9vB>@rls>;pg1F50TFLh>z>MU3G}9v>(N}OyE%4ostLz92 z^-CIgyS6 z1_NAkYBoXlA8`Ex`sWU}Znz}W&$&HwsVj4Ps5*DBA9$%Nb9>nSuy4@1- z(hJaj`bw5Jo-P)$U?_1R05G7;2 zq=Gj^!O@7I1ERM?!Oub4F_`W5H8<6-Y3Zy+(_t5=|6P>)9)g@3VgEPm!c})hT-Rpj zv#Zv(cWrh|S6pT}&wv9j#)XINvA~C2aXZlI$p;Rx#S4!4eq5SQM*3nE_AS8l)uq<^ z-w$F=S#6ij92J)dWn{5DxJSha5v`;8>6kdjfp@nf*?Dxsv2l+3ULq~yzT@KTViX=J zS&`YT;79FcO4%GQ2D%}lL&Fn9#c8BvXn3N~cTfcy!jpu05mYp7nmd@5)5I}h%doEd zN28|gAxYbuPQ=h@JJGO$v`*UzeF|u%?NOnA0SYC7uN*6ar-&~bf)wUvN%kFp)Nu{P zOAwdTv(*hypnLRzTHL1A4tx|6Px zmHsc5f#|^WKUf7q^rtqWgN|OF+q1soD46#2C>y`m`i>u<=;4)M_?3IP$LJy0T`FI> z=R3pJ2E6rcY-z_Fx4e(kwIle-J(&M{(ujQJUOa(n=zmWd!OVqV8GM(C1z!%nau0srlSb^xQ+Oi!Ti17kAx9!uCgI>*}Z43wSk zFoU~8amZ}+N{_CaHNyEjkC z#6VrO;>}k&S-2T0y#-3;7jpBySFKdxKuiJ2I$&=wU_bcfoNYkY9Kc#V=%mb;}B^xU3ELF4CiDaNdRgJb)RFe&l&GpqG;hW1-wIpPWTZD%O9$JH%y>Q zfyF>8s@XcVTU4)u+74CiK&z_m?yY(QA1U;JL)9DmSB>l??u=JSCm23^U9j+dwNe>c zIl)@#1rIs|VTO{eqU2~OI8l{s4V7#Slnje_4@$xf2ipuQm6H*Z9Z3{1? zv4ClM2gd?l3|IqrL=}$(zTZjk&iwSyRA z(4h?bj3mSGq#S~P!Fhfo{-v0tP-ma<4#wA8AvMIDOC1t@z*Ia{9AEzfL0a%%@-)w^ zZ^FXzrWZ|q$oRlA@^f4Gh<@Q|xhcX&YDs_P(Ogl!S5b%la}GYHuvXVFd2<&^A<(cn z>)IOE;$XhYHb}90>1=Q4Xs&@Y0;@DIk^MM4>&;Ut=?v9jB=;6H%544oj<)W0#=#3& zpXTg7k6sdvH~-(jlo*!TZ0I+M*1b%|-0mA44iJ1@_DR`}aj3 zxWBUp{RgN^XC!#|+2cG7f{D=wg+t0t$A6O1arR(#n)aVNRH)M2XW%V=in(3L(YY_c zlwV=~BIH3N6qsWf+#dsA>=0kZ;;$wO;UcCFItE?SbjL3 z3JTHXxX<&O5uE4$3iqi4<(3PlP_z)BstHESs=`n!gnk27n(pzdDy8jFfw6f?21flO0%HryB+woe7^|5pWN=hqY>~W@F6-y0z}Vu=BHG_kfw3jZ<57Xk140=@ zr@{kFd7;#_gcF4ROG23?#1n)L#Jy@DED4n?l5B%6uAovrVJcrL2o_fGtA)?!ig*I8 zEx5N&LhlKQSnWT7GI0hZrpC^mg#u}h3XGj&%YW~q0%PY=N}f=uTzjT(V&_!~Cy{+J z5*It41q>T$>~Vm7s-xHi`-ymd_FELcP;2za>4C9}ST7+5k+h2eG0#6ve%By0ik)s5ISIeLjKl6zfSBjg;sM?(l$0pfygc>?IA@sndJn%>UhB5>imC3g{Yfg+Ag2af(b{CVYByjNN&nj| ztMAQ{*V;Kl4a+e$nb{)HcavF8u~mMEXowmvvD#Pl;i>watoo*i`lycKR{xr07Y^8# z$@H93v!B3PmuzfUw{CSq<63k8y1F~HPnUs{GtriF5`z>R7d0zEc%w>Hi($=>_c zaweJUP@cJO%?^g_zO|gaWT3@;Yq@MUbM`j!I-=|blgoxNXR73e>|4w8S&!xU?B@?- z4a6js@Buc?uy)+@vUHx$`VXT4R@+)`dX_flOfy%o#yiU#nHmHeEv@)MP>X#Tk1-eK z&mGgrz8hPjp4{Sll%59Pbg?~Rcy}K8tTO|&Wp1avdWAq-<(2Z^@8qqpC z<7wwndQaRRbq-Rr(*f{9zQJKp_alC?Q~DjfB@Z*TXxsod+1Tr&YUXgm-ho?&dO;S= z^!UN7F&kjOEvxOREQur-tvP1Blw%YL*GUtGX)`kbVmQ0!#y8U2;|%k#rt-#nyKr_R zRxyv@6akp*pyMsUi2E2XX4qZEME;0fNX9Lh7{O7K$2TR?g3QM7^Oci=q1xV|V_z;tS5^DtB#dpOpGL(KzN!K{@{XHeNtYnS23pH+?2Jl$bc zBQ?(kOD47E*=TE?d|PbLEZJU$*`#gwwxUs;8S`jnv*G-KyRBpd+bi>ZX|M1Gc?6p) zbCh--wv8^8iscw7z*la>E<(w^V~x$fQptkj49n!#WV2swjt@*~J$QoDgKIYh^`O!} zBQ5pdiBb>#m9*4@N{`%({bXyR-PmB$*hv!OkU&Da0hzsNEMXLpEf5)Jw?h@XCm)6l zNof7U_9}9o_py@50|@wZ`@zT}XUsrM z$e8(ymzB;foxI1CJtm?Ubu{itIDFmM)X7&jeA&1WAJl8={109+egSLq&M$8~8b#6N zS3OqZ%Vp1=h*V&)Q+I1;%i*ofO{IL5>Tks4a0l6yy8YkH>Ri*-(N!9e@`5k<`L~OX z7^8X#U0fBeu*zI*<+(A{L&^Bc`OB&+oqVrzp20Y_5~IHfj@L~BT?3)YuWTkW%kfMiOahbWxPrmk{5jY$pTBNRtqt2k z&DDVDop3a7=hoDbI7x`g6-$;@I{x1T?uzQgbCxWrar`$Pqz1iz`#wgRb0E%m_1`B3 zSEGEy6nMTbUwnko7s;xrRl!gAMO9EWOBT;}{Le?Yg!NYehrBE8G|M!ojwy)wl8)NtOOV3Y^7EiI*N3qT z+FhaQT7E2O?tn;=UvGuf+!b~8Ftqk&kTL)FI3w^Q)HPBVn!C ze?!y(-S}#1c0KM2Xm!B;-3?7@c60VH1mHs_k$AE1i^YK}m((q_rVnv~>huZHT>VIX*cptr?v6EGGfZ9DI+n zX>s@Z)%e^+?0w5ff>FD!q3ba0)va!FVjtM>{8Z=n=hjt*CO#BkSaszjn1%NNr94%~ zIexE1#6HUTC(;}Zc4AA?jN>m@T2;5K1_jnL%z=Rmsu$PQS1g`ewQ?n<_{+>lbZk;B z4(_BtmoZ8p!q7?J$NYao)xZTS7FSBFandzptZ^B!z-2&_M3^SOe3_Nx1MDwaWO2mL z^lFHsYemKKs(DM6E~==nI>0H2f8p_b>C{GTj_Ml@cj8}qZ}A~S5vs)EkoZ@YbW^R` zEb-ueF{!dRc%1^acY&d+5JrhV+Ut3lp)haJiD%PRib>jcBx{|4l_{yy)k|R@` z_`fYDm1HI&{iShs31D!bkS0Xc=EZ)swb(4^=>blwr)J9G=sj8(*mn?O1 zM1*yCF7E)Nx&oDIlEkvb3l}e0vDnEYQCT&&dQnA^9Ow)qIalvXc)zT! zYH4NFyz0eOmCjBKsHtT-hwH7>%OiNdv}!KftI@ni-6gumkYIZu)Xt>%y<29TbFa95 zPR)`z&V6zVtyL9^ock@YWNF3xD(8U=R#oN7C3E(xnp^K|4`q77c1RfY1yT=6$lQ6( zLwX~P>%%GrV=Kk>Hv)b+v?7m=FC|1x{P7mxflI6AImaI_WdhH0)zal~#PP>#*{G?h znvbmd<{K#@)k-d^s9Ojb)m(pIZ50DRI)g`Y!B^GJp;;rSEx8OoG5=uR zUd{RnorY=@fcm;6%TOaGF7FopQTQEp32^#}MeYS4(o8h&vyl>}IvQ`zvU&5^;9YUE z#J+>EuR#Pqaf|zhF!n9(Uog_^8yj^&{j#N1PU7ePkmz49`b`Cl{<${@eP}iQxpzp> zcSH{`T(vi1#Qc5mJM8a*VVHkVsAQP`7W&`B5c5}wHKs1+6J^Y&v4JZq>!fyCl}MQL zp&;D~KO}NY&_g(vWUeJ`n~K^S-*#%D_Owlc&DO%Cxy z%@kSw)C_(vJ43C=%!o*DL&a8VHl*s?x*FEOiOuV!nRgPyEVD9H(ZegTyanK)xZrg~ zSIglx7eWO)S)m#TEoMi^xi9xC!@mGH0d{Ye@>aPoYp1j{WCYiMB-K>E62q_5yoxCM`auHbMTua3PopKbcb1qaCOmLQDApR zf!z}Y_L~5hEx5Ln{MK}cwKRUE^su)%x1y$I4!-A%Z$3X|ek}Y8@H^Bzjn?xv+!g;2 zH^ncY@ztLTaMw5b3McWjc}Ai?A4dNyjQ$%&mmi59^Z9RJb;dWVrFT(oTi`Ui7l~ST zhPK9O_Ae6qyZa02r`f?sEVX1JH5XMBK4{1}BCQ^r#4>k-$R^V>;nl=)cbky;smkv5 zbuCzh6%}9M-YERS6b^ow+t$`D+p0G-*KkEFhkVZnyC^lcZGC$~W0#XS(0x;aN>ZHI zZEtQ^+l+7RwI0@jLBm0=D=%wGsjV09V0S0sjZS}px#Ywl?o=Viq7ZzIRF$w#0w=J4 zqWz@3V5V4pq@P5WLN<-im_H1^Lk;^y^o&R$v_eAJ$D$83?xQIA#j8+d6?0J+gjR$? z^o$B!1*d~`bjYGpl*LYj#0UTUkWa5DpKI=l*?|E6wvbO32_K=)hoN+i#u~?>gvT;u z(KpJnx)KObKhRIgIs!uEzU3DUfma#W9g8T(>dj&5_)n7l=wNXyaf?ie9$Il9A= z2`wsjyR(IxOc$}zyT@H6WPZAx`Slz32SOGy+n2Yk>&8c!6TfvYlfWVd);6>{iF<8` zlnyj;M|R7nv=jHacZp#!%mpcJxSYh3?xPY?lS1>_g%8T395NnLIbpPo*uH8cRl)H`l^t{j8hgn-Nk&|z`rl#Gb5GHf~}^db`+#NcedES z5=LZ>_l{_ViosH!FyniKV0hn<#q3wsdL3aM6tY+dl*Oqi#Oe-N%w=IA^sF$HIjs>` zdut-XJ4jvyexy#Hi$klsJDTN@EW%ia;~RY>zw&;lV;y}Y|LI*Rqzcfe=Fcr$QF7Da%%4ZzG^Tr)AV;;}bfnQ`Zgm zi}6-2tj$LFi2?wl54F|897lklU zEI*lf@jhf@{#W?bykHVV3ZbRA*SJiY#$`VVtSKRj$y8QmRj_}^XS$W&rz$uy6rwkJ zltBM^A&Z_-76;bFEDPY@81m^U<^Q*y_h-V0^k`2+=*&TYCYR0?7DC5_p>(iD2p`4b z@D7qQzzuzty%Q&0||Cz9H&_VSQRe`?Q>K1-|7_H&wR$C{A zi#Wgo-*Lq_w5?;a^M%HeQ6#=?G6(&&FQY->=S~1|are4);ToHN1_t&0JJy&vUrP{| zXz&Cp=U+lr^DB3m$6-K`B7_4sG_( zv8xhJ&5)7x7X2QF(N|5s$6{iKTSrj|C$*S9w;sjI^*J>+ZZFbI}j>_Vr6AhHLTl`!SoeQ?b&vND+HQ<;o9Gy55F7*+>5CG<}A@66y*cEj!!QB0>Le1G{xSs$>XgjE&bop-fxq@LtfGpr*_#&VD z$^MOd4`AWJ!f@Vx@<)i^lRtdqR-*~RvXwq2oa|ih4~RWfT>TC1il@8yp`(hK^kNA+ zhzy3IeU4$OK1oM}%KGd;$^MZy4pOCNZ~SV!VP{}8TAKZ$cLIX+0eQ)@Pj>o2I^j)E zvoMkF=F|6qCG*n<(W75@eEPU!rG%QYzw)SiWPWbNtN5R_F5LZ=JNK`w8Bt#_%WMsX*rxQ7n1wNJtINK?=*0_dm%P?Hw%}FxykxFs}pIDeJqF4^S7A)PltY zPV#Y9j!aCGY!jtSr;~h=(Xsn&$nW9Jp zF6Oh^Dm8E5zL-AnEwod zWByFsC;7}{(iep%=Kmv9O)#oDsue%7N>lNfRz8jHO1S-`OSEvr-OY3vK>T+`eX)QV2t1-}#V{2}8|)blRXD!*{Z zU|`9+h_w$Z5zN9sD(0u;H;2z7!RGyh!6S=OQ>Tvw=1wl^=-Kv`nx=IS64T$dx72iH zC$ciPy`^SoXnx=;TV%p*Z>gED5wKP%sKU_!Mt9svAJhs6rRHq>YGyqM|7YBtNRnJ6 z<3ju!yfYt@{C!@*J!%oV?Ja-SkX@N%C#z@MTmHTp=7(Vuo&4DvmI#p89XRQYpF&@$ z$%U3)#$k5_crl+Ai61Mw@U64~^+Z-gH2D<-R^}J{c?Y7S9s1UtZkG2g-%7LGZ~0c5 z<^E5;m1e!o&a+NignP^i-kS)hga3}AmMHi~!EW%S>~t&mSPK#gMOO!Rx)prFWTL=2 zMHDXh^lyka^7ev%J-P?tggeBzQOZsO75qCW`ysanfK%{|a((H+^hRY2J;=UKcfUK| z3S7hDf#-q(k*!%evl`vYRuFWQ^F>^G$(h~SUedl*UYH7zXY70{h)EY1k5&34G&TiU zMmhy&c4!Y*o#7Out<2O=hCrh-`Br9ThU2G`DPBsYHb%+sQOQx7|Qja#s@c^OO(o8E-0Kzr%e#f{wb zmLZdApb#7mj!zp zwwE3@y`|Y67EG0lkWFtfpS}15s~sjrmWLWA@geScSvuyk5=39WzwK@X)67obqB>pC z(z*i8o>n$C4T~B&*E$2I(ZI;^fv30c$%?V1d0kVdGw=*jY?g5DU`InuTkGM7Dyu8k zWA4itc&65dV;xx(emsN)&n|szIRk$<1>C`PsCtSDXJn0s5iXZ^3TLVcC(8~A>o>O} zbe~}U0PSoiXWj~DsazuKFihfgI)$^9P7b*%g{j9HrSgZI3HKE)D-_s;P7&=Dt~ej$ z$kIXk4V?h9YpPp2yBaX7vC?h*G+MP>QAJL3gv4a**f;782851%3 zO4u1xTPM6kCad6 zK6PeE#&G5(4zXAu4)1Pha?HzITkDq19#S&^TT)(mTPoLD?Qu49H?6 z&-edihNQZ4A-^5#nAdKXw!hs9YHeg6!l>lyDtV-nV6XIf=$FUJb&8k(^S8kW8F_(u z^SDWw>0rAUY+n64hh9uaPpu2bWNu!4o1JPjzFFV8dG#HYI79~C<~|*#u0B11OYGL+>T@5=!WhP(py*5K1tiCLx3*B#=PJ`@S_Z zd+#I3kZ|vP?~ix=`{{Vr%$hZ8+L|?MX4YQYjt?C1jyfN=^03A&KRX+d%B;ai;FFTX zIL~6p`V&G(8ib3$5G?F6THt)I5k7;kq!_(H62p#QjtwQq(;n8*{|eB7*PHLt;? zA1h4KDQV7C|=aQ>N*Io0Vknl z%hYA+a5RcG_p8g*Ln4%&d@b;=P+H=91tRgURJ^5z_c;ZNpk=75jD8IBCTXdwRWV2n z_yRpttgcnR1W-ULrMga0T0;KjHyEt|Eu_8ttLw#32gM>kg999V$nQp@;U+NL8ZeA* zGH@y%E(tcGL2nkyydjCp*C?Zm1>)OiO)R_>j8v*y)tr4%_?1WZhi(uP<;Ymb@{B@&9e2mht084edkb?$P0Bo0qwmdkK6 zry2fSIzY)}Qi`HjSm9@XBup)Io(tJxv)nr!qaGd;g4 z4S|fqeJ|T{m+Pu??wFai5_<-&qkmSq;y+-;|Ha1pvtn46`mrRUAvp(!Q;W*hDHg;; zJy%EVhurV69~ZEjjIaM9wbGnMxbeQ$6;Fd@o z7~`Hub5RqMW?R3i8FF<|Y*o|@l*JN1@<-%)NsPO>Q8?$|+X{RRCj&p_G2XTwrI$WG z_8^I^Jd#|UA4A;|wr*Lgcd4n`;QhgpRIM~rwSJ+h^$%5TK&WbEp{fmx1yvg)Rbzki zR+wgWNQ~E>byfC~s_3c@l`1-8V2IY=7+)G*s70f|Joq4{)P>|z^nnjF4_jElKg3Re z7NkYRhcSwZio@7k9}3RM;q0=Hbogp^&7X956Q}2&CG3pB?MQ2hU)!Q3QX}=mf1=d< z18nR3Q@4&#|3Y$B2nYYtVG&kNTnj6_UC4AaVqY)nN=PG&#yK*a;r{jHVBCTQS@Ta( zvIMF|mMn|cDYAHtz)N{Yog&BKy6sLA#L=UgsL-9RIzZSA;zq0sM>TxOthn3EiicQ; z%!+$tR_FrnkpgugKhiT~EKk7HL3$P8Bm3JM;lJf*6gV3$07UW~6y+Hh+*gb{mVTM>n43*w zen4Xx6mmW29aXRMzl6NM)cHR$`Ri@|saSmR9>-A)DY18UdQ-J3?x?jXG4*sNMhc(n zr3`0^Y`bg;n{^2jX+gss^n9A!#?GNS3TF2TtlKK-e9 z=Jpy2dP5g>p(*SXTbO3`w627N=MbfHHKpC4@|LFbj-hmJHl_2rQnCk}YGq24lDCol zLrr4z99{F3mW1x#)j~r0*RPn~DAuIpyz(Pt|EngEHY92+31=T3*4oy7KUc=or$El# zP?`A~2H-O$$NRZvP)(C*_q$xtBQyuU&ov`Vl#~y0Wlie9rUH8DBCIhGwz-^F&J;Nc zwxvzbeVal_gYkzEoHtk3aDrt|)1TzmaG!%VHMDKhEA4W;vw)6Wo|J`l2q?VS1Q#C1 z;$w{S@D5bQRC$t|?MB?buW`PXC+RaHd7R@+r#`iJlB`YyIHQ&CsLT#}xb|<*xqA8* z`VzCzBP4n)Gh-_tyW)BJ3waeuj++OWLOL=U7SzG>*hoq6wmZ(ur!cd}^RkMXAwCfz zolB=TG(lOzhx*V|j+!aai-0?QjXa45C2sxp6B_M^A0_egwzgu8V9NfIGF{KG_El|l za=A|(AknuX13m;v+RO2v1qHMwWjpdVTB)%O-*f}Q1q&d9rKMV>rPT5nsG40o+k}uh zXuPytyFhN|9ouIJAM+cVn#e_m##*Jht8G~=(|wb~j?#18MsC)TD56?_1iqa!5RPBA zNCL}7-|FH`%2rK%sitnWu`G6*rseDNM@e6f&)YtuskOSfYSY>Yj@prQF)ZB9d;G|Wa9d*{@83S7eoJ*T%?v>Owiz3<{79gl zU?S&&CMuwb?v(tI&V_y?Fi$d(HOLN3L-b^me`yd4+*3?!?SA4B2QkBQe%Df&(I9v! z%XtIf{<|@YE%cqIZ&z!bQ8MjN_C zqRuc;hs{`Py8BGsA*-M;%)!T{&Dk8PEZsgRSF63=LaY4@65*g#f*~R`Mz!JGRWsxK_#<)t`Ogj)B{B2eKGf&9ca!{h=dD3Zw4ip-c6m6`(lhM z%i$x}P!&8=OS4&=Jr5wu6`SyF*bTXpegybhkeh8ItDZp2Q;4a00fF}!co%^o-$vk5 z1Xd%!Ng7yZv8KK=n~}OKcapM z#_?*Qh%kn@4(nz15UJCs#&&z*4GNVoH+rO)(vA}V1CdrrfA!5;9rnr5V6LMzVShEH{)*p{4mbf|!+sr12>kEZG)ftn- zE2AduB9oSw%n@-eh)=_)RhQ??{ViZ;?(6)W_Z)sfdhWv#cPD>mE(PBFzv_f4g;x0$ zIGV^l`xI2xlS*sOgWzz|fryKqh%BcdvT7xuy#ZC#Auxx5Rs@b_U^@cWFmMI}Z!mBP z0)2O)IA?YOm9D^FRSDweNE`-ymRq^kb42S{2&`pCRc2NKsk}zd=ht(xw2n7&LR!ae zJK~)Myn&~NM^!DdUxU93K;lr4(A$gQc6%{?KJhA|G+$6(8jhv3uDSk8b~{g*-Of)z zOz(D{3fTaDDtwP>ZrFm)?9#mVw3zos!4&a~EVZLJR2=m)0Z?n~P_cB2`A&}vFCzB# zYr*qr$KV5{;QNzB;9Cquo|sd>=)$Jf_M`M}I2!p2#>>`|WCS6yZ8I$wj*3Y5Tpg||2dy9Zyg}>@U5rcoT*z6}Fq8!yxg^@|80zRCWHnQp*#B?C0 z>M{gQVc<3du3_MQ1fE0y{|=-za2JRSI~MJNxaP$exCbB-iqrhX_+KrZ|1JJ^=wzba z2h^9z_y#reQ~X~|)N0~Y6KnDV=yT$fMuD?U&76k+=l=tdZs+~SRey+p{5z0lE*7~8 ze@C6-INwFFRh@|Z4S9!dhO4x3LALoo=LUUV-`1!*J?Y!JT5KQg=_-XhpZCUOT4y9+^EB1mAFZV#VT>LguhV*E=FER zUFSB5a7JtBQGrH}s;fU@6RiIA%QvlChZmXhFa-yl#-M6-zvp#cIPK$wRy8~>V|_JR zCFgXs;;D{v(+Yor#m)YmOYn#|@2hh6E}Qv^IPJq2n0hlm(ts(wnI9!)k4R_XIG+8h z(PHF?be4{jqm#-wk51s9aU8E!s4;O~t$=^Vaickp$Hw&nI83kgmsuYX3N`qPv*bBBvoeq`pH^GA9p^qQ7yrRsCK`e2S4RHkx-4SPkKz4vwF?%-Cqi zgSOxd*j}00!PMj9*Vt5Y^4kkAeFTxQ~G!BJdUhGlzgwRSGoX z-$$SnfyoREL!cIc{bwP)FUqN!gP7wHGxKofSPJM0K!CRro&xwTft~&7NbOt5GDEEW z4Q;`zdNn_xHQdz`uBX6Vlz0LZUShueZ$YlD$fZ-ZNXmOmnRq3H{);D}9f6^4Nq8&3 ze=cOmKae`nUJ^=J*K=`)=j%9hI*q-H8 z_83nVj}#UTdRUEJh+FEb?c#Bacii6O%9%<57WkGu#*_D2ot_r&$9WX*mm=$Qs8`!P z_F&(O&~JQ)X5co$BK&uF6#i!do>4DvHmD_q6rkh1YNWfJ7j2)e(|KpeIHCQvM-l!4 z^6G8jfM_QeUrMWb;*847Vfa%wc|Tr>cDM#{9|3XRg$Q_^mu|mgwnaJUc0}QyYL(- zSzCvfUV&&`4l_q){gO63iA_Vbk6aAosJpvhOeR%_V6C{mO^1$!ox}pV(o|7*7m&KA zPjeCn8iZqdM3|#bz~Jb`pf!CN8ptg*c!%|f7t%Zv%ME4?F|qZTx3YR}dakZA?-=oG z7EWT7!J63vme1TF2C1?Kq?IkOIR^B;X;91dgz6JL++e1FxdQ%$)78rwU|QniRqPtc zTfc}w`8?mEWVQW5oBlyh=~^#w5Ls;RG?;RkFGJ~|hjH6v*dl01(lAkQ0xaGd-my7*>}e(LfAxXF-j=IGzuU$M{8 zGRY+LMxk*YS!ycNW|1`8x$u1t6qhFYm{A5OZ zXpa8N#NZZOmb2xkHsTF1^ynj%U-j+ADqR z1OlG-9T{|9eaj{~*hq!kDZd3ZJrDkKjXPxqwL4{o=uTPwhdX8dPj|}lKinzvf4WoV z|Jt20L)x9P#QE-&2^n|Ff+Fse8S>pJ6R^%|^9cCvlnGSBow8sc&`Ss4PFV-wPFYak zPFY95ow7vGowAOhJ7tEnJ7tFK=

9+$l2II&McAD(BaAy`9n|iW8Mf|} z8MY3T_a+dyQzjI0r%X^>8b2((;JZ_1{Bn3V*6x%wL{H0s>*U8wh9%2_xW^@q^a6Lv z%sYqflqF!?DKpHjvhI`#`|gwp`0kVi)4Ee85O$|b*!WMTJLM4QyHjRd*qw6z$52S& zPWcOryMm3y7`dhULr3RiFYM}0nF$3a5vTuljZ=^&G~gV8yn-q&1l%cW z&`ZiE3iRdJZtj#dPJ%dlbf>IwQozZ23nA{5by}g$-;;0S0>egeHVV#Pv)w6cLZw}? zaBkeiow7zO10w7(dvK?$afWok=~8Bq;cQqpw628~8_WvJ9hBXW$LJE1Tp1*HDXNdb z7$3&yT1sDoG}-4TyO>gwJ}s2qrJQ~SWo8yi*Mj;RtXaV7!JV>hytzJ!usda)wh(Dw z;!as3)c~oxui-3r${KMg5V^0zMWr6yDQm1+VBx?xaHp)JSBIj-owANTjH`X%KiNxh z?mTDaPL(*jrT^rU5w9mtg=rHs=o8si-J`|4butMg4khN}w<=-!{781s<9~@B{UvjWEusDZf5}_*a$7Lu;O&#CFn2 z!%!A-9gc{s5u`iQ_m@m%OOKCxlpp2!*j%{aQ(fC)WR?vETRsi~3&@jv_} zUo2o@$>jtej65P>F}vORAO3OVb%`uxr16)mV`Ov$Cp++${Hb6LDY=o<9x}AMxq{JOvi@l5cp0VL{Uvt__GG4-vr_m=zEL8lG1Bt;)5wz&Ig^pb zU$TywC3(aCk~Ph_NpX?{f61>)&V|XqUvgZu(wZdJPwOvPBP~TI9gR-vLsb}>Fx6r` z0_LOgMe-9K(?byJd6oFpW177rScqLFu^iLv9i5l!E0WgxN$e?|#DP_0M%M{`I=fD% zM?Hi%#4OSkJ`y`lBYApsKIJE|?*jTL`Yk_+9jBA1RTpu(A^i(KogJyurBwM5=_s5A zAtoT>AfW4}Vvl#tXpkrcH4-~1Ktldw{3Le2PAc<+^!NQFcDYWXH3+$G^OM+tl7!f2 z{aE(2&Oqs{+s_XH^DSUz%}8TJ_WdPu1EZ(pKisGFW=2oR&)hc!G*j|(16t8;=vZm} zFE`eQ^TY^u$J92H&ei3aFhqCeYll^zhrK!baOq9*dqA}55G$g>v=ceR}VjO zBv^?3xx{k#v1FaMf@|YbA(8r?k3@bn5_KBnPa#5=P2O~p5o03|(Nm*^q#6AsbK9ny zZjiT1Z{c*)4fZw)Xqs+_0gW^(_fC;iBh7|+*9vH)+1}o>0v2m=G~9b%z*60iBfLZ@ zo4L%0qY7`fz*yF-zhwP^pJ7HiRC;ZKP~l65G2TTIQ;9aHLPh&^CKreZi{fywsDB)b1y-nmQ>g3QqUaS#O=*O%&YhBe69C zBB1}GpTw5YN!&RXaV#MHLqDA@rPF1q%TF-NgOHiZ`9Rn0!4?fNg60B0iH$2sh^2FH zoq-LkGx$@n$PWQ?E7JQ8NgjAzKU_`;xgbf_(AEX@xGS^vlpt;7VO! znQ1Uqt>{Kd>F|hS^XLX;^|aDTvx(K0e&VOI&>~Tc+01C+-}*@`sOV101(W0)uItn9fPS)1amJ!+VtbL9KsVrm3AC zM5eHcxTny+tx-T$7T4VRx3+FZB1a%@xmn_kZMf(u8nNjDwVx0t;nkY>kO3gx)S6iU z|0Mb`AMO-$YrryEaYr5?8w_O(_%+r&wI4Oj9?J;zA)ZUK5-j6zT}}(i8BhW-q2{rX zL4CkKNp2pS6vVr;?30`As2U+hQtLIj2Yqri>=>V1%^t~Rk43dyD3V&MrZ~LJGz33* ztCrg)XllhfXMCSXEoTS&t+0HLtzgRWxnG65p;8vh*{aJr24xNCU}GGb)fk6<756?> zw8H1wRAo}|NGcht|-T+rk3X8skp6m%-OK6&^77}!efML{a2DDIW|EQ^nlfx_Pj(SY#3VS)`c9^3cSGduH>LhZy zIr3Id`VGL^p)sZ*u?^l3Vm?dmWb#vs6i~Sm9h(+n<$Xh*UP%6SGy3Nfc zYd#6kWX4;Z;I@&2Da$scBkw%6ka|IF1YGbYx?+fWPgQ#OsF z`kSdNJ{6mF*Y|UAt;5#q=G0pyBbuo~+t@w%11XHKeEM|l<>iqj)jM(_`meY3mklol z5sm`wE>>K;sY4q8LG6ls69xD@*yl;cLC-nDOSe=<<>CYE&?%(hMk7=#Kdfyrxi6l` zxTgs+4zpzfB1&>SJ|#%8O7RFv_afKulgi1io~R?&lL!xrVnmDFuphAELlLZuJQBq* zU9tyH)R9L?3z?GQi8}I3q0oTcHspyq@*J~sTj8$*PUIKm5;r7gNozy1c{vxEs*aP` zVL8?9+Mp49Eh92pT_K4Ts97#TxgMuwA2kr+V*Vhe1>EF%y#N%cHpJA~v|*g) z(vz^oMlAnPA4;O`&EM6d&irj1Za0UC?SAC)h zw2ml2e=(c-ara)H)Z2)_Hh$kzg6!xC*MTY zUcaM@1BmN2)Tr2U~kHw(o(f|KlEBU``CFU#L z91j0`*GjM@peRh6PbD;%j~cy3 z`5^M%ioAumzaTCa_00ym+|ZvWYmVXv`1#*ANnjrGfx4}BZeT_nQ1 zr={dA(DythyR~w2)!q6piJ}P-7lvwimDD|UA9m!|UtjDsI9%eCOSZlshaE{f`t#;r zieh6~A4T&P+=>Yl4}BC(!gw!dyQ$v!=+^Cs-1A4#khq7Bq6I0TeE~j-7Q~y~8$OB_ z#8JWJN6`=y-h*}hC>oOY{82Qa*3(DPm`ff`1|LNWNBJK`LuA*Fq7lzJ4Kg1^Llozl z{U{p1YCLGm`Y0OWbS1ld6isk5JT~rV#5GQ%_4Tk-!AH@6t99StnY9PJ430JELvXg;lo-_< zA4T(V#C=SUA4T&~d@?&+UjK47_}!5Wngvsc?v)7XrMtN~-S&=%EHg~z=P1@H;@ z!xk)#rK#Xmu2NGup}3thYfk7*cR*wwoS7V;PDI{+;;->4$GM&ao+p6=7zBX=uMePE zEC`F9CO%6OPZSh}(ozM31Ue7G2~I(JeL^Z#e4-C3z27G^hg5!zVtPG7a4uU$Epv)I zwn~vlvG(Qc;!$Ti^+xP(m4h|sD*XKk^iIH9r`cYb#r8p2Y#)@xwn;N=A7t1rHkNq# z9E(~u1&qO^iLOj-R%KR@_S&m$Wmf9SlxPR$t0=kst~4)n&Ba_zx=E4twWt6WPxRfR ztb;Umlja)s+txaq*`+tMbEUC1-!(j2;<K@(;d(sbh*6Lh?qsL&$ zpE4HiuEvfX$E*EY#&tBcw{L3It2}&qFIaWhM!1nbY{J;_hr!Y8VS?1wfp_thnHw&y z%*-7Hf?d}1H;+KgdbCmok1Bt2y5NK#Rld8OQU6;8OphKO?CVE&IaxHXAKjJx*+Bm5 zM-96RXD^;xGtY6)X6o19fa>>_BD}rzEH$>YdJ!HxrE6=JLv+{FRPmd>?oBCbm!5YO ze&dR7EfabC`6hBm$VsMyeEJQ}l4S?u197G9&8br{FLLCT5qy5b%+tPP?Xo&?x#ze) zOpyk}PtLsN`tHfMm}D=ts67QbcabQc49qO#=SGey7!doxQ|FZ1MMK!*A8Os)tD+NNN{6{ zWl(De3{g9f|Dhf5e`*KvKePk>PwjyJYwds`tsO|5uN@FF+JT^mcEFIY9T4!f0|LHw zK!DnTU{E{I0cZz00PR3fpdIK4Xa^EO?Lfy+J77p_2Mqbz0Rhnt7zk+x1g&#7}Cdcg}n#)x(x z0izuVTIhuzVP88S;A;nhX|)3aVeNo$NIM9DzIMR4uy&A_ZfseDE!>dk@w+kf^3$#O z9DMYIf9R=}pU&WxL-a%ua`=Knn#YAsbf->EPn_s?I33*d(v|a9I;!;V06gzs z_{Dcq(tJsuhLlg&iy!=5>KyP)alRMC`8KRp%dsH$I)E$nE?VNSHuqW~kjRlvuh&R{ z$|NlTYj8l4+f_%^W=G7Lfc1ri3_}4|;_p@z@>9Q%T2n}o6jIAV@~!~~QcA5UrMPwN zre-+JT*-W6kcgZ6ju!Z-y$$t1O3wJtxf4VM~yi_Fb4pxC%k|qMBTz2 zV=_AKD4dq-xMIZhOV3$Wn_g54r~PD8sqE6rLZwIDTVO&i3$YM&OV311h>xgShM0lb z%tT!WCFw|%K2|u4x+#fdufwd3+ip{fp$I4-gTkO|uzGak4J7P9VXvk$5dGpjL~U5A z^Ii&tVi4);($G6irZmrI0Y%+xA`^@h^&w4yO&#s^Z7m&XSQRo*Qf`$NiMn^7+Fh_P zTn*A~2I-z|NbQ?iEYj@;>3-Vaf5buRd}HvQ^_}{rrj2!t&0neT+=u~n5B~a$2F0!l z&jxhoS1CMa2u_y5vx8CpdkPP1=(1S2D?dX)fkH#*>nk+D`WscaWw{8A=cob`lDU2^ z=-cKx0dp|69;v{|WoL9cF}@wFYv-563cf{FI=xs^>oW745Rw0sNDQ*)FxjE4eo61a zzxJm3mN9KGQ)a%h3)y|QUs{>@4!ba&Ue~-SlipmHZi05=L@JI%sjioXoNa7^*GE5v zTDxaDJmRCTJ(Nsp?;P%%HxZ|&V|h;>%X?ir+3V48T#Xvs$ zbe@NM=?=$xF@>A-DTCwh6# zrEJsk1fIsMLl)|lPV@>Bo8m2c8g;KU5rs)V=T#=EI4K{cj9zWvQYUARtVgfOISE`w zy+-h#E1m2g4W;bj9y`9k#d0Kzd+Y=`iNZEs7WdeRj|jJ3o?hHzJ9Tm*PcQDVlXQ9t zFc(1cC)z0PSH`tAfXrc*r__EG%r}(W2b4tWllsE_tm@;qk$`1}w`nb%f_c+VD9m9M7cn>`BY)N%I?4>CN!h zyk8~A`H5ap_NBP2Hp=BY8aop{u0_y1FDbKw7*ddlYO3i#2h8o zSn?79v_+P@{i>*1{N)8~My4gd1nq+QmaJ+y>L*J55``7wOaS{<^%G8qLTMo#6xB>e z_d?sXr5iWmc>3u9Al3^lQ;&^x2yDruZF@c=D4Qpy*Vnf>>RA@j3xVfeN1%7F7Ds*Y z7DGjFAdCYeN4bi1z`v-++DARMFFyK>-{Lenp%ou;OUpfAm^>7PmG^_n%zY4`qp0a@ zq?_$!8TNgr)2A;w6>N4wouTV@5Ri`%#BfiR}qx2#oS1J>urF2*I?!5)Q)t{>etd#--=m^Pnlhc;v(1Kr@ug1ny(t3IqzTM}TKO

O}M_};5{HejukeMLXg1ZDbsa8a#~5Gte%HX2>+8R1k>XfC#vP9Jvw%_65+hew74YY%b{DYCLGM zMe2bq3qU*Q*(e^Z6+rnZ_}BY)tv_y4hDIeo=&$qS&#ONUmSjiWCEb(T=Kf&6d#8jGfDs+YsC zv$%HI!A`=xE9d(l7n@ICbB=qrK+t4jRXXAx6X8LlN&_(w%Iw~o!>A;6+J_L3j|Siq zyXf8O+G?2k(#z+~p&LG!g{oF8aE5qixVs=>W1_TpXSxv7)S6=Qrl_TjhPGPR&){gd z9!|x*PJ<8u0&byTLx#&v@`YaSd+tO?GrS{+K_|+<{>KN=?euZzcxSm6gBhq*F?l$m z-xPRfyP|rR7skY&JoJH37O>$ z8|zxvw~(QWO+q0!Y_wEvsBp`$d;QOj|&5XUqo~YIF1^k8`Vi^bHrY6NHch;W^vd*RNNHfNH-53YQ(a~ zfeSX6J?{M;zXeltdvDkb>hA$jbDVsgncp&TgmFFH=SxYD-dSs}hOAM()mJoEg4m1xGq zi#XeRtb~8WBpubTbzFN#ePheG&F#&28qwUb_N!{6&z>L&cU)PS`7{2WVy(tXNzB59g-h}un|cRb(!$3#o=PGFcqTQ-H>iCYEqVtP~P z?bOMMnBEk6C+YMQU~US%lQmq3>}FHwouZ?PK|*f|y;F5eX)M?jdZ%f^Wt1OMaee(? zc8K10US(9*4S)E77v93OLzZ@kg~7LKxNEOM#sqaLioUepHI5pp$b@bA{F~uE8(p2G zjam&AUOJr|Y$4WltVaZGDHi}IxppJu=1`(y`XsSu!DHH?^csq_(h<@%}a3CP1vLUAy3SGph9@f*e*~5ry4c}y1w8t|}f4qH+ zu~za3E-H^hQC(DR*Z09XN5omrst<-%mbJ-~5f|OVh_kv`%#OIIjJUWtV=yBwZq69= z;PB%1jKPe!xIJUg=_$baj6uVN$nKvp=%`|luxAW9rZgUmxTrtk5;Ee+)|tvRxhVT5N`ubR^kTnt{*>d@myZ? z3V5)+re0lyqQSzi7ebft@oVNSUQoM`=aAha^{u^t7lSL?hJX_rt9KJ!3Cq>ZZ*HqP%7Sr zF9Ttl`Y#O^_G)X*@OmgdZorvSUfe6AKQgcM&@C_Rb>yZNnw}yiqO4cL)>g=68j>`T zAvogmdlH;^Y7N-zT?ilcycXIkNL&jwY8^JpQ1jc{LG#%NMNW8w$x7}<1v1^Av6UTPe=Iu){QfvzUFc|jT=&x_AU#W8AdLR`CKL7G<-0%$Q`cEBuWQ|C4` z>zIg*!DfahRSk}7V;0vfcDxWEj79y3mc^Sku7OYan2lN1fO@rWaN;&%al<+%VS#FF z3z}M-!Z5tP(HRnk={#+`jqq=%I}iDlvX|MN9SYmlrPWSxeCZ}sI^HbI#ShYB#fdj? z?8KL8&;wYv)rl|HU?Sc|&jMKVop`N|O2ykZ(UP1-Jf6WcwQO+V>HdcF7lw5I5b6E_>HZ>5j#7jSzKv+$;PEKi!5LD9k(f5pvQLb0I%{7EV}?`zdkdLl zhWC|1ian#y`xC&U+D+WG%}B zUS&+Y8WC6X)HvV13SXCoQhZ)6Zcc0z&mgZx*jNoe#7-IaGJ%VJ!T0j^=5dcs!?nu2 zIUZk)aKJ`7i-*gQv|#Co4UT$KsGJTeBQSg3j8Kfe0H}h`^4^Sy{@A&`Vr?tTufK^< zmPFmvu;z{&0Frs&Y5@M!yOB}T0QZHJc(jeItU}B_v>W4+Mo=>}3VJ`T6st7#>dMS! zpuEazNi^&9Ys8F|861~3`V)zSNNgbus6*(07Nw?vM%6VqAGy_WwxmEuqS-G$K+G_+ zlD0@+{}wTta*I%|xD7Rx@w-(qZ=|zk+@~C@Tj3yq;9(5GiaTVl=Bmgw^8!hY8CJ zho^vaOIsSV!BKa)>I2a3xE8hz;BLr6e(CSKWUe202Vj}Pce(7<;|NP5-zD?^BETci z$@dBNj{xb0x!)xvwgf8tfMk6RiF#fhjU=hF8)l6M)$p&O$jYnN<4d1+LI~$O&TuLI zX+@b3*|b`h{2& z!CIMOivdkxg^F&#N61*A<&oXM8pTR|jzp8M!?{S%!+)oYiD!_Y ztFY5$CiNrqip-XsE@#U)z@u*gCq{p1wuf52=paP{?rs)A=9c!=&Ge7jQ-o? zQvY4&(`^#ECj&M$B%Nt3fXJJZWGEyTq^f4sde>F6P{BiQ!B=9D|C@!Vq|AnQrOX3h z>kAlX_a=BfSFF~?i4|jMRiCIk8s(PvdW&Z+yzX$q- zz4VIku1Dnr^mOOGE94)35Pc@?@S)KE9pOJw;%PYN$LL3yrXLEkYWYa&NBe^Iarna* zz((x?JPK%|jz^$|flUZBGq3@H(-9bL@%KFsG5EAtHsglxdp-3sXx?;NW#(pN;>Urw zlHHrl_r1dRi4R%69~QoEA}oAs_*uZ3?}s(tD@R_3qCWur381f@_C~!2_zyrE^%?>N z4dHi3aB5I6{d(H4K-zaXX?-|GzHxlwfsh>pDjk{r2GMVj&4XjX&gigr}{h-JK3 z6dvC4(2Q&N4#1l6V$qw_N@?(?LBH^JFkT*&2Hy=dY4Cza5nzMw&j1_zTL_G{_&qoH zRpNmp?1nvt`A$1nxHCpBsA#_@X@g4#}f! zf+!C|A7_RM)LE|pvV;HCk7J|OhRH>z2A4Fabl#+iBwLbDllI|5H2s`7CJ20Y2asDIybH$LHq7_}}oYH$31F;Yg2 zL*PE5;NSkfQPgP6j(RL6D-#=**1=x^Gl6Nfx3LR6CfY2TWN&RZcq}G{gHCU6?!1tj zk^)vyy$U@RqngROgi8v=n!a0PYCw4Ph;fBqV!aZ8yvUu#_b1aV`jQN zLyh3&*c58Ut>Eb?R_7P7$t7sE-3V9ii-bwfvNAJ21zf>$xB-4ECaZJjQZ3ovj?n@% zABbED#Gd<3j24;e8O1B09XOPP9{D?Ax&ArC%F38-Z*2gpAI7M9ZbS4G>dc=#fbUx3 zf3+yiCq1LCM)>DQ9CZ-_e?`EVLT$Y0!OF}mqRo&0mS~$19{n=}nh+4LGowp?3>5s0 zu#At6Z%6i>z{0;iv=~w2JoUJ9+PLzm*cLwmk0?D}wm54Ye zjQF?g%DjGDH%@;4?Nf|WjG40k!t11cP>}K7)5W;lvoRSjN?@0C+$q)LaaKO# zCNxJh%kW_#YxuBmVEC{xf#Ji(h~XmyXv2pe;Tt||jBog`5n}kTfHi!CVH!TduoymU zMA-0Qe7Lnbst3b|yFw=wIxdVB zj=PeLo8|kW>sd>}n+sN~A%BlH=VZEmO%p6EI16_Yks@_EGUe1e2-PrD!w}<~+zasY z1B6l?2q-5nuP-bLk)NtK=4!qiFGrph05#6v;6$ELroQ=*He2f3gP24<1$+Rf^0Sf) z^RcOZR^@>%tdg*#uv962AflvrtfeeI@-xLWoL8@J9M1ufo;z{26M08T(e6^9_u597 zygR*#(b0lyWkc1|NRpo-8Aod9&k<3i4OVI>882F6Qhte)V(d|dF}4^|M+ZP=Z&E2< z1GQ>6vlm0An!tDyD!~C0?)9J!WIf@LkAz1;eIU(cwmRwvwLdChC*xHRCi5`!`^xV3 z2nHd+OIgYh%$WH((ll#FuvEzJz8e~wx#d2Btm0U!RPWUV{aK)w!mg%`4-&QL1yHDO z$h3mB4LVC{-?q&tV8b`0w6cDt?q{&Toj~umW}>46I^sn_Qw)@OiBJQoD~WdzL|F#> z4MDV=qz(Bm0u8O$C=1;9OTtZ!xZ5f4;}*8W4*!)w!1YYQcwQnf(hR)w6(Ahps58ms zCpiA;cLY!cn)f;&bd>w$fo~(+)UdXrt#RFYG?E}S z??&WCG=o6-ZxTW?2$cE_ATxL*;tEFKb}Vd;o#gJ+w*a>zvp^%>CWOoamA^v>3l|RM83<&8b^I^FN~K%-UWa`coqlY&i4qQ_5uy~Eui{_Cfe>L zVk{#z!qBV1H3CB1X|V6_nYzBwW}p8Dz{^cy3xRrYem3R7g&z_^7Yda7M?e71;rKoH zBLZk;ft-(FaYB2Z+km7c!AuG=bZtV7urax?(rVQDzvt)9SswlBU`EaFj6C~%Wo94+O#>Fw!>K;}a4aU#pyLoV_(=Smf|eNEfI#zKu>s!!jJ>`C z=t@9)osB@^ZwOqBz$6B)CL#m3Ah3&pdl9%3fuWBg@B#`cABn(U9;?iJhCelD&^&1^lT#*tUyC|1kco?|b+Zo=6;&VXc2tZ1WI0*j@AEu*@4MiEO zGec1ZiyD^6=b!R^GBjcfjGC4~w~)ytKc4Kq=Q*Mgeb>kwY(;QM68 z_juJJYOZIvFWx|$F8YnR;+Sj|FoZfnW9sz%5XMbGHDR zPe}3+tpQMC;~Jd8L4>9lgOw#Y(-4EdR4C((^>QljsQ#?m3CNM7&p65mUjZ27h%K4I zjsA27M&Z`Fbq!7=rlff(nesBN>2}CYXowMfoD~1^m8pY+D_$2P;!2d6|I5nEU7&XZ z$EQ?aB$2^Vg8Yx%B7m3RiZPN%Sm_F8_QkLpeXBstIe31UmYkK$IrUSh0)IuV9su=A zITgqBx(EODE&zHh5=qee0KY{XMh_#lm`p3-uU&>E%9dRA|C$R^MIFF9{w4=zvD6& zt^M~`VdvhD4FCUeqMH_ziJp{+&NjLFSCyH4(ZeZ>*SL&|B!l-MDvsfr>f4$|F1t3Hc%voPr)@MLiG-yUB`P$~1!m?~Sa^ zwW$BpSKy5n)rw&lC!`GyW*e|>;PYTM0jD8a;1Fi9lkgDT0IA+}6dQ-JYEk!7+!E}) zf)U@88gw=ni46G`DgF|L6qEC(Q0fp&D0O(rH2l|R8RGtmMEoO%longqMr(z~Y2fb| zjNKt$IL;T~QA>-pr0D+3N{h8j@ukJOFr(`XqeUVu8aX@1BVQ3JbOiB^0iY|fL095q zRH6umb@6D2=SH|@OA@yM4^0Z^lkD6gD^;2ay@0g7tlBCtyOdSi_%;|SS#PWN84$2p zn2dU`F8!p9cCw9zLq>vikL@h|Bl7MnsX9?PZRZ2$bjSyG$XU8W@SXx;T~95?&(`%! zqHAMz`%VDc_gHxQ9_zM!7u6=TeUD{t-(#|UZxK?(j_0wr;@tVtK9=Y} z0H-J{9@}@O`+- zi?KXSm!8OP!zI$`#8XRQkI=z`kOk#2wgBa=g-2W30${&fL7?4Y{z^j@vlP$sQfpe;+Hn0w9nuC;`!FU` z*TzSF60w637PwXbR8W$h2ZU;|ls#XebgsxpvNK@Je=r&Uqq+DR+HJ{i@f>g#$}uy; zwJ)cSCvQFJ@MhL}-nqo+ropdTvJ6h}{_qeJd)#?M0!==6OWpPMZnhIZxgY2);SBb? zCj7!DknsZK{WrY;#q2eEd1d6?mBSmQasA53yIUZdD)lQP?;aC@TZvvh5W}yGynAyP zmE=r*9D$tQBY>-4-CpL{S4Pn@+)fPCxPBUarh5nQSys=ljG~EkXGKyYkQWEj3S4Po`T)ugYl&6GD@X9E9u}LTd zhxV0G^aqx0bPbzzkFSiP-*>+W_B@ZB)cuuF^b+Y6W6O_CIiBsbr{yBIi zdtEVvhuLu1@P;IzZ077uagV&T*UeXyIj6pXXCjb*^yx-jZaxXEjVY)27U(i1CxSP% zh?D&S#Pt~u?8EWb7wg;-V%~#T{0r!&Y0+w?g=?nT1%3ts|2dU>9HtUFfGSN%16jg;5=B?^)!1);Xm+i)# z5TFpIrFARwU@7X0W#lM^`(qZss*KBfM43iNw8mp8Ew17e@jP}Eo^hwExLE4N?s9b{ zDE|R}j{;{@4Aep6a?${GVQAwfST^x)OjL#4!qG>-f9p*M`J{55L7pNkj=P!Xw}|Km z6Z3A(p4SiSu?Z*G&c9*&m>e+2v8BOP1W}E*+0W+^FpJ$gd=`8iBe2*B@J|A?yMDmJ zO6VqoS3VmjuF>30QNrHaU@iHZ%FHAnbK|lE=fk9d)|3!o2Ej%e7#L=Ad z6{pZzLZ-DBDmM4gjKR^rhRy^eZPePbWlGRa*XedT5p8tA+wiyutjA%WWXoJ9ZLwbg z+6irOowUW-fCjVIZji)5g#cS&j@RH!4f@GH1UwKOcBABt!Bxzp{+A4F9ghq*sb8R& zsC!-s9-bvRln9IHi8w>Z0{gQ`Z=hwk{!Vjx5xCs-4otY9eQ6Q{0-XL%n8AP`qra2F z&glitQ1k}^Jw|8)2b+M2-T)y~G&EZvKQT>^Rs4fcy__IA^h4>;hXq2S2LCD`I!uF; zi-3z3|4@452tZPc3~X&uh5ivKp6ve|e`f=M=lW$BApIj|p0Yj`@MP?iML3&=6qAoS z^uC6x1qQMP*J;pCK9ex&KQO|!y_OL4ehvK^kT51bNQASg5vlA;;HvgL9BTuk9CCIL zIGZY*y;P#7i-uBtK#xW?Nt;UuW$i0vH51x`j2gULK=7%-j|g%`OqW^}_7xB>P2=Aey z?1D4=El!fGkyq)*zn4KttargmL*jX`P=j z4-RV1id>F7dtoU|19~WO2N)W<7X5rA0$cE>E{>Fc8*p!Wu++U}MdK5?v&jD#sOAfR z(K`=ys0XDdBChIP9wh!#1Dx4m&j*6vW*nvPC=ID%0&Yd;ZIF;_hxh9J`3aqZ0N9#$;e*lDh~JY zz~Mdyo>*(qRvg$1T_)TLT9kGRUBJ zF?xk@)TW;Z=A|sD6)|bi2i2w=?Ej)})1Ppk+9QR5ty8cVUn8?Q>N=M2M4(9(JZBWV zWHqj%t6kkd`@2h!h&RB87mvvd8H%v5Qg3tgVU7U3$~{h_?8YgG~H+w{Qc0@$OLs6Oq11_sk?9fW; zp_OBsE;qYLQ`v2w7w(sQq?zh)1atTij9Ttx_?aqWPS`37jv0ied6|l4D>AKUz+yXe zOM-ZewOq9VxP7~nmc!CYgVJDw2#7n59dm|{0Rcs_Rc6M9WnG9340oa#GLitxkl%0M zWUwg*!<>~E5=sT>y$nlC1vu0vin78)%MC~VWEmE)sAtdKrW{nE43viJ$uuI2#uENJ z(gK5<;Qr)$`=qQ++}+Ak4Fe!J8ilj%fDa zjRDn+SImZ<8T_~E6P6!6)X0><&d3Yu%RbB3eb!?a?Wszfm~KK=lI*D&%dTDA&frAX zsjUmm?YY9K><-M5aKVa@Uy6YRPrQtgX&uyy)$F>q*oj+Y7l`7$Ao<79+{TrnPmo&= z?f=vY0CL5lY+%EP<`gJzh?Zv-RU zbXj&eW`+lYfMRomA?XVnJT)2X1gof(mDr~CJdj5P^za5G$CQN!EHJk^WBfdU*cJ6? zY|sMaVq7r9#|IT=%7lSxLeVlTJ*#sM#yFm+SD{Ihoe3iKCo_OYDLMELCO>Ct2wggB zAHOmI2WW+9JuoJca^Ilw*;>;98r_oMjG(W=!f0kF6L{V)#Dt8`{iBB6145MpxmjaT zIq5hldv>T%kXl7DOx0DNBSa8Ccc4_(#?P~peLm;-f`Epe;|r|_s5WJr74blW=r4TV z2uHB8|0B}DPCL$85tUH6vnMa5f@xyT`<(DR&-I0lv|}omxp{i#=36OIFdPgQYEjY4 z&g9;HNir6SvIS1DDG4nA0qKP_-#$j3AcZXT4GVIAhAQ2cwk+5FqSSYBPo)k*zdfD!`CdQ--Qk z1O*1-B})#3X94^k`$`5%8g2MMrCD%gP;HhpCKzo5$3k$(AmAj1G@zQ7P&)wJvpncVO{VH}f98rfn_=hX%n&aSSNqu& zStJ0wu!X6G+isR=9TD@hd&1hod^Gb*MwT1sGM+ziWaI~{bx-rr#$mJOPZ*hRc7+C4 zoCmpP!U&UJV=e|7V%M3NJ>N=vE`<3$rdX*X_8-CG6o^i{12KcGU-nS!AI@Y~G%605 zs{u8eu!oio8ux!hF#b=fO=d!i+GJ#$>9Ra4AB_rJ5bi>J?afMF=#qY;OV|H*)utkl zrZJ*_SgsDR!m`W?%YjmAl-dsa1L9CiCx4SB(~Ba}3N4JoA)&boIk8tDrEC|HR#+?| zlilc{VJO-{+dK$GQ>?E9G5_#v`DI0;8DSM69zY#JQ8#5a<5oFBp_Fn|k9;Xt*~8ca z&Y0|>YZWCHi_~#OPRLvsZ^-3RX!bZLO$Q5hSUQ9|K5B=^R~X7{-z9C|6O>oDWo%LrwA?V1=$NH4g!r)O^|y9$S}#|iNUG}gF{>H1f@7zrj^(oNFRUXin!=& z%0Z<$Axh1Nmp14ZC=mU%0x`hPEM=4#Y!Pt-v*+j_+iI{q_^e2-Ay(noE37FDwR506 zJO_sPBVM@Odx}(bczFD1Jz#_x2*OH5Fat2yg0Y9g7&9USZ8VkcN~45h{ek{%hovSu z){OK(8I}o#En;|rQ3nsrw+SH-V{l?N(zKvZ z&eyPB4j!flwdS;#5dzWYGqnP-UwFFh&p6KO18nnf*38OYuV>r!y2|Ppa{}%HU1BaP zOs?kHb$`C#qv!=e0|)pEgRUgE(8RfEIWSv?_(ra5@oR#u&>~WIoW*u?v&5GeUAk{+ zxE8GAK`em`;@f%BlNkMaJFq-xRvl4mnocmNsI3Uv@_-a0R)(`MqDN5^ERWV6FEcK% z$J^OMZQGhgwbbB-AT-arZV0U4$+d!KkM?+fF3Z%i0tb9h5ES~QNGgp49OJ@e??5iV z2u}`+eOfZaOR|NRRY_#hb=w|L=$S&Z%m;zG0^uVw16XX(0cQFc5N6RpD+xx?Ksz{T zJ}_lK6!{xmfAtDhND$P$Of!P1t?tww`_Oa|a^X}Z=uj$?ArnuQGU+#A+;1>x-bA(( zwtWiH?2E37rCoyTGGh8hnwN$jvy6PD;YTkAu!z$Jvuv24v`1dm$>rs`LEG$X0@h>}Alllhhoo#Gatq?Ki+4$9{Os7-=iw zt{{7;6$WF6mFpGG77VrC;X!3(_y5Sm_ZLnXC2_lkC$fiF(hL9@i860^n@N@6?` zGCXA2lbP0|NC~9K9+m|?WqC&6kMX#joj4WX1mLbTv_5nd9@%Y|vTX-l)Z~((X0=f> zYzp+*EgDDHCtGjl5n;G-f~M~A(AJ`&;fjL>;xH=-a%Pv%C%aw4aws@L6B#7CsGxVW zJm*209nEO^vT!>4B4lLJ@_vvWX#a5^L6`+q$f`V6@$5SENZa(QOk|gRt;c+^+nW)6tFS2vS;XC;D;Mv!+;(`b_?u}vK~9|D<`m?NP9ZA3<_lh5+M+^PKK8m zD|>S+*Uc8IaYZJ*2$!i2r4klI1%rZ@MnvcD!Z_a?5p&mGfV5qHkqf zzLs$XdLI~)N5LrA<1t?_GqZ)uo`p6$p~n{aPg^i+UI)!7>i&OrY`UjP;D&@9ujIOi z3nQWFWEDr-3;7&71>s6jjP_XbfO)$@$;#}7YbZ7nU9GRMlGwpv7xuoPV}+3BV$L^l zQXRGpxJU`icA;~Up+ScQr<+~& zx;)P?rBQJ5bkl-p4QsRmw;VJy+Sybf(`fhqu=nMGQC8>rGjn1*kRgmDEbf4~hlEY* zl7In3vH)Qb5Ft)uy_%sUJeso<#jM?DDDaJbk10d7IXU4zeG;n!?=IW}JhgYBeQJUC6_fBj zi5XpFst%qP8}X3WCGLVSTJQS^>m-CB@wJFfUyD*I&qR!3a3aEukJo2mhh?3mFVx&HN2jJC_co8=R?CDA*&e=T{_j;d1D|ZC&oe6AV3Eq9 zW_raRg`JvzhHNFetZmlY?4XR_M)HqdIqGTEN}6{|+O z#$0o)J!Tq(+IQj1(htjnUhVekh_{-oc1GEwZvol;aG*;&2xhPN+-XXUx$gb+zd@2M zu~kf~W&&=NV*Muy#D+)|72;<=T3sD1757@vq*8R{k~$=^1q-#_w-};B~u~p z`%`Fe{mh@ZIE1pg*2;Fba2+8;9U!>3-|h`1GO*Xs)H$j!(XB#gRCEqKiq40-y*Z$b zu$uzc&6cW}j_WRtDB4~zBcHF({Lyp1QA+P&W#4fndIe~YL)spP?DGoK@cZ1sbE^c` ziJjI?o%e0kJg;!|1^0Oc0;3-KI}`kdE1Ia%vEjKdMw`LY9+9oV^Xpc(eaC70zN%3` z^r(!Llr^gKwkOy6!QOqDxb|AtSX^Ur@0j5ll}$^Mreoh#wb~bMt1?F4T^QO6Ry)0F zbk~i&=3#uOyLUh4oT{=JMy-E(g>v2bVsv}^jZ)BR-&~~?LfAJ~E$c`bWJkG&`O)kE zOkVE(;7*5hj6S0_CBpiLGdo6Z32?Skz}_j|+*q*JK2`zGHBA=-zw7BQdUt|9>PZ% z9S4xQi4zK{${a7xit=)NQQ&xdu_DqiKWv#?iX2GG%a#kT!E6@%kLcHk93i}Ks4 zYlP#dz~>1h6{<$BH`LagU9RfFY094&`Tic&)DYBXsFYkaNGH#I=Rvi%p-U&sDOs{j zr{}9dxw=f9lBsH2)WBr*R6|RL`qb03Qn%)*0m%TL4`qDclzMu9rN%evr4&}KT7@qn z_EZ(f*8vsqM}eh8eVy zr-WOlAOY{}Y?W|8txQ%UGPB02irl#&lqfZ8Y)D<3suG%-FWYinN1a-m3?=22)S@qX zy`Q2(`aEmDrkKgSm50(5R#wy&RpT4IYODfRRtF10_ddBl=r$;m8j20$8KcQ#WA#45cs^42 zP}RxPTaS!S0jfZYTGOdgS{~6SXQ%<0y7i6b)>SF0GFc^rRZ`QZdVYo)C`q;>uAQWB z>@cR3#YY|=(;Ta+NM6#a0=~1oYHZ7``c%gEoi!{)g_6;r)R^LX{$X<3tD8Tt9nWfc z>xUURzT}}PQ2H`;dYD$-szZBI)S6^9AXkkFnh@jd5KSgT+c^49)}szLO2AGv%H)~z zLCU%LckKRE#`;iXONy#VR)bS3PMWvo9~n7~Dd$d8r{(VcVMckap~a+apzD?2JMYt9 zWT=EpRTnI2zI|JYs!CQva_@TvjsuHJn$>`IaY|kPtXkWZq5Q!tHK5aSQ|IM=QiWP6 z&KK3Ds`E0%VXNh^?4)@sA;Lb0jdCu7I8Y8muS-^OsmmUDE@R%5w6t|8>e^&=Lh7>J zcV(>I2D#=@E?V}&vdmbho&-syg5tAK%Ras~qarQK&b&AJ$5&)r&7v}7j!uzi^B8Rx zT_u_=Vuh2D6YY~38Tr}FVC^3=@=HP1e{yHWx~!5?(Ru2!wfdBdbqt~Y>dDJ>3M3`+RRUWfVKd|-m#}GOI2j5#8h=(L;ELs z2Ac?-ciGO**SF}2zs>kQUDza2)y(k6x*_A4J!~VHblBL?{_$_A|8ZHWHhJ>iR(<$z zBdPKitEu6SewVS5Zi}---)dSI!OQoy`OZt&E5TCL)OI@<5;4`Ho;GR7knxXUnUb16RVTiGh%&XhZ9Zz zOCxi2m}@dGYiv~~u*clp5Jn1DW~^^)(;tQ+tFqL}Of@`J?QCfINWUlv2l^ze4>L8> zxlM1R76+y_w(7j`w(8b&sUI``O>D35*=CpF#SKjxOG@V<0dH)sc~0eXoEbg5vC2=` zz2hEK`9XDVvdT|Z?|8y{;C6hh4z|ati|FrDGdVn~SWSpA&*RKfT#h%qfCEwrAGv%C z5nWHrcs+Br_>LW1LLu zseHuIZ7A7rJ_gY`itG$N7SXzpF*5m>%EuM-NN38Ilg%c}p^B!EUCAJG_?SnxY`V>& zHH(kS_?SkIGQZ28`L{hNg5DQSC_ zzLAAtL9J=OHH&gl@fn4<*qc=n3e8^X z!vcByNoki}mNo7|v5cT4rNb;}@HO5j`paJJ{7v;5b*`cM4D7Rfo)uqH@V-l&;_6B)Ii(JcTHTaZ;wxsW%ABLFTJ@Ckcb<%a|LWyg`R%Q(t!>Sb9qRFh z+{8_Z3az!ZO}*;X?Q33ZRFBu^>ekcM8G7XYEv>CP)G0c5TxeTpTS}?QE7s#y>()8? z44w9|zU=ii>WHVQL=8z+zpf9fOT+3jPnWu(p&i(?MSZ&=tnO*(Oj6Hyx^#zn1nDPk zn&q3Gcpb*&^S!FFNuB5$?ZskJjrN`4ji{@d)SxC+6I28A5G)qdser1C@}`1{)Qiwo7@T(v4y-KK*2 zEj2K#MsUgHjSa^>5!$#*eO}+Lp7ZJ+EHl(C;M=@CwNGzy1!~^7S3Lud7regnytPl8 zxS6rDwi=?tv3k}U4?bz7c*8*`IJ`>_-Cv^4Y;ROkThvWnEMy*OXsLa0ajQC1uanhd zUfr!OCRv%D9 zizjTo*K#rma<22ayg_Q^lT z%1Z@M$kJT3-Is~)n~SJXJ+JvL8L_o75(*s*&CM3T&ZtQ(Z&5{=>fEq8Iny^ju|u7u zbJgH3)RAx6iIBaq!^E(_H)B|qdUbVjv+6v)SS@c?@nLm&u^QZ|UV`!hzO%fI&9`LD zz5Y;Su1)6Q7wTqu)zX&nDlx38iq)uaR$KN)EE6-YL-SF=rncnQQDNRecf2+m9AQ=g& z?&Dk3yzsW{>(mRLTy(5r$d#+Ag3Z~ri$fP)gT;dJd>4+b@cb>XI@mmW@%ORLI!_I5 zQFlpzzrbT70{qPmaHooEVT}w@F+sBO;rOs)r)jePkF-?YBd%@$rIF0P7ca&r}FEOY{#L@+3LiwdICYIiT4rYt_@*z_!vQlh1DG!D9b;5 zXpqIq->Lq#p7uMHWzSKkwyVM>b!x7e$6m!(KKMNRzW<{R16)U!7U9mpc9S`b2nRMb z@PJ{#PR5CSg-_hRz!N_}fE?FA(@mSyKQPqnz!2Tz>7ny3KJGRF{2U^E5Byx+(GcFD z2BL4#KADf}^oeR^P>tYH$*T%YOf|;riG4WXLx?Mk&%y(`tz3;WYuTIWd{=C4tlHx3 zQa`{<@h5Ls{iLDO$fQp<-ssbcQyJLiN1@5sS%hyx;>by z?rBKP(n}GPweS}4;VM?9k0tXxuy)GlXdt)gLzmG@O~9lTR8{62!07gIonTb*6cdtG(2w*gzDW&l*`#uM(pIeep33g#dBY)3SPg92_r9LgSn{luRHK^H zqEb>n_|FF4nZsxQNQHuEy+a~9)l8!#&I7*p)g@syKV0%>HK={XnjfiQ-A3xFZZ%qW zLP>i!*43(F4B42a=@T1liJjY;Z8Wuw2}BiVU=vQ1*dDyl?%|s^Zp8L%KzPZ;WxvLG z3B&K^<15Ohhh(kZ9Z}OVv7QL4r#-0f`k=bcdq6$d(5-SiTi;xAG4`pOzGw0n)m8Tf z$-`AUnXc5pZL5!VO7~qbOdl+*U9tt~b($4Sd~g?su}4GQ`fc_;Z)|6*emE2g)uz;@ z)lSxFdU7Kyx2p?+p*r3nCHuBKi0=D->6VudeU8P| zuw-?6eaqymzt)=cu=-FxY{*ohPPJB#d>Z4w#xK|$^0c(2sexS~oav;c@5!FN2Z;yK z^piu4p~hAiJn{Rk^)1*e&j>xDYmnPs>ghFccfsmTjrT*>-zdsrM zqgdV4(78)p(G*foG<2!$UQ7a>o-g3{U_*;~rM_jgdeo;6L|oZqWkfdNXPxHGs>$A_ zYKv>KF=Y=)2#rYyof(-mb8D!UTUPHC`n8V5hk1VJoq<(bmp-#D%h+mXPFB_24q^B+ z!w`2VKJL_gGp<%aR=C%wLUY{l>L00i-Pw^>D`{PB+&YlrfrO4T|A%vk%)0KGQ0)`U z@^Up$cOA~l?g;ft(DiX$$w7Ly#hR`fYmQ7c$0FawSE*1xMH$4RwCN7Un!$(e(61#N zocKSK@}6`kszsO9hFaCFvB8g+V{9-sv}dp0xt&V(snS7F8Ii2c^ zO#NU9yM|XS(oGM2ALpHFNzmADZV2vHD>1E4=`N`#Yt&;>#?4Y0J!(KtEp|F{@tZY> zbSB4tFP7lyys+Mw)~MPVbbFc#b~TPQ9?P24e|j|Q--{L~2|we}?dn~)CLBH!M}H-+ z%-l6DWTe#hAmFh_fLJ{wRt0(%sngn*sN${(46GOPncf@k>=DL!BA+tbRTsxL#j1qV zB|DkhfA;3~)#Huirjr+`k?k8*QTs8nJ25)DYfW}1AJ|>;IlauRsxRmjXSMP77jMMN zL9dtZHGG~c|E&d$wc$I)_Aq)o`22D#Po|hXItDQLJDWT9P;G5qTH5S{)=49_jMy}c z|9lD}ooGbD%dex?SRT(OI5GEmKJL$x3ovVMno_f}sJtkMHx#`~vE&rj3XS`2D{Q_U z_E`H}^R>$Ig2TLz>5b}a%$+jhbq&0G4@)e2?;8U<1?PQ$gs|TSh^=>t2RpaaTMD7W z%|7o(+3Dr0A6m~R_ILZ#)S#N2Ta%*Z7Gu*=ul|5l>_G2qV+H`lsKiVa=gom}T%KQ- zc|%*|jjRmykqQr0_cwH2rsBF)elaG*j>ty!hYd|wb)D^POiO?0qleJBQyW8T9?}yY zQfKO*9(M4C>TNSi;oPJz^sO0z7cd*utqq-cjg*TmLiQs|EF$1@&Ii2cP8#-@0xTRxD3R*#Pt-3H6YTo8sIl{McxQb7{wlS|J&zx8N z7+<-I-!;d}v3{4^c5SbysSM#q0ssBumv0`!IqLzj4{xSfheb8{D^}O6Sn0U;hUnAg z#8O$4f){$GnE>&tSk9r3yzoO=m*eLJ(IBk%e8+edxN23+nwnq{&t1?+LKQ13>MDeb zHo)-(rB$xe8=M2x^=)T;S~lK<^=a8+cUrbsdt0_;ceiYDa$2@n?Ht9{+p@(@71R~f z7RfIYLuLpGDJ;$OwdSu_Q-R+HT!~Qf5{;n>E6XbI+XMJLw6#U>z;|}DzkFGW{_^@j z@9(oWPTjszWv6E6ssBLZyrrRdrMjRPu1ianV(9_*mFh#RPSU&Z@(8b^Qq-N;`cCOo zKN%Drsz*&6+Nw^&TO99Pm^tWAH0rU2%%Q5La~O9gTWWWFfUYvu+os}tW4!n5XlvWn zy1j14_O=vN5NuY#Zj}r2iF7=uu5ITrKuWTj z-=m)Mn1wDT;kOze+NSsH;0qY_7f0RULJjCvThW1E z@aWXTd-R99B71zJhhdqSsNxQ&xOTHPiS5?Ov8&Xd>x)Y|cJEPZgKA}y@BD;Ap(oTt z9elz!?u2H24b$C$B^~zl=O?Q#J$fXv!24+56<&2g_{bjpK|R(2G9iD0jo2%m&d3q< zmd}^uy{C28hac9p>Df2vv^K0*&pv>K|3NiMxA-nf*vb_K&H@vXRcAxkcS!<^trM^n z7{Y}c)e_qhduYd7JM(Zz6h4es-YvRulW+d;y}pZwt5npG2@}NokFGu^R9A7U;@zRj zbeEdZlHI1pv|x3t61r7J%OREBLJ2z}dwo|7-FR^C6Z)|4%tV!>i$h!0Wf1!OVwDHj z?rqxiVe9sf+L{mVXlurQ3$VT8Kzw7cX=4^fYQ1<P(yLJ0oEWl$Bhv7pq)74x{V9rafvxa0S|n&NT6GEQ5&K zyjYDtQ{S}CH#$MhFOIyrdYnqe5lWMa!vR`0W`LkNuUO4)SND3u*OcD)%rz>MtKyQ? zLj#L(V$k0BVOq#HslI{@7b%KjA?IcRu7~7ofB5cXxl$RTYcTr{v$MSsu+9O z4t2U7f{AC#LuTfQ(>?q3_$~U5PxN1X+r1~L8*z-#j-LB~O3u2ab$jbAjv{S+4s4m- zxJli+;edJ@he37S>WAx*)6YHa*!1YIitkawdVFIOa7yVrGXc{e`M~fqIBC+wzKe&d zD!#~T^R=jp(an8l;(W0Cuuj}}t2(b;{S#+ljX2$U0WaNei{W{0mumN-b|0?C`t?wan#hMKUed86LC+VNmT^Z z2R^9aW^YivyS7WU9H&Q)RqsOXM#%kx<8-mQeVrb%+AKD7UdKaf46;77Pu&xPCiuzP zpibM>@s@AdFa(%jL{hKBbgPbay4`o-P__;A^BCU+#z+5%cKfN0)IpMUOFBAs9n9H< zj=tHeM}}%QsxRxiI@G0^Dhqu9i;vcj+P*fpZ&yc$-iI@1iyS#FtlMTEpg#A8_4WtU zr&v-AXv$WnG$E@a>V(eL>=14esKHHYaPZKc#RuQ~qBc^>oy_fC9oDT+?0XXLNMuc9 z62Gd6iSI`5nyyoir0c`F23JMY5a#ib%48nzufySWQzMQhnmQsHFNDG&1UVE^flU43 zwQ6X0b{cL$yx|+0I8Kk!=d1Ht4jzgu{h~Hhs{Vm9gl&zpYE+;d$4Yw_H%4$SkgPuD z3xl0L97=zIJ^x=e=;RP4i6(Uak-pRsdc67p-l?dk7-~=^zS>#m*7yQgto_I=)2HD1 z-@9<@ZyEN@7ja*=aO+)qIBN~F$#Iroa!c!|n^|ynEywz<;9zqWXW)GnXZAwJ$<3^6 zoJAUfb1^gXb}VKt@DATNeB&_uGf!NmNz7*r_b#=dn2f)?2OI!JOkD3wuQU!a| zX+3y;sgioqZZRHh&27FIR8b`|@_EYeJgT~mv6$sv%2~>~7MZd#>wQItGFa<5%Z=+@ z?rQd%ESvhv(v|i%uiO4whMjdNSXM5}Ye-F|P_D=Q<;4ozx*Rx-l zDMpO1xxH}#Zg1F%v}NU8j;~dERZUT7MdjG)BK#(ovul_885~1gS9YOpXE$$mL#W=a z1@p@x$N7HBvi;KIRa3&3`OZz$<5WWKD}4KVZM*Lrp6hYto97!l)SOd;H|xW9&PHcb zyS+NN?Y2ABZ|hs`Q)}=BBsWhb>dY_lLO;F)FA&0bwT9(;OPLzl#aDPwY)+|AC+c7b zdzB$+5p{BLM3vx}ba=RR$%kr9cPrnsPwi4C>!2D2zX8Q~f81>*a;fKYzf$EM+>Yt3 zOxD_?Z7A6=@2W#7zgn|2RAI)C0l5I5c{@`*-JIR4iehv08=D-fhUt+d_gt~#G;>#H ztZ7_le|4s~LtSItQSrQQB9wk*gs-S-cBf!zwRPLcsYt0*XO1YVV3(5q#6m9EfkOVy zur zEB`ob5wH#pWbRj?aOqYR>Q?7DWEYQ(IGEE;kiBUB>Ae$iwMA zcHclZF|*LUQ0{22p2h3Xdv-MNGU!0vZB7N3n3wQ}|Gknxu%l%Aub0l&lKJr%EOD&! zD>M15DhQT3qpq`7bjs=)2iU+0OAB}{9Mq%*iqWC2kjG}dP3?1P`S1QwyeNDyk)vv)>n# z$C$Di*LQIFc6C^9%~HSe1b;8@!*I%h?ac0c6N;~$cyZM1ePUa5PwWJhgM+QiD{${3 z_3{09JHZfYm7MFG9L{9BXjtX<(A z;@I0Jn;_VjpLaklGuhEKZ-+QH#jbiBNAV+Z6pxA9)Eiz3U-e`9;>aQNxY`WQzj#u! zRaW=|RVek-68m!mQ0i688o%<&S;DRcyjg$4lf1}8U8;-UR`Z*9ll!k;-LzYs*tJ=& zUX3#YHM?7l&zw8$dwWo9wWw#c@7!UV@lg~MG^~ejc?cKnjcB|T(vCY@kw}hZ*C}%h zGc^_4ob~vto3JeonYZ@dTj|xYNRL7T2;EDI8f-LX-hryvntixE-J?znZrQR)T__g- zR9SeHN!+{}s3JFZJr~w-c$s>^ z<7y1T7q_cGv7Ycn1TSgY*_zB9bu|)L*{M$N=}ghXY1dbvz@usGQhpkmQ{D>}{tFm?S z&b&`c^rUB>995u(bm_V3dpN%t)~U}vRIPqqkBf7-dorlIwNrYe@P4N!<+vgj?8cz(rHdY zTfMqPH8*q~c^s>Nc0EG9SC4t|0M5t)DCK=TTX@#fowZ;69S19ahpfAC)b@IPXDg4p zU-IIe70S?E)BfZYha1&4tYrS~_05*UqV4qu@VfbIeE6qBkLCvq>ca9~_=Mzab^UQN zq~NyC=qyJTaHaZ9 zz0PgC4d+5ScI^j4i+WVox=!_Z5cABp<8Z+D=OCvfui6*i#P{H5ByTX*KjJ(o zzGX4aS6kF22=+rPY1NZH6v*GA9zw&>Muulfw9O7|{OiMU0Zi)NgwZbqoIW$MzF zQ7W~iHKP7j)uP_?cSqE_s_TgQs6KadM7^`V>2E4Es83c4f+~de^dXw?oApppEi`g_ zFsObrsAUmPVQX_$1P!VZvtzQ4AMdNh1nl$7{ie$cYY8B;w^;xfTDGbR^EWmu_OuSl zh0Ta(F_nLcMgPQ>BfR1Iy_m2%unsa|8~wNXrkn8@yw)Rn^y*NnPRI)3j5t}p)zP?5 zHO26t@4a;9e<0UC(EfE@M@a9>Qduo~ z@Wuq!ATU6DU!|f>-p0_@>h0Q^wrA@TKShncaWJykH~PelA7DT%-mB9}WmAYGYM+ka zeUTZYa22Wfp(pgHALGL@PwK3QKHPlpRlRACs>wXkT=J^ki?jOTbym<_9iK#e=OjG3 z2mP-GQ(PxroP~7Sqb2II4R~+zp69^z=pnu_iCBR|zU*Ls{$sW}$;?4mAw&*lrDR9; zWa+d$drF@^64B|uMmLbOV|)hH++YlQyTXe$!lA=@R0QvwFuKHdsui8;)Z{EZ?P;{@ zu)5cm`OfwBjMN^xUyYF=0KGV_{qP~(yb-6SnE9Hr^v)yZHla6GrYEyu+3UMBVb|`C z#t?4X74M0d>@#CaB2Prp4t6x}S6l0Qev{W)u2x~(!e|J?cK6nTgN` zbZwUUc74-EU8gTlfAk%|bz8g{*sBh12$#GQDN(HoPLTFrz8-yJ7N)?Tps)PGn`~r2 zePX~HV)9OoJ)D(QwOQSdYD@|0AEFC)=}?xr8syctnoC2tIfgTvN#541^Ecvhc<=}= zV722`c$X^B#gQy^omUFj8QaP+TsP|#O#56N3hCw&b?1g+b+78uqs%gm9e7Yz>pscd zJaH}ez%EM~{U`NpTpj=OhA#CTU*_0|`T)K4W4P?(Adb`FrWAcht?pJ&BKrsGo6NzI zrC{YZRiT1meTJ1B3KtrOQG4rV%RznNIiM48n195B5o1m2R+ZEANMxpYSE?4~s)UvZ z1a1DnIAB>+!kVhb=L0^k?>-pW8_|hONImaEjr?t0yL!ym#W~~$UR?XnY*eL9yVNec zhk3#aJ!Ig9beHUe@xq`DZ*AW5;68Z*-cpq8)ulQ`hkR$poAmzdHOr_5ytl&e^^f&< z*QoA5xBlq{Ol%MFolK{<>!AA5+jYGO|FiWi&Sak^PH&QW@OYs#qJhQx0H!^Lr!N zYf@AIxA%)TU8g&)*XAuYI&@>leTSd~m1TObQdb2rI-pKQx9`WObQQX?8kxBjbWuCr zz`~Z)6)H6^VH>eoS)G!yQiW1WdDy{^9TZ?4lB0_KGgcXeQyoTX0dJj7R5D%+}u2O6x3__c?_ zl(LrDdK+~5KtmHM;&o5*>sSKl3091jhEA!W_dQ*5K$RI=#`evMT3dpCyYeE8JY&pC z44p9x3t3grj&%Z({Cj;1l6=pD6?{)f4==$7NJ~^aK8v$01mB)|OPKGN!O0P3m+>n` z%p1MQzBtU)a@_py&ebcdAA7ZD=VQ&)e}4-qKFho5iPOhkIy;h*&iCK(nJ@3@IDK2z zxB?Yl97;Pf=Ue!Iaqync^K=B)3Wnn&G;^s0-{|24ZH7(FSA|~Rm;~cwo>x&yd^tTeE6lEi}6u?ZMJN) zOu?G@+=D#^*21+o*evNz6YnK@8*Y}RZOed1V($0r7!C*49S`1i-@e&tlS7A8Nz-j@ zdKdOAk*u=Np3wL!%5V|o1~n0PJc1py+U#ZZY?DhKpJobI@u`PfU(-u*7kW>&&eIFk z*!C%VvO~3XD!yA^H6@ZaY7b`=U#xt}z%D43_sk>N z_=u5C$yVpLe4LlYn)mVez;}8nz5#*6x(m!H)K{};V_L^HvpiS~yVtaqjz|i&%n>se z>XYf*niaX{p|ok)X}cEhoqhRLb=br0xnotz;l{L38jNXP+H_&7*_!g?VF5CySWVF3 zgP|*!j2hi^@bvw=ctm^z@35c4Ztk)J<5E)8l$J+A?7ly%&qS!lyv6(Tv`q1A;wm;z z#Wg8> z;peK04`lDd=hfhG?}jiv?(pS?E>;tB=fdf+4V`d#8MhL!1l--wgl&E}vgh<$%m;X$ zN2mBNEbfoh`lDJlf2bIzmLKKibsTJMKC%y|ZP*^B9)3Wr#=a)=_B}?&-&QM&)vyCK z_~-@qYH{JErh;Xu>7z!xv8F#-% z_!#qg?T?Asf>~pvopT_ma1nTVyx!=WI_S9R5#^ixT>1+L=9y`Q$wioVTw!KJj@uNo zS^1_8d@lXP^p{NF8F9X1%ZjKkOpBY*$L2Z3O6#H%k_yjprM1H3gm3Ay{FlN143~et z<=B)q@BhZT;;gjdBw7Ar@RsU2mp{r_0_hm)^0;y^k=V$<^zKD?Uc0e92{}S7w!W4BY77 z4S#-E-u8#iS0ZtSqF5d~+&H{~8s!Q%dmw{Mi_5ao>raMp2)`G3@bwjcirmh_=ub){ z`S|9sfwFczBds{kBTkho4&<6+vV3l89NP=iowPHlaBJT%^CS#ZghtNUNUu6QLYSITX=roqMzj921dm}3RI=maHmiX!M{%P^!B5ISbeqg*m{keFZ{$f1T zQ36Z6el9&e1~0*mmDX!Wi|<)JbJ9wW4@`^CVYAxo^W8BpK7bVcNKvQ1#8eTEWnjGS z?~>@ID_nSV^#%xRhkyG4w6lJ~KjsdPR?WV~^s_sX3jZYXnK9KKFW0zw*R;4C zS7zyPwr(%ml~j1LURF$`%(jjj<{?dn%3VAUJWpl;9%+`%>S3l9IoE%H`b9N^c zb{rMIw{C;|#7~bag~sCpXrBHTk?~7tFE1bsJIzB#^VeUI=B@ptIX$lYE7N@F;iSU) zuV{n6V46`PO^@qO`st4D$32=kT)VQ_(hO7Fe?l&~#>Q7#NWq%IJ z&cl<9;23rm546S@j&t<87k<}(J8hFAjf_nTqsAsPM_gyc3mi-;#NFB6cxJrGv8%;* zS3f#PNB=sy1@!L6)M`NeX#T+UdFEOA3bTBpUihhfAj+N$m91d)7WU=NQU#V;2N$+sq*sNoXMs

z8spvjUQ%HOxY2bW;#+0OHY0AD#b(A$6ZM<9fN?%UoFfv)H5c^un;rdX4PV)5<=Oq) zq{1`7Az}f`$N7!v`hS;H7&@vgR@wbgm3g7~sQI7s6XQ0%pHw*PsJPDD&1KI~aj6J9 z{@vYC>l@P#dgr$%`-#tvWXpcz;iSULA&b-hMESJ?T??c1xEb+&OlBIBSs`32|@6+SVawcjjGJV@F(}E9^3cqyAWXja56ZFV_b;7ZMI?etlsqnX7 z5&y=1;~Py!i8VFOZ>2d7=K!aJ2VkQ2j+8q!9{m$xtaXm7eT}i(f$yCZ@9+Ox1Npq2pN|`Y`TV3|ejz?IxxAoorKh^6 zCR85ulvG!SsytQIMYUy>p&CzNsJgnSBIvm?qat`w{({PU;KT`@LVQ3q=rP=KyeNOV z`ASeaPMAbT6U8GRpDbE|JKocr4_EdEnt^X+V}ex$i;Jo&@g1bSUXrDiYE8kaDtZ|i zBxNTfkntDV*;@%(QjNcmk>D$mPnbmO#0j>{p1z^sVP&_Xs3ureVLl{1qp}(|;G#m> zrJYt@R^@R8o*%3(!`J1yGl2;BSgR3U^a4*i`Y}?Ca)#8R3lzfE*D(Z-mS<|HxVWg= zon9ZUx^;s}aB`uDo@td;Yx0f0FX~euh|@QB6id`*a_{ShRNcyOg3{Hb-G704!_}aH zqV@Dm72eT#iR{s?Qb6MhifGafq**xDi%b<#BxxzOOhyCJTBICKLvkumns;y1{?%(- zqsrhkSz9{0dqFsS{=2%c$ZAZkqPtVKqdBeFNzk2<av20l2Ca;wJ4`o4e|kU zE7(kYbzpi`+5D=a!m{GBLR1*OIWVK5xN>Gu!3v~W846Z~f;k1%1*?kq1Lo@0o^prM+g>gff+0#8wOb!GK}qSZl9aanau za86ZGg%mm8DZDMzg0fXbMjX+(CE&awOg=T3bIj-~=}oJ|pvv5BO}k6lM=DDjRlUWv zKTQwqTOlEc9Ur=|=DlLpQB6)!wbe_k8YwR*sSz2d(NJM>QAM)EFtz8>uH80VPF6@Ji6P3=v!t6-T~>>BlT$E*-)w2+Y~;ZG z>xzn^axt~ib_w= zuFVx}2H&6?aiVmZ4NEG`+{#pH%jn2)VQ2~DSyixlhN-ayl^k@e{H&1Ei)sq1%c?kP z;j?!XoK4iJVXDijWm|rehOtQLZTB4ct~=9mj4U&lsf4lX>!{|8Jp0qUq-mvyDUC%I zG3MjT9%iwLTS1DduS7{L5xIDfLiYyt6j~$1eDsn~%?#5@8PLe+3ddTs%ipVFS0M`B zbza8)Zs}0Uh@x0_cOx)8+j2KmXtG5eNOL#s@QR|~qUy3>QF?7bS$V*KVbs2U%B;T$YQJod zt=Y4xvK(J_Qv$t|Rj#nqwW3a%ofL0wsHl2PZ)zFS%c>#ge0~*@)jFLPYuQC(uDM(^ zX6eYCz_fy@Al7+KD|f}Q=G6)#lUaS+Vn6~Qb(mA4F3F`^s({n|ZY-lTewQBbj zS8S_0_cs0IT%+`MJ(D_@VbdzHDz_GbX1K7{J)$d9>OyNqwR}+aW9i>D-j-LfHlb-Y zliubk#WBgQsH2jtK4}$52Btn8bVZ$1ySvR=k@}2QGtD-oI_Q~)?}NjqH+yT}c9sEdrC1$V zRA}qdJ%&q%HEU&4U}+=Ku0}1RZR2D~x(vYPUwe%(5T` zORLKrb8zXMF?vTLyMgpmOK$aes?d2cCSmXCRw-kK(u{Iovlf5l+mcdT~jZdZQvkXO@Z0b;i! z*FfP!Fik?nr(;*%SI>*CTD5bswdS-~r<+=fA+v$|+R+F%)g86FM=3{oYlOE)In$0j zu2n&w>CX-&MSbMoh1o;_z; zes;$E1^JiHp8ho<7=QYLIrAhuZPQ#fh*?->_T)vYtMFBOD}tr==vPx#Qh}i&SYA{C zCob}83(7-SlB}+(tl+VBe*V<55(EHQURHvoTuuJ;3F)x8C~mi7Ig^hW*+ZS?FAo*2 zEMkmfcp$l=>WYGL_)DIyOsw3>3u=P-)8?BK_+AE(!_Rgk0B04 z_C8NY6Gs>xj3JJ)+=;kuZ_*@QXt^V*`3vKD7mU}F!wX0!!GMY%-tobDv566kAzmt6 z6Y~uZY2q?*cgjh7f#pUl6t0P3!$X=F5qBpZ^M#XO1m&0PQWzqupF$FE6+Vu5o8?ZtLwF4FA>rcy=8y4K z!}vVoT8a0-pe`Q(NYHVupysa>Pu{PCH(`sR^9lTbfX`DEb32f+T&kdTxA6|f5FZw< zi9Z(ZC-Pz|$}y7ouH{aAPq-!?7VcF1Uf7?7InLAUi9I8R^hFrtPI%eSoL>PBiYuPx z&lRu>4h#tC4Mv6h2woo>?_dn^_rmf0)A)5%X@(<-rwP}@k-}q$8Nx>*xcN(ho!tW} zB(O(V!cPOeLVWDNcGr>++IId7L?I+=jCi`lB1m?Dy z?!@ba#}Mxj?k9d%xF)suk0G`T_uECtgS`|+O6Di!H}XI$gli3* zN8t4apQqXg^*!U9(*j_6=v&drQ6o%?vEY3jpi!tDi6QJ*Or!&b$|3F&u8F&a`-y)P zK9cyE%S91~+Zw|ZWZv~!3Fsv+Mv2c9%1wI!o+)unl zc!2m1;UkFuwA_jR67DBbg-BBqIVm%J;vnH87=(Y!zXFCOByNX^E}`v?Fq-~#BU3C+5lxa*|;?ah*>bv8nWG~A^JyPTus{L zZK8{Jj$PWTtr(~y+sShy+`a?DdL!N>ToZ2=?k6@04-jt=o=BXF?t-}I5a$U`B+eHe zATAK@CtfLB6Bi11G(cJIGHEvlh|j}BYruA=2IxNV?PX9!`X;< ziHXdfV*YYr&4ZyXh>L}5;u7J0;!@!O;#I;Ei9ZrPg4k}k6FYyKh`9&;55Txa1ef>v?>pYkh`=0o!?3i(hlOk6Bf|Z}p9l{S z&%`ni;YSe95*{F)E!Bo?b$yq&i7wtw ze@{Z_u~x3cWa0SGJN5v={lp2v1H{e3M-aDI?!>La{lpuDYvQ+sJEdZtml(I^0I?7z zx>UA1OGSTvUcyyZE^iZEyq&tb5uv{W!@45gBpjclMlTiaCvFoSAbu%)1o1DHJMpi= z{lvcs*TlaIcS^-P?=)`B0pfEo(WSE8St|Pf8OBvtE^iZEyq&sYj{ksRsfhm+u8IE= z?l%aT5GH`HJM=6TK7zQ!awjeo?k8R)TobPrj;H)F&w<9RIY68U6J09Xou#7xA{bX) zxx7tu@pkHJ8A2DpuvEn5!Zoo_xSv=oJV5-d@Daq{S?F&oI$Mp@d4qQ_#@$t^h~?KxHSiecfdqT zZ@W`^`ri-ZYEmw56J5NWCe@D69Wa!hxJ$SuJ}BHzd`ozM__pOv{Ecu;d`Gw=J=1>7 zxHSie`(dJ`x7{f{{ojOfN$>JD(Z$=5{yl{LEexe6{!X|izAxNQ91?571c(X3{lrA! znmAOrBR$jp)TGfIApR33T6)`^($oJ0q~Vg@xoTEn(GHBA3!U|b`M%iBa3Z*INJ-+c)EpouY; z@Kcz0Pc?obVdj{bXU-f`JnAr03! zrGSgZR6_x_t|-v}ODf_(;hGpH+)unfcz}4J0l82Z(3EM3>HX zXX)ra3C2~^E^iZEyq!6OIbICIIwM{pToW%9?kAQC4-m^Ncj7g|HF2eIM|!4xg>h>R z5EsHkOK-bVdis~ZxTJS^o9N>0NMD7}*TPVGVzqEh{DE*k@rRZ>@d4qEluV=6xHSie zx57kAX}eQO`ri-ZlG5dEqKmg9WjjK5z)(u!F5#N^pm0C&ZQ%jpZ!CA>JHj>bUEz-O zO#2bz)*K+d0uwF0?M~_G{}zmEv~_u#=;G~+w(lYIZ(%4s@pr;C@qOWb;s~5kB20jI zqHsU)B;lHPvT#Rwrv0f&19Jt?1OI60ZFfpf|KTt$>0RC?x_CQdl0xVqFh0+`Gk6KC3I8@J{F@nV?h64~x75&g4a zTqSaOo9N>0)W-sZz7mGH5*G^B#6`mW#4W-D#I2S)@dn|V_-)~i^h`V7xHSie*TO_g zZ@W`^`frADN$>JD(Z$=5z6qgGk4AdpHsP9hi*P^jW#IwhE0#O)RpFZWGvSW(ufhHc z%Z>O;;hOj>;eMhA9~)&$C3=PX4GP!zl6a32-Y4z1Od8Dr;vZn5HDkL|GxYx#j7u{v zZxda-9nHib$K$MAiLt^p@p$2W;zhy(#EUI=;w8d0@lxTA^h`U!xHSieqhX?@x7{f{ z{l5j{lHTQQqKmiF&-hW?G#Iu@;$-2PI7PUhxJr0{SYf#nD}`%fm2gLTrhSER!#o1a zgNc^jcBl08FNbkS@A5X$#oN(6bG#OY(i5wNYhsOXKk+W%0pi`3JMnwMHL*pwBR$h@ zFmBk30KW|rExqkd>FIwbjB9>zd7J3s?aVK22)zS_(i3+I*Tj2-`-#619w5GBxf9~j{VWbCM z2oo*6?M~_GKO4p+z02D~7jLIeEkNiiVOX!kg~By)k#Ik8i|_z(tL09-LAWM4_ofU4}@#thr%7{nfA-ZtvNtE2oo*6?M~_G|2~XMdY89}F5ZsxA0hO|FqEG7 ziEvH)RJflw3@_dgCO{l6+)o@KToX?e?nuwH|7g-^4iJxrf3)f@ zxGX7aF(b0C59M zwDh(+q&NQGMi`gwUEZ3}#oN(+6P)gVVcCgoFvvl>U*_8G%z^$tfN|x}4(p>ZOoF%< zCOQe*ok`IDc^Fp`U9i3a!z74r!bB%wyE6&;e*oi3;v-l;gJBZHzrjQ&VY@R4`ulN5 z!Bx=#SQBBG1n~@*=p<}+CPDuc7?%{|U>y&`#z34PToWe>_Y)@x4-mg4JdxN0qdY@ z5U&>QC*}*+#AU)A{ZN>bjT>I_122M!){pH@{m?%b#-$&Zw}~#^i|qC@-HG97fI<|& zu!Rtp3)jR#;eO%@;Q?Za@I>NX7^4A_CoB)*lfnbUr-b{7PYc(?XM{T%pfHujtvNuv z4JKLxwmUUI|Holm8gO}==;G~Y;9e(&qk-q)^a2buKzvcSCcY%xPwWyNAigX-kvKoz z(g4W<81@n3mBItWg~I*BMZz^PSGc1A3iB(IcyoaGdzfeq*zVK-{bwN!mj+zkCc1b# z8c+y5z{GIwHjIUp-&JzFr#Uy){r-;9<-t%j#Kpojafxt0ajEbCF<*Eh@g*43I7qrI z58^)I0pfn)e&Wl*HSrbUj%p}OiE(QV5bI&0Rb#tTHS~WT#-$pUw}~#^j%sd1=xrv3 zqZ$hFDhxG1{F!h~{JC&H@ipNA;;)1!60-+e8X%bk!^T0JEj&P+Biv8S5w3}Ig*zIc zFmIW}aYzE}fr-|D?M@BQKLcsFG~n_!(Z$=*fCr&tO$aelxaAV3ztuniEe z6doWh6z(T360V84!W|7zn1d$q<^b_am}m{y?$iMNbC8Bh11@h9UA!F)@avFbO$L_2U$`c|EZk3gMRF&osZ@l}D@_bX0~F#`7;1ocn{Z9MUAUikhwuRLF5!v9Q-@d@AUO?&8X%r7 zJU|>N+)o@OToXqNcQim@?l*~JDG7W5CRziwJ2gQ6laPi>11@h9UA!F){MCwq*u2GW z{>C7MGc8GoX9?HDvxWPK=LioF&ljFZd>zJg1Cn1`9>fE}1H^;E{lr7UHSulXF~q|# zCLyVy8jfI**l1HklL-NO~qq$D91^@enM$}U8F)I@gL$w#n$ z48wLp{6x4Wek$Bg{Jro1@sGk2i3<~~vXLx;VLKt_3J(zTg!_q$g=^vx;Z8fDFkhI& zn*+oX;UBFR+nsu$|9lviGF;v!x_CPpSc=e9FoiT`5RDAVqg!d;3KNfNz^7GWZFjmc{t(BV{u=(Hof`)9zZHgg z5c$=O;F@S%=yvk{8~l0AUGlCrdDG6@<-K`}JKY%ntMbN{)H4W%c@q4B`31v3l-yD$max~hg_&;%IQN~G9Odo$p>#<_Vq@54(P&1@y+ZzR-ojrcER6sC)Dm&2X; zI}`qQ8;0kZix^j}oTAu<4}(=fgm%XZp#WS-)bu=M9H9%RShm$`_0+jGZt>l@do& zaGvihCW|&xy3LZbUu=OY4d&PdXhMgC_d4(GOr_=ug^snJCus;<`gSzEJFn@yU7R8tEs}PR&1RO!;^0kdosh5-zfG{`fmrnQ|wddPrg&^SJ6)XfY{$-`s5Fb z{U5ZGcZ&T#7@quDu}5ep-!Jx8m>>Bs#eNCnlfNnUyXjBp4_@f6`P#5KYv5!VV&B(4)4Ag&kg zCvFg~iPs5_A-*kq64AP6mgu@?7I57&^YgyhdlFs~yM@OPc^3+0ndH_t?~J=$X+ci`P+-t{0P|!3z?X*R5SS`l-HDc%l;#nrb1Z(DDAfkaL|JlBCQ9KM03azj zV;h^pHWPg=L8Nb9VQ0kDZ-r5w0sm?x*$IQ95PoIoBIY_cmadDK>qRgoG)WE&LKArV z5JBv6nIOFVcAhDjvodFfOxXA{>2D!vVlGkC7X`h?|$YrR> zDaX##M7GQMh?Olqc&hCJk=udE?XU|W#4RvBPpy8~%8%Uk=k2$-FzjK(dBQdEX5oG! zZ+)?65Vs4DA%0J|pV%TCzgdlahVU5TeZrj~;U?IdVNmWn0e2hkajirKhqEnRKCEjk zZ(^f(Zv^lnoGav0us#dJX>T8Je_!w4!TLVTaWcPs4Ejmm5PyYr&;S#n3Ns&RJPfiJ z1~^qH;WQW|!$7u}GvLJgNeDa}Fh{8E8-_I^PTvK{)gPyb55wsZ7$g})l8=LS!nlg| z5v(7>43SKG=jPZm68{AEp1#5N!+HS5lJRZO!xDnPz){kV!~%B|Oh4(5I2Z2c!MMcn z!5R-^rNS#hr%DI{14pHw1g8`jHZh`g2_})4Yq=Ab3Qx54mJRzNX!o+x*n;Kfl$|OJofN8nH zX2Y5TgRl=Zw310!=L~@1H^NW}#7)9AakFqgaf|Q((YnNtNaXuT$o`Pm^`bJ7FDeJY zAkhFZUbvq)ShywzgvSua!>#)~e zTd9AMs0!6OWNS;W0y{{gf3GJRPm$sr2~`96-b&oQzdF}>2@GE`HG_`@J@~lu6kOyy z0sHIDzx*_ViSrV;hVv9mohRTX&YNRgf0mEGNx~a4jLJj@|7gJ%VtmP^j($<_w$pb6 ze2*k;G`vx&HO+l*LrLgG^~U4|((jRQd;s}cP*1|)`&p-XuKXPnw<-y1t!TrlTAW26 zFzYtpVWX`8?(~3qg1;wB-3H(qb@W|$_HKZJ+}r~0PQWiZZw5yOJs7^BYX;kb9{h^) z=2*iK+Q(jP!WVv+6q;v@c8`!S0>H7(Q}A5p&EPkJ9z5T90#0|{3|{O!1!p)Z&cJHy|?gX6g zJO!U|-V8n)^x#tG3HXxpX7FX_DfpW61mwSa8028dc?y2Qc>-?ayg63!Ddl@kqEKHD zyyEn2K$g;yX+x(m&j9UiDxr(OfzDHKKj+Qh{y`58bDn@BoHv69J5Rxp&J%F5^Jef& z=P7u$^Q?0Mf!QcAx`-cah%g*1t&X=@#EvG65rUW zQc!(Py9e}DP10_+tIiah)i-sqcAu2c2H;c9Q}Ai$CGc72WiZ{q#}~Mk^D?-$^Afm@ z^As#OPr!=vW^g0tWpHEXC2$kxDLBA+0=7DD2HTvM!FJ~*aFp{D9PK;-$2o7#+D`k# zqqbXLfP2v$1baE%M=;cB%rjoQ$4Y1`@Hpowc)arxc#`unc&YPdaAwehmpLzimpf0v zSO2LXcb>7FJ)RJz?eEK3vl zf<(t{-eZ9S(boj2EDJ~TX)>B6>EAGEkr5^0X#_6;J4%7U3Gm*)e=X$84D?3{&ypzf z;)cgW{M{M7BPef#1fy>YDHu-STY9J?RH$vcsGuHkig~pS zLK_x*ovKXKPQC_$^qp+)u2CoA$1zCMmH;7Cjf5B`HhG+_F^}Fl?=M;tv;nk+ioc~6%fTiVbiD}O!5PzgC{+m!cR5^(Gbnc`J$+j5sJbzFwoKb(r; zRT+nasd;aygJEJ1&z(yjoMRtc7sBo1yUNNEd{^_xTk1s40w5pNxDYTvSr9^*)zy@l z&9K$fXC!6P_wqe*#WI8Mk*o1NGS-L2+u3^OU%&sP#cj&qZq6&hiMt!PTG&R?2` zm*JMR4Zujv#dpuP<%P6TNJ!3F{wLDiDX|q1;t}_byha7yB+=8f6gYg~&qDWIY zhM~!`36`g~)C*mb9c7292R~=M*qwj}I8VU?otMDj&dcCI&MV-_sSVg=MkZ*70Slhu zybPY|yab-+JOxj8o`7R?A6FxPS!{y_A{!;qfCccWp$TBBkuto`$i)0OGj9X1DUM8% zPqpM$AS7oa^L**HvuIlChbXJ3=l4zSRQfW{&du;q5?TpgX5f6z`E{8Yg{cg zGFv+gQ1DvkWpIx35_p~S6ujPf0`en7a}*;dva=;>X5dnzUBJ~c*8m-312pE%6fr-R zeBGhh43J6fR>htlMM@1&Eb5qRj!b_n>ECeH%tpvZ5>1SoWc#p0`dN(-_mN!~U>b|y zx2u@wEDu<*h{ZB}-@JAJhnr>B;{x}YjS~!)NcuILwL2L6kBX*@=RzH_!$cw9zJ+|F zpHdOeSZS?5h--ALI;PZ?!3>tow1eLbk9Qg^2dL5Fk3_-EtP#6YaC7G+aG>)t$j>(! z8z8stZ83tpAt3>L(|H+u%XtZ0?mPwGbDn_QobI!#5!q)Y8Z-bt)p>6RQ_*4-zF>xJ zfZy%ThXH;(x%Cj0!S7-US8rG9{`vKGGhG*8knoEiV1Elq=XXbnoM=0`#Dkn6(WnDF z?!rmnqssZAL~&0Drgp6r!`)W#__s+d^%ulnwnpe3PR$5VLwI-$l>Q^&P^auzwX5`1M8qOC&J6g_3=Ql!dLqha&g+k(2sG9QgYE9eB)Mbiq{Ft_oFujo-noDbP zEG-L;;%tX+gSM2Y0f12ShelSa!NCf20l_wO#h68hC?BSUBNB#(N;pdbL&Mxri`i86 z9krOTcxZ|_6;C1K-NlS|*Y?Ru%&tu^?y?eF>X!?zlc?e+%Cbkou((M0U5SQS9JZ+W zjoI&99h)+?G06+mg8V+WP`jxbU*Vge1pJ1L!R{11&v^+v-+3AQmh%e8%~nm^1xCVM z-3oZEM5{p=oa4L%UgtaouXmn+|Ii&#a|Br?VJQLMa9#%AbY24Aa-M?AohRU(8wN+Q z1?mcu-ym4K39poB0g1M#qbc7`@O^}u^(w)QPJsmy|F&x1Qvr>u56PNGzd zun>)@@2cE&RPy$OIaC7wu8^jMufle4!FF)L zc5u;l3-jAjA1vHcqH&m;=DqL0w$h`jTUql;hvuF#pD@D7a-@pF{ zehaq$qu;v~|2q&!)B_M2^vz(i^Az0Pc>?a_ycrzqJOy`luB&_BTC~4w z(1Amor{Gb}OW@d`2gf^4z;m2y;qmPd?H?)G$ewlIw}~g+2Q+N~?B29RHh<>IQ(x>@i` zr@s>X#c6cirQK5`EKgq(_E>T@P4~}|dsECjxs8%NNS?Fu?Rn{5lxS=vU`01}7-!%{ z&J*y^ppW^+X#c2?4qgz_!EXjVI49_1zU#GrLr4dI;5-F?=)45pbjo`72ieatsV`=1KwU~5PR`38hIz>9)D=9{kli$gm29p@={iSrUT!+9CJ z)OiJb!+8t%X3&FgIWL3DotMD3ou}Y?&J(bGQ;V^s7}p$2?=6Ak)#2nF!3RMNek}1$ z-W`gU!pYA9seU7&J6{pLE-4&9zn3NJMZH;aCuMjzd3TPI<1P;|0WWsm41Oo*!CwY_ ztj1#PKONG+H8u;~DQt3{fcpo1%r{K?p9|^W0nStKK<6cJxbrf2kn;+7gYy>f2SE@1 z(0Li0>%0Ws=sX2)ah`x{Zr-zBj+hN(0Kx0vPEzdo9PgFKTM(}5I9z1 z7eikcxy1FrRZ%}rttrqlH^E_Utu5MCGwir4 z7|K;D^q$Rp=**>|Kes#ni^h zO21fAXu128{FX#r2XvZ;-ceZMU1|Ww8hNn+{~$~Js`Ha3$N`q#eyQLWk~JG9Hw+nc zM#I(*XovitXgJGe{UBX6jBMJv9)0TF+-$0qCzKbSC!rE8b1pSGwGM--3D8nbCw^yIkhCNYrbwbktu6krq00j8sQh zVfyAoc%<_bJj!_q9P7Lcj&oiCA9UUVJ{0ue!_Ld#FP)dbUpY^~h0e3-dW!bHDT&?J z5Vmuq!(L&>Y=y~4D!HiOT;W}2*%dQ`jS@zyqw$p__e=#ZR6&jaGd#XX?9^evgF41m`S+%xS2G$R{SY16!2Zt5VB)+4uHifd{g>3;3Alm896>ge z;0UZZFM}I7FM&KHC7To+;5-4}k(eX3o^8h~On#@#6aYVvXodhE8f|YjWMM&cLTkT?LjJ?F3dVZlbDjqa=)2 zDD9~=nPO-W(ZL~OVWs}L3>72!N{sXnp4QS4)Rkc11oBGYv4;HVW?p0p^_q211m<#k%iJn7D^u(OXp8JDNfNp8acXNpb zD=<{qbmRu8UD7b=`kHwrbS2>SM59%J_zIWYIQ2gM*GG_{vQq<=!C}rz;OCsD;Be;& zc%Abyc)jxyc!Tp4oa;OR?{%IX5p+q`u#aS2jtKgFB$t%~o3=hcDn^Zt3^N5+I{ks* zCrGUqK| z@$Yn(yFLLo>}U2wW*ZNm?%=uv+|hXo?&Q1#4t8D!Kkd8%UM0~yT59BbApyMFc^SOM zc?tZ!^Aw!zJON+Uv^Ph^$o5f!nh3zB#(q7R7N!AJ_^hS20XWtwm>Xu-2c1ee#!{;Q zk!dUf7^|E-PiKtcNTrOIaQ=IOu-h%aE8tBk$^?)R3m$#HFYxT>-?dBADm07Es-mgrH(F->}v=fFC9c;UE$ z8;XV(LLd@a8+8olU76xvgYfR!rRj6QBmP=c&76dJj;0r{F?JJ_$xieW5*Q8 zLWxQ(gTHoO0)OK?1s`#qfIrj|0G1gtS3+j+M&~8)Cg&-5i}M7Ws;3Jqa}2*n;XGvC zTk5>peuNpf)WJg~I%>VKxPGkI&DkDoO*!JE3OqpKb}))4o`OB068L`$%^)5#B7;Uc zu&vQ-20fg)?KPL<%~5ZRv>{J#sZ)@r=ZDcP6+WS9gq^UDO5*p)@MA-%aEkN*drydt z3;8DOm*<;sM4oR#A>V|U?^%`gnnbnPRi-%$$0{Psk}lJzc1xoX3$ax{a3rY)?W<9#ZlO>o_+)+2dZDgE<&8aRpw^0HxHh;71dc^MN5wi99*o2Xvs3nPM8!?s#= z^#T%MczbOMj$WS-x|_kTI8VXDoF~!k80{Ysbl_O$DR`svX7Hw<2XA$r`Ix8up9CFv zoAVUB-FXST!+9C}sq+eWo}Q)AkX&Hoe0#8_y8>R|ybONRc?tZM^Aw!!JOO#W#T>=j z5!v=;(3@N&JWmGdm@M-3q}x2CgS^R9M{i(iQ1f!jaUmNxQ6dvyb>4K3uGEA(e!8gx zY;V6&{q_p=)y>#Zu?nsuNFJsa$UYY^Ha%3 z-8&GnWOL=`A(=pyiGM6nbB&HJFVX&wBs$g5HF9?9JX0lKW~FNx5`NEl3jST9E?L?L zc|9b6%bX|RT9se~SzDr!n}Q|h3CKe|=4r*JdjF zSI~o_ohRTC&YM9V-yts;9s$Zm5|0HPYUwR?ki6<3;IN?Tp zgGW0rfyX#c!ST)$@C=DMU_n2~L{F%Ilbn~qZs#R%vhx%?+j#&bb7jApsrD60PHOBoUoI&wglko5>J3pQ{^bu zQ%{Lm`P9=N+G(kS>uiE)6`*r;VFiqn=&It;o~E=qu+HAemRJR7d)b{SxX>wZtAq-I zR9F@O^^Nu3(CX9(Dd?@=Nt{H5nvm!XI7lpfbFpGf z^aXlw#h}oyDyrf)AdI16+a98Zq)d=_ur00_RCqu!vEwppN}FQ$BH`DZdXPhVzjblVK1~1A;*i_?BbPK_@PGdmQ#vta%DK+wA zS8|Ui9(7E&=L!?Qh6+o-c%J9_r!T+I}7sWUTlTXr*CDo{M_rJ zqSr+|aT$@LMMbZRilHwmdR>%x{dW#E9C8@1@_%;^Bp!DPeRX#aeH9NvGxCnQ+dAql z)sxi+^p*GYa-os$wn#(w-M!l2`~lOJIvB>tLsl*wi~B+cKV%(zg&LfMxcI9I4`m~V zghCJx^)UEXTx%^y>~(S!I_IG*(j{T=%lf6B!q8uk4Sk(R7l!?U9)>*)D}AATU+O8e z>4L0HWfT`TE1@tE7Fcy|Q@hFtphji{2~D~n3-luu8Jg~;oy)LUh<92gEZ^U83n|>03-!z%#5Si~!qN(W0s0 z&Bgs2z1|qb{i5A3RhrHr01D;T^!;L~wiAsX%q&6!;;}I3y3|G+Z%I{p2hc?4O5_;W z!kQ(oK{U0XvBjWI4GI>I^b{=ec~!7jP_)RWJQjbGsQ+S@iPB9JSGa8-UB%{!<;DHj z0?|(23ohzck5;}HZDxg5P;Fkaux_iH!-H2&s;!p>eIbK*`c0`nuyeA1)+ zAR+JEV&1tug}ixh#Jqk0OUUh4z+IHPztyy>SWxi2xafOv(RY5<7ptLGkChOOVHEn} zm=Lqt7sDPawR%~otF$qI>COJQoEm0E*5w6dzEDSHanX&sLdkQ2!=hP57y27XbZ;dA zH+G(an>a6l1Duz^Eu2@tpGxfB3gqsP0N&%g4F1e{3B1>N3eI<)fM41rIEvLDEakV% zprsCeTcXyvQh*;Vhrj~Y0>5?bQo;D`%;3-+bW=#8Y``f-yMQUK1t#ujwz1gDKc%}a z5-l*mBN7gfrR{YmR-(?B*|UeT?PDI=$bF`HXajDLV7~QEdKKO0VEwMnZ) z%h>eBSX&zEh830gxYGRqG(o9hV$B}JuqQEMZc;up!?r!uHX|i!wqj~%va5SY-`CQs z04=Dtxw>DuO#WNDUaWF>drR zaCnRy9z%y0ebdeOz<2Z)o20LA{mLTrEBf4bOTl;k-2lFrXc`bRdzf2yN%V~|5T@76 zo~q{ANfPM+>S6YbBpStb7)g|7JB%c%Vmq-Og|cQ_S)qX0RzRl}Pz6E(vwI2!%56@uS5#Z#Mks^aNlr!k=7SfrZtJ>!o+sT@4k4jzMn$7tZu zU#uYGj2gO+UF*3Iy+leO(4*MoKJsxHo11YK+Z&$yYgvuImV&>0Ebgd!e9r39Qa@gJ zx}>n$6`Ej7p$WzmnqW*Ge(#t({N6En`1xNG{Z-X|UqZ-WV#Q^%e{VI_XC)lB!8R@F z;?3YF=Lt9~=)tRl9{eEaV~c;N{f|RBcvwI82OjP`iMC*W31x#iKen<#odB9%U|X|3 zb%tX)a4+Z0Aa%fpz_xw$IaGoU{Ic_Akct;=*=IhW1J7{Y0(J*I*c&R#4%mF7Y8%&JI+(^66YoGyUxqt&gxOc@u-nq zv_pX4r=6F;7UwCrtMe?5AIr&`l0qEX)2_D%7^V_W(l=;5QxTI>a988`^w?edj2+q$ zr2oZj+>A3mIR#H}z5STuvY-cN1wD92&&nNv6( z;q6Wdk%2#To`OF!J~;*Nb$!-2+Ffl+tYx;7Q?O(_Zwc+LHs46Iot$FB#{QuaVgtjX zl7iz+KY68}z^rARsIrA6k6-;t1(4>rN zL*5))aT;9}T9)YDQybaOwd`%cV@5m4UbgI=dG>7f=h<8H?5)aa+u!s_es%hopt7pO zF%0fz>D?)Ku<^+$IMVeAcwf+i3xXc}z>XVvv+#1~Q4%drGX?&h=gx;kpLA^uf?u#4 zVvc&pyeE8B$;BYYN_U<_?R%x*W~cWHo^&ef@J8wCUZVU>plm6WH)e}c=u1vxp}bP} z8Oz=tRj+AxW3y^MUO;~J&2@s?odN_`=rQ8D)!hL1I*lG@YL_@wo6%7RN+q2?hTq@0 ztp|gRPfo#|gN?wp7JbGw0^Cg^A1TN>z&Zl@DDO_duLZrpwgdF}1&Jy^GE-la733tR z0GSFkBoDJkDu8uf*OdCF8j)jMuTR^i=<^&2RRe3zQ}ANrlT&bp>l5&MK@VON^nz?# zT~lo$3#tqbFs{idoDlTjDM2r=P3Kki$DaB_keBptO`q0oheS04LKBGDwx2#fC(-Z$ zzG5_+YNu=W3<*^Qnd0;Y_)X)JQ;>rdHsDg@`2_jC_HU4=1YnWT_9q3;x)xY!v|XRJ zE!F3rCHMsY>O2MCH$FK9KXiQp`Y*{Qr{FLNdBGEn=kc)qZEm`jIygw8Q(j;vr$Yr_ zaC(Hm9pvZC>q$q((7w5kDn33XkvqWMRdIKyX{*s);S_suBb+DTY0g_fhKOP>6^2U6 zP?_lZM38NpiE%In@u@YFc{?9SE>H8AQ-U8c^PC3+8Ga|9umOW z&P(96&QtJu=Lxt>Vwr{6wzZf>G;o#CwmAY!3w_Jvq8(M|39Uj*;6D-mJn)6W-v_=} zhzo24wr#3UR#h&U$WLB)^r6R_9=yMfw^u_7=z&nCZ8#xJy%^Af@Isiyz6}x_AW@Bo zm-#PBad$bz|07Nb_8F)8v<-J}NEpN5a_1@NQ?0uN94L`Z3N|}Wz+ui?!0_YGwD9B4 zL~d-O9FE_LEoiKwu4;>_;j;L=drUy4GNpnCIWK`DoR`5bI;b(qJgtC24+86?NSMfANYYpcWdshLH;Ju%Rcl{-EI2S*?A?V zZj`xFYV<`VPnBr20X;@LfjLgewVgzBY^UI$a0QiTZzVec==cDTPeIME#bOHw$p@AG zYctK-zbf-q30)7iIZwfM=Ou8I^D@}wyaFz8-VE~pzqB>@fb%l=pz{*=kn1A?hq%T{)YQQFM%y_~&3{Nms8^BMHbYm(05~+W~U{|f2>#P~3i`QbcM1H^^=0s5=M`{2t#!)V0`Bj; z0uFUv28TH>fuD1pg2SCB;8f==u`a~5nRIHv0|aB79x0gYl)qY-;kH_2cJN8joY@5R9~QL_J#Ts|I|Hibjyp(Fj7oG6GI< z{g^uB4Bt7T4xuVC0*Kj)i!q=$S3>`Q=Q&Toz1KiLIR*DM-kpFi27S>7KP1!BDS#*E zmnXlH?st-YI+%jI)Lk)*ZsM1&7~4*C7OmAW7{6s1dq;1qRq_Cd>hWbktJ9+dFFFO@ zb_(nzzp~v&@Oh`eXr};C$bcATIR$QV3fw2rk!m#fl&gW~oC2Yu9KLM(qRJ&W4T>0J ze|-m&_4iB4^Rk4t248iaf;X?}Z4L4^bZo$m)b+6pe5|QszM2Q{gqEp~Pr@%EvJAoUW zQj1%SR__u#EU~}Rh5VQ4+x{VFQbpAtKq}Eo!SjaW*L{N}TGxQXEmv!79u2!#&1047 ze^_w3;Y+e)xHnM(ZDl(vTC2un`nHz<&|E4kwvXs@(s(MGP)OF)WHpN?#@=oFRh#3HT;7EI%40FUW0 zgeHa1wARO4M?H0*VA&qIyKs2mt4JTcj?%n2a=#;`JJj;%{*{n_B-VsZLH>+EyaZOA zm%(=D74X5e&88WA$aw|i)%VI<27l?i1oGQc{1<+Mn(!Occ~IL85K~FxSaI zv=l8GPBp_efWHscNCYN01&BymvcK#UXi%9P6$KPu7{HXyd-OG5f!!@n7w|==K&w;W zVy6IqiJ)u%_0=e%)aRW7FG#dxG}a*=O;;XGYaUHD{7z7C{70|aEdft*o`NSkFM$)B zm%;Fd!xiw8B}(jGU}OvJ$WaC4h3s?^*zCLnZsj}$2RTo`Arf;G8=uIgOVmpM>BT`y zGXDsyMg~H1b~BLV9!qWoE;rf*%#t+ee#e=5-5&fpNvccIA={y0?>32=8Ms5zq{K6n z1i#yo+JL8wwr(9_FD$=bR|nL2x@a*vJ72-#Jc)t@zUA)bWfy7DgGOgVECL?4=au0F30(;O z&3OvG=)45JbwF@T{pBm)n^M>pukV9Wz_p9pzoPU!Fet z$~-;mLjH||{MKvHpidVTEJoK07I_z9!M|rKSb#swmWR9xqX)VzIw*GGd}Z*To_8nU zeG)ZB3f}L$1TJu11|M`@0r_RQwS3_x=9R)v%*&U{p!gH>S=y%n@M`A?$p2xRqrx9! zS00lx{4sVJ&2d?6-qe(b%C1Rnhl%+p7|EdGYuj^>}a2}ZVAmp`EZ@MXOmjcq4d_v!zF`RIDV ze005Fo(~4hHgnUY(zN&!RJ1>JfI4^-aI#0pD&J%DIyMfVcyYo+wgs6j@!J{CAqrJO9`wk4kV$@`i*bgtbn zb+h^+`FTY`BKU?RON<_fbBshGN7Yxgi!<6BhufHsUi-fae4@u6)i3tTROP#%ud7?N zyQ)^`Q+4W#U)A>`!K$hrLyP{BhTb37>i4N(`7dbQ2?CyTT2{ydB+>%ZM3fR2ZeSGH z(8}xN|0-yC8PNDdA{qnoQesTLw~;FSNs0Dut<_=tJA+P$0D4IIi_v;R4yjWNmfb#Mp(bu1Q6p?HJS=ib&svg0F-`g^NyJMg$fS6m0zpBJfGjoSfAm%f_i zTOh^bmfi+D?-bxqr*#qle9kG2v$wTK2aPk=DZfBxSQbtgew}%0#S?#ZqP1d14f2tM zGe2Im4CjBSb=n4ODv9TLaBq?}z$0kQBWNXp9*dwgkDxWS^gYV+fJCF1|4x3~X)*Oz zrfMhkNvAQDrsb#cS_Kkt6+e+L{!2!gAH0VjwpYMEY(#bSUPQ>F5|$J2G3RCQapxuQ zkIqwYk@E!H>XX4yF|x!AdQ0G%5)Ch4JxTwDGaKvt$ZDkN+kyL?0>6@|?;GpSi}3R* z%`adViKcA{yk~P$1Alf3yyXg45i6v{1A?g)u2 zfC)xBftjua=16phIH^PUlLc7=8|mv>H32ZhXa~^YT7VxR$riZGwZJ{D1)ee52JAY( zY=Kcm+W`L5Kpudf80`ceb1krjzVnd*aF$cxDx+243D*LDG1>|E&-6Qh|8^~~p1!e@ zGhj2LoxrDD3moKH;BeOh$GH}`-?acgaMI-su(kDJ2e7-u+7hyl>w%F`4{3EhFgEHT z=ei!aB(e^qH?9Ysj(P|qNc99xHd+PlFxm$EMq*Jy9y5Ih@QTqkV7bvQ zAhi+L0r+67#=*$!Xtn`Zz#A!Y=s*K9d+BmD8A-KZnje@04H`9P= zwy{&?|3Jn%y-L9E+qCe!E0|!Tq)$tOELWsSysT!Uoi*piigmpyg z`GQ-W{zSmirGvyWK&{`eVfXqbLRJy@84AnCW$PL$oZrrKocz~ibmfk_a>qmJ8wAIi zH}uoP)qEzR)?5Ab_a#?184?4U$XpJ_@?pQ>nx%l1wDaoFR{$A zy^4|dS+-ZKj?OsrI}RGnSN7gnwdb`%qv&WmtHubXIcz7ki!#lv)hXDIF0zY$*Hkqa zhcda^O^D6+iZ%me!#z)ME8~-=fICRkv$0Zz^PUGZ0$GFHSDd+hJ!A75iEiZ@z923a z82>{e3eZSc#4QOG*755|>Pw~IF&)&msM7uGSCn!^Et@i^yLRvjxVuEh^4X1$JwgJw zr}Hwnm-7<1xAPR-*LebNrzp%3geO)g0o>ks8Qj5n3Ea_n3J!LjfX7M95#)GJsDLLp zFN6LZO?L@A$@M8X!FdAyKw^#{KlFqOIM;a@ywQ0HyvcbA-r_s~d+dIv+6YpUP&II> z^D=m@^Ah+C=P7u;^8`$^G+0DxuWWl#Og)-_uSqnTudea9&jJgfHv#X^x0jXx;frH( ztndZ$KY|+clH@2WM&xGydarzzzS!W47x^f^uCUh9eM0zNLccTHTfSBNxuCyL_ci8A zusL1VvEeT^%Un<&B0+zI@T|b!)mbY6pkGD#72>$n%ddcZ-NW(-hJ4EH+ueenNk|82 zAstm|lRv7Sq5$8Yi@qYbQeVo6Qb+%ju&$lKcbq2psncgd>&RAh zv5l{z|DtcK)Wg@;AaC2ZSXCmYgG^5^Q4QOi#Nk_yptrl(S2pwG<)dK@Ggd^U3!8t^zP;Ia6x>#L`| z^zDeeec00`a)f+AIK^pHBi#jK)r!*uul_!k%Ky}XR>At zv815}50psVC17Zw&ewysII>VP!oFi&*cK;_GO`5HQCP%TBQ;&dR6)&Z$ju};ufx1Z zqSGvZMGw5Ujc3(j6I*5)c^%CZa|_`vx^e)AMLi4H%(%nq9p=xhTI?6|MbFTN z=8G<(dn^UQ5zA8Ip-b^{1bZv$S?-SVxiPCo+lPrVs}>twBcIzitn=oH2^8kctXke1 zE%n0u$xlb=b{gBV#=f?l*x9xdd)szm$+kneVX>W6D=fCNYRpvznwkAuLm|wz;dFSI zOT!BjX!uG!9H!9l!n_&2Qcs5IGJK_O2@_`cO1(nVnkK_z(3;k^6m$HKD{=pZw7Fhb zi>KBYqMz}Fd1?*9P*vrp+L&btCO;#NF$4!0M&=80zs>BHI{0hj!|LGgCFThdmgcE7 z$fq<=a0PmOhSfm^5c+L3es=T~duk05j-OL&kh3LNv94bcI5~wjYmj>+Sb;3}mL0-*2nh_*#2Qwvgg$80h!8*H${$JRS6{P8La}tobm+W zN0KI8B2DBbr#NRYnhhsuB|cN4w+YRyHStY%;Sf8cc8rdnya-&DKv-C2)UHr(-7Jy* zEUgUTs5+y@81t{ms{m&-i3;7)deRr@D!{nY?BxqYzF?9Yz)$I_Kv*AU)c8~EJ0&t< z4d{@F0;~dg*o8yyj2c}O8hl2LGrq78%&5_R;fOh-R+!{7veS_`$>|2#;zFKw(Kq(r zR{bycrifD?6Ygfy*$OHy0;uB}0FO%mJgxxn7>lyTwE%To3gB^4@epmeqL^9Z2pkRs zGqVGMt&%KGVOGtwSrwM-nYLty)p%wN5?14xHCE%W=FY4YYsM_$Wzo9M1;WIbX%mC0 zYG}`_73*ABac9<6*8q%gMz`f=Xr~e#E`YES&s^O~JhR4P6HXv!uCm996)48Gx^Rm9 z@jrFKnV}OH4fFwzw8Ft~9z4GW`A9+n%W>#|`PKs=^!Zunx}#v%?P_B#+mDi{VMu0g{2$lbMgc(Rmue6k&a8Y&?_7*0EsMLVI{ z^v??+NUY-doMn$8lfZcc5n4iwkCH!>NO^q?GSE&wyMU*i0z6aL)NrQ$bYkl|2ci2V zmIbmzqSL_`w`jbM6Xhz7pkr?0eyy-ixJHqvuC!%Aj)R&>J8L^mg<{t}LMeXNW?Ju$_cT&Y4dKKr^AXHPmn%A~7kF%3FAM!Zo z)*uAU!j#9{S%`VA9o;<4&O(^^#onQcFO2dA8|AM_p*k^ym)p4Qik%=W%}?8l=0mtE zAHrSv5bolX;2eo;W9y2>QGzoKlFe*A>&nM?7h{|Y02$EUgT0C4(jhG?hu@qeiq@R&p^I}j$rY?}-`cc-44U4t-3WfaGk={W`W$!f>eFfEi>`Y^4VV4esWI31t zA*dO(c>97eQMi9GBb&FDg}w>HeMaGoU&Y5xF*CX)jQ$z5pKB+af6cIA#o@)w3Il6~ z9cTRPNZw+vm|5%>+lf6B?_WqcvsSo)aZB+A#x2;Z%BN8$7fK%iZt7P-i+dn#Aptx-CP4Uu zE^<63tY~t>!DgH2ZNO(G>I=P&Oynd9HXw6`>pP7cPE|w!mL@Z2bi5#u9tf9!i+dn6 zA8z8Q20UK%$^LMieTgZwD3|tpY62GGHNK4G@jz_M-F_ zJtg{60sSNz2Qa_P80SqMRh-L>wlB_$d!gGE_zIo{zq_B5QX{;u_}oqN#LsOf9&R>DE= z;jXxfppKmhXX3GCSf@KYGr_+iKFPXaH%1F+5>r)na-)BeTcp``; z=YbTOW;^lxh6?4K*+FNn=Ti^xyVYIsZncgeOjA18obV4kbTdw3 zcUwa2Z`)aQhuabA;Mn1ic8R7jaJtbpV3I@+Omx>Fj0>5>Yjed@924BPV_XnU93K`z zJld1MbZ3+(FC&CgGf|+)7V+XWwH-RYs8ztcK)!5CLKR@4FnvB;vg7Njn~`T)eYLB` z1>~C=Gya3FD!^HQd`_!D2KexqR)d5~@@aOC!J*mA7!k~7+hG`lle=j)48GvQU|J2* zDpAt`VR%fli+Gl5%g!hW^LSb|k1b_2-8`)pr>|Ktf$0s~Sxr|=E8a<&W+z_7Ru4@& zt$0^uT6T&Q@2Xe<@gm)J;;FXn#LINs!8^xr+u1H0kZp&u=sDYArGGc>#J=I4*e;UB zhQs#~bT}mnPE6Kr_`bS@ZQ0OxqLS;D4*jldek4(DI>ql+9nRGGheX;$6Ng%e#>(XR zmko_|D(Yg@in>_CqK=AQAt~zE|63p3(xL2gmQu81pBGT&x}`&7TjjcCL*q$Iu3I`Z zp3LOBWkaor^zl=jTK+NTGz>v z9FCpKdmw-C`SM;5#E+AmKtC;~^7CE~#Mj==xb~_jX4X`RXyJloc~3lmS_tui%66D_ zEWpZ9ScjMQFai0J!;Bz)_S6Y%FOfaq-xGGm?+K-4@jlWFs=(1kJAo;#1^npT$TmqbGTPzUHucZgxF9UP?)fE=^ z5O;Phz^WrHaJIw>hkV`j07nb?0O}Hp1H!#_=>bkMOb^*oB0b<|i=6-m6!RXBEHa75 z71MK2;by$_0B0Pc@x;TtGk?Qsw7e%?S}6Mb%A%90%hiRsjF%bm!_~&OC87W)F{1HY z#=OU~8OzG)3>O?Sir-0@J|3(rM_l$~w3=xeZaZjfYqo`J`BhAfa18P(5RO61dl;(W zh_bw=aD!oa&q@O)EWXQoVjPEx93@el|1ZADLoL4{(IsLEen+D50DR9WH?u#mxYlSJ!1EE(;_P;bWxPuS&(ia~{8Br-Rb^;fEQ3>~m<|5Z zELU4JJx1hMi5vm18EwZkm#(^i0=R9hZ(5f3G_Cd8*4aIQiL&+BI*8!~iy=FU`l?dD zVcuGSZyRj`E_bR=+g30n0vqha5bl@fBa0k50I5au_jKy{tVivIoy{Quyg*_J!ffMi zyDGYcfVL6^#!1ve!vxu;xvpe>nqZ!kJSIyMaYwBSNQ9*F4PWn0xxo&g0z?PC6Iw7UIw3c-U7Z5^x)r|m%taDr{GJ@6Yx#vEdtxF z(kFS<*(8S{U`hITSn?Z*0tOzF=xN+qJvYrNvRr9zOQ;C=j`I|J*Lewi&v_aAz}m2I0#MIjVrOoR>k~jz^1tS2<6??>SFE#=JR#gy#Y);EyCUA^2nGC6L$JNuPrA zoG0J{i8+G&!V@as1J27J@5Lb^@FC|ZxX^h5Zm!8;jvxai%1{A6>AVbX;k*R$MJ^G6 z&CU~$m-?6^wU=!)`q5TWui**eIwSzoozf!LNHh!$sk|(xAK{BWEWj}qar-#)+fv8> zS!P23)$7QAMWS&8P!}vfe^F63jEW`mM5&kDwPJDi zn%^#fmbag`LnvP(w6R_c3z62EUCA2V1@>!0Rq;=F%?sEG$7}kw!i8ub)ZQc?350R<%vVZ6rci_DBFX zcU}SqI#0o7=LvX>O6xO>rL(u?DyWS`@uPa_(dmZmj8*}1Nh_9Z7;B-%kTq76)0*dL>ey`gj$4tc2D98InfjrJkBY=N$-U2=m^x$IW zC6G6J;s^BS@46H4P1k1&^f}rmud4o(;AN+=I&-z_Q@RQ;3$2?meO6NF=H=RbTS7&^ zcbuo-yUt7Cd(O+?2hJF06;#CINpdvF?XF z;t3V-ch1Y;@12*xKR8dpN1Z3&-Z~lRGmfa@8D`LHc!9Y6mLXaf*SIy6c&r(>)X6kO zqUO3maF0`Rt*J6ts=$pUvKS(u=tA4#%L{I)olAn<%su6z| z;uIb`7mxlTu?{>@eXTmtQZyQJlVg~rR)K>gHVUW!1r#EsYN%Dtk-Uy9lzC)y#XfK9 zVw8ZNah`(VePbo?2G_TMKX6_Gf9N~~=Q>ZoJDq34dXo0fmMAo!Cb5vQ{juv~NT52_ zLIQQ$0iJ@pIBy0&9rPgOPz7*z=gk7!Qhin=_NyHsXKV^1H{Cru1mvbI^^G#q_S`go zNQWhAC-($kq6$sPToJ_{5~2e4be@9yI4^-GJ8uSA)MQ@*PjQ}tr#eqSPQ+!?EU=Ao zSiBhOAeS3WT#D(jV>msnp$Q!0Gq%*Ht9>?Z0BY0I_DLT`Jm}PwXv`+R2bWjNtC$^KJL5( z^3wz9Q*e><1bk9rjv!BYLIr%dyaf8B?M}gGU7ywJM~dv%5;Y3&7o%;!pEY>Z zE2F?yC2B!{^;Z?3Qni@JUO}Z{kP8GkZu)m@s)o28MXt1K6Xm?pCu&F`L zIxbC)m)$w$uX<^sH`7JC!}7pp)rJR$7G<;mWu!Lr6F0IlzQMhmH-mczJs6gn1Pl|h z8T?+b0hyTi1R2ia&4O%OM@(G^;8JakH*$)`Oobj`SRQ4m)jTyDtD}^1w1lH9$g0BA z2jKa}^T*@oY5#W9btfQ~t3(I>J?I6tal!hOq%i*epxq@BiUOG?#RnZ2A8(niI{`m- z-VDkQMJ3?I&YQtaq8=M<_~kOx&7GIPEu5FZY0jI$3xgiK$ax9; zw(}I6?mPiG$1nETq1r!HqAp?ZkzDAVJ+wPqvJMA{A%psl?bvzT)|~a8V0Kl2(lqA) z3Q*mE8(a(AE9v6^1qJC%*%RIvJkFX%A6-&0u;rmHxX)=!U8vn(OK4~C5$7rRzVkBp z&!7io?ENC_@4O5$r{yOFOU@H;J?CYx>^ue6ch20gt)hLtM_S@8l5gsdN_?vKu#e0oaGW(-JJ9q-WfQ?Zv_s1 zB*NMX@PA_7# zq{Zy9pu&LrjIwyyy`#a?&4n+nJY`D}ak0ha(!zeMOH7Z&uqa<{c6}Go-%0nTMB^=H zElf=Ql3*PPeGCqE-VE*>^s$5%?e7|NAXfp52XHs%C2)7=WpFR&6>zpjmb!77k!!WX zKmq4CFN4=PFM-!PPr)0U>(e)a=`iQLhPQ}oegeOh=)`kE9de~|=*MHD``o%MWKM8$ zuSCyI0G|1bKADI0$vjb3y_TU0cwl*VkCyH@Nxz0O6YvyCp}|R}jKZ}`u4<398=GZp zBmWeud~X%}rbMR+z;~PiA&Tsf!qtQa*#=xAQ4qkjPTv-6WBJ>G5l&5~#U0w`5+0At+IFpzr50to(xMF;oG)Qj&b_#YXQ z@ifeH173|*e4Z>(pku(AM8yK$W?4Js?%T*qM$P3BP1_`OIMMjru5cc1+v6d4ornzM zhLCN_D6hWCk0X$dA8zsy*@FwRec(d~oc#HEgc&qaYxbqs8w*}nAc?JBm z^D@}tyaev*JOzh1Pe6Zxad%4$mbmV-a(WH7mW}2F;9qffkn775wW{G)%%TeP810%W zz_0SH!fk^4$0cgfq-n@@b@cXp@Ym(JFuN3q(D8McH*IM#gl+_ ziCh5$5le}YY%JYYW>N)0rDE!@RU+}|Xx*U0KlD!$Eh#`h%hw64X|w}y7oC({`0Ae@ zp#(3`A4%lpHi0)yHumx|s()4)uTqX4#D87*PT*iDaH<@9$9#3n5O`%XUkjxAg@jQB zKHxkBA9P*f91RaUZ9bzwRwS&Z)%6N8T^*>GC0k73B1sG3Ql*Pfb%5gC^jsS z?PvzQ3D_gCuKDt2^^3&GP#7 zRqi8!zHAxW0Qb>0RC$PnH6q5kNXZA9uTDan;WVZ`tJG6X)d8I56u^(3^J=sT)m;yG zV@Mxed>|JDuj&A#tNg4Nx77ZTmfQyL0%tAtgmRTr;73lQ%h(ojN$BmCS_LLKr50B> z1+H@%v(qJBh1ZX6H0C9_Dla*db9T9xlK`p+Cv_6A1$oyv=zE-tN2v zzU;gVz7q7{tIkvKHRlQVrt>oRmh%$Gk0?}D3cl@JbI7+pYX518+7x)nDc-P@HX1I> zQrlx$g|oLOl=`e?Xa(F&YwjkyaZY&{+i>3|KZ4vR-7h5Jgc6GB+J1i7#7`92e_f(p zjX_1TLY(i(;(ZB?3;xr23Vz_c1oG6UY|7xr&MRPx?(S)_E;X{Nc4Slmhd3{TyE!j` zyE{+8y`3lE(Gqh6;fYZkf#aN)!ST*Z;IYnA@C4@xc(%kG#adIvLHZ7!gAT|ut6ENh z$r8=F3H3cfMr`6%$d{1sFw55tc)m0Hsz)qU<;?f4iYS49jnSkh4jZZ zoz-*Z|2oxygUJ7Yo$HD5U2nKSwLm zt(LzF@WQ+L$;}!Ptq?%PXcgGbX^f8S_$}T)V*eKswZSlOnWU+~FL&M+$79@&etM*55_)X;uuqARXw$H4olgsB96&3Ov)fS&XvaEkLXSaV(hUv%CAz7+J}%g)Q- zE6z*ctIkvKHRlO<`dU_2ON{GM>3$>8tOtH4(f=__sbl-0>wz7WP2CK9TB2z&rM{oY zfu?T*_${+cfa6?yvVigm*CH3m*TwD@@M!W8cbRmA&`&qr!9@~vCBc-;Q5S{cw{Qsf zr&3X?+r}RvY&AaFkZ91yP~frZ`Y0@r|EqYZG{5#{ zD1Z~4r{E;#C6J#=$fgXQ<-7v+U)%I8VB)+2uHn24@(d?K3S8593Z~8z@C(jcz=NDu zz!A>N;K9yI;33XaaHR7DCq*@Ry|v( zOuNXA~Z;-_6f(OO9Q>{({JZtY*QQIo|B#U|&ILc@z@KuT4xti4R zu-Ntbw2fS(D;H(mWVD(aR{tRlMHPT;cEZW5{=rZ`cS0VRBZq`3aczP`zcuUjb)0)Vnm~x z_eD04A(ssxxBu1JpA?W$6rg-8_-8wuNDXk89yRCzWT(bN>y}x>vB>`)|G(1$(^b{q zNgkCflQd~qtSgDzJ>q;x@kz;-Byl@8@q5tRMCGPT++JH(*OQWWCH&+d+t&9tc()6A zqCRe8HqaeI$<>nIOP-ayAc-4uWP-|_BI%V}E#YAszCqwdeBAyMyLS2HD+0dtj~n?{ zrN3HwzDVLr8{!~OvE19&&>5ZNdC79gK%IaMmc)&;CncS_CYvg`L&t|j93#RuUkAe_ z5>77Oki>0u`sK3Wot}dvoDjrqb@~g`2RBOi$x%rXH+1~?gFkb4Nig4F&xujgiwO-I6m4<7JBYEXnnf z9~AVrh%b~ZlKiQle?@!^ji-`i{ld69Q+$SGmgJg({wc-4E9Utlgkh5JO74`zjr2X0 z{_pBX=#jxGlIfBKlJXW>b|sS}mq_B)BEsQvxTGqXE4f1wH`4Z(Oq9%&%$CfN#O?PQ zmwb`4RPu6RUJTY)8!vfCWAc&0xJ0*5!bSR6$smoZmcsbkQDf`Jl1C)R>N|UWdBmT_ z#ElniI5$-s?t*GJO5vBrVq`ptETFNquPIqr~5*D1~wlCc9d?j>=1 zR66p^mn@SER$8=~-mIJXTj}&*+i0!t+&A-=6pspaF=cgnUTC~r(xtJu@s=82^0&p- zF_-)LchbrCp=1r^E#|*Sy1A0l3i{uL@?xG?A}?*fSV9}LXueQCe8-J6+GAg(Q^(z9 zbE+h6PmBMZefb|K*<5w{TC?s)sQk}v6RmVl^WU@^qI|<8Rmn+`1LR}#K{}g~%#pVEwt<&Wc5Hj%4j!bZnOFCHayhZvWeS zGj~+e?WFojVp(HXQC2MHKehj>{JtW2Uy`UUaqDcvPS{*l6qrY5h+-;IE zI<}6N#Eq{5suKPpl6zfo<6hODB+Dg9VV&czS2)(he)D68`aHi6m}Q6!TokAgv`W zlDMr-|Bh^V?Krl)Zv1(1^71D8`I5NtI`u6j@qOr9iSI6n8)@j`>(F@t`fHN79V+rO z2|xE*Cb=c_@xPmXtqd1QUXr{PGYMCx|5#~zs|+3rS}cj%>h#lP(<^CKY&^6=9v;?- z+xgnRQ^G^`JQ^Rj)#*1>-jNc{6PFhJtWN)Bjp1)dcx2!vN!(Va-$w@LORkpuC>sA? zz+H|zal*06zm?>_)kw!Tj-N1L+{Er(cNy2m>&&p%*wAbbs`W_>JF^2a9la_)7E2_# z=3eb_Gaf(v8jd=;``EKj?6w{YnxjrT71da^wQF{fNw;#aNvYD$6BLHHbi0f{y8CDh zw9)8XU9Y(9a?GSjQD^ZqYAIO7_E^wy^4hfK<&LeRhQA$_hKQxdYV2=s3py|NSO*9+Q`*$ma^%4S;z)w*W$!&TaFQ-Ez9 zN&m)XeHMnW_Sp6hHY`5<8&|G(98tR~-AB~8uO5HOiKiaFvxe0%#~y#;sXnw04t^LP z{Tq%t?U<89tp53E;c?y5CDx}TH=L|J=AMlW;i|TCr7tT>-|^$Qi1`o7ROyrPKN&yt zkdL30>yFbaLsV9;#<9}$ZNptA=7W`4(!a6qOp~f%my^a#1oOJbR&ABmTlMs#k2-q7 zgww_y-F@O|p-Gi?ok|}S>b|O3fY;k(%siiiuPd@$SF&O*GlP9DbI)w$jrql_ z^7+NnBP(yri)N|+)x2Q7Gs}IxzbK|mD{RaiMvc!Mot~~@WBiPj-p9}O+EXP9TO0|j zt=UKzciO3E9y_u7*ol*}b$fsH4o_0iJF6QBRoU>cl-XDrJXh}j>8@O+;W2*hV&pb6 zq?xUta?KoR`1Uy0+#^l5&cAZaZ}8Jcvs9YlnohY54_(gfqghKqa9x_)Y%UF7ALW{z zq~U%^t~o%O1${Ja(r}L?w>esx?R9mVYtHyTy?qUoR9BU5bwKkYiAh9_%4jE&gcw5j zX&Rzvy6JA9O#>ae35e*Wy6ZMwQe9P6Rr8ZDk!Ki%C;DO}4r?B2n?Zw`rKo7~lu;&< zh+{^R$S6^g#Y|Xl&_qRz6I9H+?>m3@?mD-cP>+?f(xmQp_c{BVy}z^1zUSV$1%x*W zB4i7Yj6nVY$N_d|-t%_=m11tGfod|gykf{RU^Jd(u ziezR1+2xR6MOZ2 zB9Io!;0hp;c@Gf%(_*%rwLtVQ+*#xkK&HMnUh6&}y9|P9jq(_f69VCrOUH4wKwamg zY^JB9Rtiz;Kal3FRdww@{t9v)A|x}OsMt)e5fDs}82#)jJjnA(W;yu9wq)Td^Kr~~ z5D)GA087mC6c>f7Oc_H2VIVVI4^~Kkr)YT*Mypqu4^62$tq!@$wBQrM0@`WBzkX9M zpbZx0FJl@;_|YrR0C^H^>6PfWsNoGuWpT}r(<{->Fm}IiCE9{No*dJ_Xf;;|*_G%K zpwUWH9L^Qe8Mh4K+&D!2$=I_|UiQ19+MEKJjaEh>Hv!oqkTpPd3S=vg;|{U>(Yn~@ z189l)T2w558qp70H)&-&6aMUnaWsEgA|-vuLE`916#UR^9@^A+cNKC!2N?GlmS?@B5d zE{CPIxv54pO=f8=Q&4L>cB|xiq1&O~w?Y!sh?V;MUfZW%Z?!%>37^uMve2gs5OK9n zFG(1gUJLF=@YQR<7i9zHh&~;`=hON0`_89-g0!!W{#pPMazxlYz`y8rtXO~D1Ucug zfl?vnDka?t%WpT9Pl}loS_GL46h;2xW2-rVRDcW$giiuKYg<;j?gCO2GQ1|>SHPo| zzX_xl7f?}5cnHW!fgA>s5y&5bG-9N*fo9bCu-_^iMJ@jgkR77dWFR{mS#z$6BToVu6bSDMZxRR}dfO`yJ|%WcAnyP&`|5bh zd@ih8AZvhZ5y*#t>=(!mASVREyMmWp6L0ySfE;{F9Qg^5qu0if-vPOJavW&@weJ(i zD}kI4$aO%vu8Y@tJ8KD~3&=R+QxOwZ0?7%a2xPZF?f`O3Aa}E!>*MX*3uKN!_5sNW zochVzVm?>g5;zc(hf!xb$( z%SJ!`{_wS^B@3h9en2oB#@>j3Ib&sECOiU2XTn8a0Oy{;-+nR={~yz^5F_-utX91n zaYnCtBZ=)WijG`lqv&jCo`e>oVxuUtWfw(@r9yvLjy7ZzO}WFXPJ_%|SX;lT*uYuqPy#F1BFZQm%6 z79g7ik_WO)AX|a#639*)`T&rzU2)_K zK-LT7`#=s0X4D-C_bGol3WZ8I+CnUYDPtp3t?IOhyFZv9_?FQm_PG78#_+4TyhDbghMRrbPqYw@2MWe|2bQ%W1D1DZmVS! z_h+ZaRjJQp)VZLOX4Lx zy)Vs#2Y`cAv0|CRv03E<$S`R2q&vk_%$fW8yDg&%*wfig zSd_^tUT?^(SuKI|U462N{ttrpWM<%ME+cW>O)0_r5F6aI*KHK*-fY0wGrq z3xr%fA`o)*s6fcfV*=s4I3WaV ze}Gp?dN483$ME?|j6_Fuz97UeoP+1wX6N9(tCLm-&cPP^jW!2)Wyd?OE8&(rhpKe<0|=PB>%L@UuUc1@J2 zu+4%G8@BjeQL_9&nQkki5MI?U7YLtiUL}xwfD8)c0Fa_UehFl~KrTWLHyK1ba|V!h zr0Lx4Qo zh%cYuq6@ligI5L#i$WgW2^VP|9!Rt_dH9`sEDtw|`u2c4T!p{Ucu0=TywY;)E0D&2 zRfQbe3hQf*z10_hJUMpYa?7!!KtR`6u^gKVBa5|Quu!g~i&?G+)?d4n2W$AkJXjR@ ziy5Wtl8qZ9&R?;P#6Hp&&%ed`V(z(kty%1>{zzZ+zRvmr!U=^oXFVBfpZ;q53|F$bC>c(zK^xjWjfwXZ*zgA-bHL34B6kBhB9LzbIVzBcfIKIV zCxJK{vYFH$f$X+cRmjU>vf2N$dd5W_`~{>Co?^w$xL(-ubTiIrZ;+VZkWZ}lN(+^#F^jN1!P27k*aeyc2s>I*!IzXr%A>p{OOO4$_1w11@9 z%eofl=^r44=@Tnw;^jS-Q8l#FgPGaZgP*PG!L3*;wFiG0>q+#H9$c`?dhqf@ZS>#> z{!Zt?D<@eGo)2liDSB|od2ngeiP$H4aQU092e}S#K19WO@P6UJOJ5U7=uhv3o{II3 z-xVeFVaRN@G79+|5N@|eJEcDavdYdTCG#5~yM@eo(7wsZ*odvQlL@@X26x8F*E_&Gx-f% zfi!LMwBO}vKU|mgah`TQw=A{u8yo^@emK%@zTNN;YUwyXkX{o?3JvDdLxiGH3{(b( z^J}oX66rD?JZeV)oz=x-RFaIzmL12EWHiU7cSC_1R|66YwTed?4G(?G$Gv05{_mrV z-NvYwa9mAyT7NajIm*%5`E{SQd~KOoe1b&V=Yq&E=94$BGn(&5(5zt8%q_M*wuenQ zI|_?5uZ&n{*mB&m<&3l+^|WvEwDWrbqKD17NW1a-Z%6UF<$N>>%lX(y%_vUTQ6zEI zTyeaZeD%d}eiHnr#x)A(qdr@<#QJQ;&bRi~n(vkyFX6bFD%M}M`8<oENi> zS#C5WaNdl4+88_bO0HZUF2*j9)aHb%#E;tiCkTS|nINsM;V|hC zi!^ep+M&@1YjvU4E?3L9^S2?x5k{rHn3;Sn!@T8Q>>231Wmf`Q_4Urlc{cw!fvzL@ zs4CCkxcx0QCwUx4vZ*OB>%`X9R@?$g@#%P^-sioelvTIQ?QU?%8%^&MzO7JKD#lbfgb#^q4jel5-kzYA^L zXJ?b&6}Ka#W!PtqPL?y7U;E+sm!W#nE+1Rv{JQB)c79=_KB4gX;`m}azrKTB%lXbK zoL{fG*3PeC9QlKz-xmPZes97Nf@4*o-|v9Ku=$2Q&#pSF59#;$_|tyJLwyOl==aay zPy2m-q741cF4Xe7^=aPuv{(*@GllC)A-Oso3)vFlPqSqz1Z00$gKYV~ z_|t6RZkR7awlt2y7Ph1AaUk@m+`fUj{K81G8lKjXWRWLeS@$u=y4C&t36W$E+Sq%i zW>n&{*2O8)ny1Z7xhzg;`W|W>v$eS5v*py(kDGRs%ugY6z{U;7L#>Pc`V^#OrsDIE z9FsP*d(^Jwgq4x%$-}|RZA8L$L_#4C*W>G*7vcY78pu^_B~%si@P)~zk4UrOQ_Zo> zsFBREt)QrmNY~0i8J*jdhHkz>DuF3mB{V_$|GYdHs5ZI4P_fj##{yPTeRp>vW&U%J!si7icc@R!um9MUeKpEtgt>U z;fU*&Ec9vlI_uME@}xPUFP_3*t<}NW=R3|mNVO`1CGq7ZwDmM>tnJg7ICiT0D`XzA zV^{hw!CkCJ1=0xQxInH4azI(WQCT*XS_q`+uJ|0x1KIBo-(OE-gfM8V*g5!O{N?)G z&=_kW`uG?=zTNqYFDUd2{q-g1uj6nQZYNX~`fHc-*MH*3Z|ZrV`#`Wu@P9nVh<}+o z%EGK*@0aWxTmfnPS5@fuQ~1+pLC;6#1>q`xmC z)meXS+J1KYHClfxfBxk7v$mX9U!xj`Qc86Ev#_D=PqGa;w!Lu~XVqvFjG~e;XVa== z-3J`&{)HX#3ZumGv}GNiK;hM_EM(n5$2ztny+i5e@wXWk(@~-eM{-=0VU)P&%Qi~< z8zHZdz1%m}?45-3zAi?I3&1EHCAt%37$r8Lozq2$NodF1{U0clhSG8!#pU3|mb+dq+1CtP5;WO3uVtufEV{K=>N0sRccd{i$cWSG;7s^)$JLfUFC?_2 zcQ3EF)$->Hu+$PyA^G#WS(ZPy!V0n}%bydtNYVTmL=8WGCVxiGwNZ2rjyOVD$e+*s z*hbN}qxXIxe_jPeI*Qibfti6W=qS1rjmSQ;Rq|*5i{KC6-=g``!fIY2e=dBj<ve0H!}1xM*Z-EmScRAtnXHGYz?N~#rXf2hAi6gn<9U@!BD*lKZ_$w z?y5rm{KtP;{w(uJ2zl~{cQiDACIj(xG5&0E`~mqBWXPYRFM>ZKjz62wj$gOn`QBVLr9-hjE#1$hZ~F@lf}NKK%w7=PpK6>f<53<`=G&-+PPYAp%-L zArJR{$nx-q7|(Q1iab1oOApP%AD|t-De`a*5=qTN3V@VVg*?3Bl;zbuRc3~= z2N`4+mF$z&aGwM;)NM_U%Ae-I^ z zJAVP&V5qFx&@Kf`bDWjqFa`9ioC?w8>z&`f%+AU;V?JPz zRfV(i*Ql*$B{}Y^0e9Z&(>}-3-soxnqBr*4b!lJkX`k$A=kwiW zq?#MeMNj*ly0mZdv^RO$FY~nXC!I}y4C@}KOZzrYdyA)iqNjcDS<=p5UooRFGj5}& zeU+zup2xcVb&dUir+vMreWj;;j;H-#UD`K$+IeqXSfgozr+tTK`A6&0zTMNlz|%h2 z)6Sp&Ha#?~J6xCc2R!XLPkXngeS&BCqjhQD?P*`>X>akgpYXe9Z$)86H2pXzCU#M6GVF6|?pcD^YcV6^5o&Gxh(^|Yscp|gxLTi^G1+B2SZ zK5-}d)6DnN7{qY?yt=gC=V@Q%X=h$9wf{L9yLqaBQD$Yo ze-X>Rj14E-Q9x&PIcr=s-#Oxys2bNO-@l0ZY_AkzeKup~T3KtJ+Bx_Vj;m?V`YYk7 zGdqgS*2}eJW<6@T!IxK76>nT;bp6HOsuGNvx!v~1UXeAex1+E~^X(q%9v{W>mUU4( zmUS^*hILIZA?tV-_jIg_`fU9b>$73q)3C^v^RO$pR3l@gza8yXLH@X5qnM2^1XFwpW|si(_DA&^Ts~nY2WCvE?1ZK1>V@t^Tz(Hr=9m@ z(YM6v;6jIT}|t~vG0j`Xrj&Ly0o`=V^2jbYbG=u z^R(~xEWfQT?T5Xw@9@UXeQ4ulGv6PMdT7SJy)NyOys;nmv_Il$@AAgJvo7tEJ?+nW z+Ib&Uj-5}G8N`fzS6$lqyHuj(nGbl{cY50Uy!n1#UE0s{#(vP#exIkEzicYUzPB#z zN4&A`^t5mCv>)}h}0 z)4tc!&L?DzFHE#~wl3{mp7wDOpUoeBw4=l8wd*mro^yS%ZVs!RK` zp7#4Z?RR?GCwtn*Vp^i&8Q#HM?pgja&+?}{?GwD*W?Ws`dp+&rJ?$qw?H733$JeF( zxM%s@p5?cB+Q)mApHP?fZg1=tdt-mj)6QqQB)>< zzsu9U#nXN&vX6;2O?7D>?~VPCr~Ls>JHL-6EkCC&?HNz|#AsY5)}2?~pXL=F=iBSj zKGoBHqFPteA#dyhp5MFb(muh{e#Fzh-_w4vr@gl>?U#Al4}048dD{OR`Q7Z8{SNG{ zwPWUX3tc;Iw=mLW*t^n>0%xkr+1{gO`xrj%-HhkIJaonE4ffUudLl?%by*16qy|vlkkRzv(+E_1mJa! z&#~WI5A*KJ>c52zPuf1y@TnJo6wy79%FLrzyaC^@RDEtlpOujK$Bs+Oa9rZFIyhV7 zVq@fn>$Cc{msIHePI|(;U^|U&TeHe&-Bceq<38d>6hT*E^uL5brC$^#6`7RK< zYow7wKyrAFkx@`1zXie))p`MlzKU!#G8az3Q;TM#y4D0B_lb690oq~5C}_TjXwfu$H~YV=e!Y5r~ktGo$po41^w!2)bmhNxAR8Gute=N z6S+8!ECw`&Tu_?&8z2v$htb$?1i})H^kyJ7X6km{?a8bGvQ6~)4j}gVaQcfj zyj4Au8?}5FWTuK*p9hk0mS;b{3FJA^!=C}sZ*8?>|0NK8eQJ?E1DS)`(YP*b#CMlq zXe7GE7gqsUDJ*sakQULO4j@gUKkovfOJgeA0BR*fq+%oZEc(0|$VSo5{|0j0$piz% z;YvT^>d)ie`3hu4M1LLz!V-<*P$RyTAY}d>$ZmoB7mzIi8Fx9p*&)V035blDHvzFb zlc{aTKkwQAR}z} zY9N?!F|J+>WUr8!4MbndSZ;I!*>q04Kko&S6Sc}f^i!0!)*V2ku1^3t>P8U+cw<}; z&`hzp{29nZNHDOjl&yp*{gfbE4)7~t`TpVt{k*8vwHLKoP%ZNE!$3|78~zdqbM1)X zr+{=p<{Y5>!*}Q2jPH?2F9ShLimi;ZfZQj>zJM|=js@vTAqzl1)oFY9UdZHxm)8S% zM6|O7$R5$>PXRfG4o7|7Lm6~7LiPa}Ct7|2h-BvTK(+~)3nt>5ze4+~f!OC)wKc8< zvR&xv0CE||7Ohq{1L+bi=Ydq5RdpOoqmE^rMly^(Tv z`ZO3A$PJeVQ+JB_z7@6hz+aKy?*?M;fa_6w4M<#jI)|6prDc46knN1M?ciI_Wq#Xq zys*!YL_2KpD3CdBq(uG%q#`VK?v;oMf>BoiiAKs={B@2lClmCm9;Woup_V_LkYR~d zJ!b9)L=QIrIU#x=RQy{yiH3W1XrMkI$Qd5R7zv5{Kkq)bcJM8wC>{0Mad5ei+CmkmF@qCH_4M zq|3Apg#f|(*l`WwjD9XvhKao0eXuvjAyU6>JZO@i~P%1p@EcZ2J876564)cN?+ z$Wpc=Y?ubJPxN^M5WOpFJ@`o=%iSo@Lh2s2qYC@OZ;JW-`8H(qE}w1ZaUhh7tokb; zrvOEkf5EgI$-EL@^0rTc=~2AdeC<1`H5-V$26?BEiP{=DfTGGz7%&L0F)6n%aIh|C=R0>tjc zY3-LxMlOo497a+7EkN#b;|h9mVOVTwdRADh4KmvWax)N?^YN!!UI}E57<&bXi~yT~ zOct|gCy)okNIwt6-Z|1O9{{q_jr4IGO$i6F9l+mAn34(mhF1saQaZmnEZf84a36nB zA%0xhP>hbV`GJC!P51Sc!nO7&m(9CIg$87Ol1woIFDo09#A_poN4xGb2CoNmNb2I6SY>5c`#yd|v* zJA%0#^E($|K?C;Awk1JVXHTy_!rNSfQn)%>u7o9Ha>x+PgjIOtl%Q2*X_c@mn_p8- z1>NbT_?4`n4Zk2<3C+1|AwR!V7%sZA?IAi<*ih@V61MFw42KG(4X&y>HxJuGRX8D3 ziJC-T=H&|M%8cnjZz1T+^T>O~r#gExw{1`)PD-<^bN`pPnW1y%yrwz)M)S)ImSr2`YFXBdVC|Zgc z!A+UjiD*nXHgR-sbt~Siil6l7LXAr3cGQ8GCg83Mo~HQ8YE2k!ZB_1MbuCz?%b4=`Cfk zG7sm{C2cRPd)N`OLz^Gw!xDgL&2zJrYEe&0xx1!RPgrSZF3?@d<}34t^ZgYpF|Lj1 z6kM6jXBOaREnAD(RFJi%OH;nBFr2So8RV~C;&i$C7^ix}(oi;!PMapMrewl_^l+|X zfX;j-To1uaxHj7#+7`Og0St9ZSSp)t#SVLsBeRs zQnbQDm#MWRES5mIJlrkaK$|EFIR)*?P71RfHjOCTS*%?c*`ltKG!h17j$>{17Gejs znue0v@62OsTAz-Dm3tQtR}xO?@fhu$Wj&{RhKog9UWOUfL}W5|Xa=JVNmM0B*2c!Z z1rS+JVJ!Fv9g%cILe(NDqtAia+45kRX-nsFed+!+`Ycn;SlymVp%^=C<%MwUxU;Me zC{{EhuvjZ5)mk-u$zCm|`KkG&k=`s4b2`JxnCPLvC1E-fQ@4y;#Ia*nhjK1d%H~uZ z|6F>gmWv&AVc zpuOQxQJ3Q8ka+mDcgf6I4iOq{%Kn&b@5gpNXOYX*^f<7DXxJD8>7SknUM7Lz0gj`$+ zdautV_sgA5=!Ue9&>(u^8Ayn_&Zz7{Pz_Vjokea@^IT_HoGO&Gf{vkLCA#?Iw3O^4 zq9vUcW=~a6v`pGK21*R1`=jinTB639)@u_2-A)au_TizS4UB27PYO|?OhTB&UhGq| zN>#vFKm3W)F4!ilM)BA$0>6#$F6vvrhI|*7b##wMaS_6uT-6vHUfp|VzEr|`p|(lv z>L3}bQ&jHehS>G+8KV(V0|R!wnTwq|y%DDOS6$0duR(6+ zYs2NR8)1l7hIw=GpqyCN?7_L-Z2uaS=&MN&r`mK2LsU>37GrxkXt-nWrTJ`h#tgV0 zCbH6c$Y>|fT++#GZvoqQVAL|K*#=3g_)Wn`Rdl*zy-{1^EV7Yu7LzFz6w|c%nsPgS zYT%j+WQ+)v+;}B;$pvVhYA@D<22tn)cJ3mwq ztO++nHQkwhtwu=qqa`aHvn7mgZ72kk!l1XShqt!XRYm-V z<*uU^WANFrPvCwjBo+S{1V!zopnom8H&Dz@nK7&R23E5t)Wbos<8=fE(=?M5 zs>Z^hYz3Aa2nwYjpT^aHB#uB3KU`mRtZJ6hne6(Y$en=^ff|SE z)!(R)Br*$jFURZLfaN9#N^1l7y3ilAPjBUMz8c`OnEXuxo_zms0j$xY!~z}3)W^0S zMQp55Jkf?Jgk{hiQ7q`kr9hr{0hGXSUe^tRxiS4zrny|i^b2@L1)-yqU5jyYLb4Nc zDP+4lgv}Vz-1I&>z0ZE+8T+|dST{2|E>4{Zn>EdBVr#kbV0OR>;*PkjlgSPY*w1~_ zorX-Lps(l>aY?N)Q&=+rvvp44;3@Oy`iOR8$IpTqOvWEM3&wiF-iZJsnHi z7Y9o^x)!%ZcYv}OynSpUz_nS&S}bi$i5T|G>eGVDY?W5|OBW@J={CEmN#3d!RW7$6 zozLV#inRC4Xm9PBA1qoN+|s$EcWG-^=Zec+ebGac&@adA&IY9(6gIghijB<3=A_ml&fe5P6W_WEUV?Qti71B2CllX$iQ8w zX<}sz{m=&U>W=){Y^jjvHuWuO>@g#FwrZ>KMl2VLbUz{@)}}1hN$@5pV`C^N46qN3 zJB1;x6*!?Nv!sv%7jNll>p(<6SjlkRmNmGYS;ErDwuaL0!wOR#?kjJ=jnSb1JNDex zRp(Sk5ysNEE&%Nz9njKv9@zPdQwP_*m@U~thSsa5?V>^@JAg}E?Y?E%Oo+^<)LU4H z@Rg-^ThuL_nhqb;K)O4)D6&%u9UNR!t{|l{UCo-un~eUpLOgL)L=O70tC_}`V5Y_l zv-Ac1!zEY;31Bk!M~M<9p5R&tleSFSnW-6@5q6#-OD^Fq3nrRQPMAF9D&-8~8lx$% z+L)c^REAJd zMqM*RlgD#;fYF%)9mQ3&$+NLB z3qn^>30XJ3?qDndD)G{~HIu;{RLt-Z!`xzIQg2jl^7Iz$jMBkW8Noa?$|X*8Q;YQR z4jIyM1lJJccG~n~fH;ng0F*>4gdOgP4QpkmzoNj69Z0)rHrHUrE6nKYB?+(BuwfUOVF=Vb`MF(1I&Y;>) z%E%Zw9xhpf`x&^D%oNtC2*xWz6#)~5Ja0IcYahnV1iD(KAJ)%p@nl0yVS7etB6H>y zN=B9>-#-(*xi>JaDxTdlz=OTccpfIzCwC!(sCH<;XCQdBj65y0bAxlmHngp}kE zoW!sw8tI@QCb&r(lzJpjYZH?gbyj^fz<9wtlpFbkUu#G)dib$X_ZJr`e6-dxhI5!s zDrDTKj`vk*bHJ(P)^VBLlHeexrHy&2&>mL#Qg;y(C1FvFIZ`IuU^;#xav@?e;!JDH zbTA#eZeb}Q3#=7YW=F|j_48yeA{|U%AktoLY+@v47f!pQGovQGSl8kSoz96Wku9}B z;7)hF69k=%r+Qb)W&9@A!K_g>h+w4{e-n3vi+Gr01KP2MH{XOnBu6l6FZ&yjhEm*Y z!Q*}AsMgx$*_F}8zi7)&sYbm3&;}d8wH1_k4+kn| z!YnneFEsV_dgbNPOZezYdmL`8>?1c-(tO(uwn76p_T zXk&}@Qnjd9v865E(V|TS1+7+UtwqsFEv=!@uT-g}YRmt=d!I9N=FChIDE5E8=kq+z zn!V53Yp=ET+SjvhGv~5a5hH}q0jEw0u@f$zJo(%4&l&{x8unZ-@npBxhws#iULRhz zyt>g<-%z)#p?rm_qP(`Y&hJ`MZ=kIDm94E0#yurK+Z`LKu073}HdJ$3g@z=w?jx zD8sZeuagYA`|Wf|GbVa-CGsW8a~X6GMxe{cl*sOs=LQ|~lEV-qhSW{NH{!M>I%McyDwMX^bcdzN!60^R||H-NSDp(>{9&JueYwtNmh`q6l zLlyVxX>{68jTU0|vm@N?Vkkq%$ zU$GY$yi(~0To)q^ZT@6R*;|mNv)Dt@sr?Ys{xQV0XO05K2t`F>X#0KVC_UQzw*fygCDMHQL$1%@2|4$8I1oIv*`+DVz9UtCs+%;~A;A>FU|JwS~ii=Tm- zEY2fsw!z}@0E=#*W0X_-U)jAgC%3Hf=p(H=X~m--n&~ohS&29ogV9Jby2xNu9l}WI z@XBuF&rUaaebkC5Rf_a!o_pl>gI7t@!T_C9oLUr#>-{|3W>=9dF>!0ZMF7J#bKO{Lphla_*#l>B}{ znj6s*n*Au*uoBc?ytYjzqoko^w7#v$xpq$xit!b@VR$FvwvU4H&ASmr(#`52**X&@ zsgm>-iZR7INhKADqYp*(8zT*yNc)ne2JNv*GX!T)0b(7n6m7j5FVe6-Lh}s*Y1r9d z(#pD-49sW*JK>Uyy1QEPq)v;57`n%?LSGrsyoPBCX#Ndlm@v)f%9K-+BKn~DTWBFV zlX)D5mG-j9JV{*wG6zMG`L5KMG7r!PnTstl&2()xWUR8u*c>9`>28s8WMx2x-;nVI zS`{;0ri`2@GTb3DN}|Z<6OfT)$hZqKkToeSNi9Wb$<0OZ6{RJ?O@^BQmv|@K1h_g} zRS;)5PaSGSJbkY?fO>f3(Vob6vp(&OA&%Di7SMrO)dbZ8Gz?AX#qvd3Rj0_0MFh@~ zg@c6%)^%5z;x||EQ+53YR#{Yqk>J}t8b86hz63$wCX3|LXo5rQa!6hO0D^3FeIa7+ zjiJnlb-lR{5+&>UHT224UPYf#*YBnudL6;=LscyqX)Z%uC`(%oH?<3O zJ#7#fMXKwQpnS0OE{cy_vQkMU>-r%2PiGG>?bqb3nI1Yo6U9A{PfYB>FHC!YI;T0GZTRHoe3pnnNjP9H34i@OSQ7FnsWnj75ihMdX6?yS z{@%^7$9jAoHs|Zg)_e0|9gIKNsRr^->{fc_=@=ixqDk1@y0a1^1T!y9e8TQlPn(T4 zDGc|1Udry)cm5}Y?)|*c5pb>uIClhGjvd#nB`;}xTjJ)n-8(d^oNg_}3G3es$M=@_ z8+*0PN?QL);`fjSrh~BdSZaGc+C>^_Ge<0JD!S)7!DH>7R25!qgfEN`4qG#0^%iGt zcghWUzoTuptdAI0z^Jh8z?Oy1c8%;fZidKm^yRXGo1=*X9JuU8xz+!DKvmUGG4jQG zSik(V8t$M7r?x*1KP1ccHw!(DY=1`sW0l*i8gnW42y_UMyEshljZx%IwaA@hk^2IA zgwS?>mC<2*fg;z^H#bSz6y?s^7L*w@PuggpJNh~Vp4yuyOYDofV0l&Jz;S3N@>*)r ziqK}1wX9BCcw1RoF`^VS>uDR%$hVJVI~N1YER_7_tDOi>UH4{Fx0_x^;a>wpb7NBT z^&B)UYR*qtyJwuN@!*g00Aw2YwErH}lEtCfAxi?9u0<2$^ ztc#t&uwUKu3TL#MXIW{qHHfpjl-)g2kpxE0=2=P23zIR*waQ3Qe2Vq;Z{FB*ZO$pb z&T+X}Zl(NL`ubNQgh~5y_?Oathid#~p7)e_4*ScvsN~9ZK1v<^aU)^tukQ}lZ|6Vu z|Ga&e*+zVt*IhHpH^vMqP7~w&I~eEvyd;CCp}Q>e-F80Dri9-V%T=4AY;2CO{s-MR z4cx4!&G5&zU&Eg1{>A4r`j3VV(aj3nf4#%VEV5N`3qcu=wYtr)e7Rtg5=`5=4 z4rtU|2qhC(jemZ~L1Ziv-(0AJCUt$=jai<9Y%I(e2hawwn_4xhV zcsY8o-Nn~JwrNg8XulM%{1QbKXzxeAXnRwB06F)0)rZjJ5)3Ipd5Q_x-QG?IecuL% z0b7nk!K)iPusb0bI+4`X>D*)t0fYiI#;)?W6ETXg2NlO8K6k=j?| zCpgY~8bRPE`-<)|&DQofWUQW!h-j0n;oA{=ZwzIswAsGP-{%-yj@5oapB$GxOP?`T z{|o)dyeSyHsL_(717sP(6-Z3cN)xqmMPq zIs2SM7PDZzv(G6k=U{?%w<(wsqTr+`3VtSIQ^Aw;LBTc2;osf~QDi(xe832A|1+`? zz7vqK*^rSMLBk)l(A{6 z*XV<-$|A@($&Q|_VfVRmm}Q;kSr;Pb`zIsYYiU4Er6K1S+F^TI?&?5JYKWYvQRMtv za$tJCpbzO;2RUeC%<(F#K`w&Wx4fT+?izDnW;gaMqwGdS4h)Zf`;W-Uxs#N}jM=y0 zH*4eo2vcpERo*LTh?Tsg5P7r1UWIu92c^&^|{j_FoJNow(9 zVhSJ5&vL4>dG99=Z(8Mg%-Q_*Cl6!%n%ct2+*x_e3kyJ#)?7Rb&gkZ1&X2O~u#Is^ zXB*?rQu<&V-L1Gt^{wdX{;_}fj3T2g+M8Dd$zt~<3!qK{)JY1c0wGIVfd>ws010dN zx@6EN4^z78m#Cq;r7^GN`hrdQu4WXhJxH7Cpq``8kHI(MqN9@;T>{r36~v z{O-Onij=9KT-s{b`z~2~AyR&Zk3|p!$f4Cw&>l&@NF=G6Gw#aI{k-X&_7sDmi<1#nh9k(31py4lcn+F&O%37q^54LZL?%Cz?C zQhfw$AT^GG=;k3bu#t3@kg?F7d1SOEpR+Z&5FL}rrdF~szmr;zM=n>ziVEdv6n!XP zZ?of(Bj(A(AY>0PN8eRAVc>QEXUQ9^p!!oipW=HqZkEiZ^`9oL?~nWl<`vanf6$*q z8B2~LL2w_BLF*?C)#jhyz7L~G=Er`1JnU6f2^>dx4&u8l5OWt6{xAJm@$V=3 zlPZM&T*;qk$v;z;!B72Hwm**vwdR-2R$qQLzsWhaSW=Kymf|Cv={57{RYnQa{bT(JS;ih6HAZn3pqm|bL$)A1+(-A2JIKkt@>Cw3x4EW1e@(JDqtE7J(y7< zG)d{i2h^G$MXi1^HnXuWeGvU!wEmF6{t=(B^7{i{wbpO_fPbwpzqL2b(wn=hespvY z_l3f#`IjhjuSkaeW%55YG6jy!k;kQ!-o5s;qEuw_YC)d;R++Z zZn!%QyvukWZMfqM_emo>*?3Pf+;#(h+;9^N{7K{e3&Y)IxNjNmKEvH(xNU~(G~&Ar zH_dPl8R6~5`*y?SzQ+&zZ7%W(HeSM9@SDNI;< zGRBXu=%)TH^OG=1rIt$7wvBCQOLwxpH};z#4!XN!Mw(KDqVMo`-y17Epjms;;qNoc zKTSrEn_jR9 zj8U{%vzmcw--sXZQadD2FW+AON>dMG%M0gS$q$qBVpHKhBVO~IJ?$$Ii(7WuTITFY zT(?0g{NCD=d4B)ele)id)BM9QsnjJ;hP@@bX0XuHn&-EX!(_=Jsip8euxS3^ldXu| zGQZ8g4h$04UC+)59I*3;R%t1;Flg6QR8z^Nt&DEI!3NTZ)EQ}?%(U-Et7xWi+ye#3 zLF7euHOu)5+OfT{#L-$io%72o$FJfH)yeo9-~Xxd^|-OTWeUCPPc`*u$y`m}r~WCe zH+@d>#;0Ep0^_0^4q+NbJKmBM)3qb*)J`fc=0kSFWr1H~k2Yl`1gb@K8nU*SYV88Gd$kiLsshSV_h zp#QwqmKb)JlYlL0ep$MRlz<}UWL&#W_7~EmwSj z_5yWR$M85Xdff&Un|H%t*=z-3(4Jv|%jEe40*fD@PFO^4mdcqyI}lXrX*>GpPGsDv zqYs+)yuxXU>}H5WT{6;0b6nigPp0ur%uqUV4>a{SI-?b9o$vUEHow?11Dn{I{$`TT z0eOk3cgrki^M!JZ^JAsGwAy(jIeHKgACg+`Kl;8(J9mb$J=gqG8M4?Z@9}1x5jWc7;dZKt}@)!hC9n}iwt+M;Vv@VJi}dRxCMq= zX1J3Lw^+KU2y!3Y-k9ZRcGwNvjJdYJ^vw-;G3Is|ex!=;;c@0#B8>^$_NlE4$Ja1GS{yu_H8glVfAOq7W`~ z4bQ1lT4Jx2LOi0y?$N~0H1vr;CG-Hr@Yu0pDqOw~gIgH8L|kEn+usuro*7#r<{07D zcX8^>OxaD3y;59?m3t;AYo8s1^(Vlk8JBI%02ki0?Y!g%NMS*76eU z4F%8Mz+}gkiFq`_nWcG5Y`U0f>YRkR^rF~mQE3=;00O4OUMA*8Ff!#^jUMeiH+F%z z*b?9R-V6M5V&{uu!$zIG$AUgRwpd(b@Jqn^q}WSDiSf?xv9Ys6zVY4{@0qdXV$L{~ z2lnt}gwKxki*kHcL;ta!d1!~V*uxI-kTzb3ceL0IG2&xp!8%9mZ82i6BNiJsK5$Ht zt#pHjT1!}67EUT#SHTo*A@s9UQ zsH~|GK3_xCvg$^ERfBIS&T&=ws%w|l2}yX>c#l`nT)5I#Vo>GRls7h3H6mVRRiv2G zCi82{m(*0b{B^GK%JO=@%fGzJwXAw&RjsSCYGrkW3?Z(uzN(^nX?0blYk6Ix-&I~; zZK5hnN*tW3s%@;Us}=KWudc1Trq)%ps-mjiPmGu_VPa!lQ$t17#QM4he|b}7bzMb$ z{lwC1k)9P3Dpsu$RjZJ;#?fO$b#0@+ytbms=bAFbHFthdkp(l{z=+iJvdTHtwac#I zAvS;YiYnLA^6HwVhN?;3h0C>|y20O6UUP9%Rl~J10D3R2YjBOMbS=5oU)AU;M-nP4 z8>$)`T_fvh2$#!Ly1uchsj|-1!1GDvRGtUt{B;#|HLjIa4NM={Oh60XVVot^eph4l zbyX(qpiG=8Lyup8Hrx%Me=*$AhJTI4zXg7irVajM7-^IKkj3x9E*9c<0>2o3hWp{N zk05R%{Bv^yw)S|6%L~oiD1`3Tu#6c<>eVo2slTDBd#nSSMD!Y{E3@q`p%w$<`xz^N_`g1KM zImnae0l5Csj^h>EhN`g;Lk|I8)~Bp;3t;PrAfEYk2wNeJ1FZOyz~_NaDqy39kB+?5 zQ_3of0ap4X;Pd)}7GTAv0)K3HS!K>Sp?H?DyP$_FEfmi(=7-E;z)o*aPo{yxwkO|Y zT2Rgn|63NnyCjG|X2B;}@Vdo+(n6DJ;CH+!#Au6uvf5t}7AR zYNs|hLDx4RQ(VAuE~WA@EC7*WdN1dC$Bdq_j#b@X0?l-+3b=sI1H1;Be7FIcCP`8N z5)q9*b_q%TC*Hy4JI2Kmjh1>4wt{v938BkcM}9BYrM;Zfd+EEQ#WQ7$w#XnKKH7D~ zMKZh0xi9Um1Qzz_RM%OEk6~v}*HRUg@+Sirj*b4o z@cAk{52&qx0))Q}SKqJXuXjxEm2hj!^j=A~=+k>8uZ_*`wb*e>_g=}s>_*Vb&~Yz} z@e(qI`g3*a0C+H8^gmeAP-Ub6bQreEqpl}F=O^7KitZN5S{nlcFYn$f0gNF)e|dTj z(&wRUAI9O+S-E4{Wx2~xX=gbl?uwQCGbwjPPnOiO*G z{Z|3VJCc2vPj?yND1!1KZabhDar!8fJpSBf!;$w}1}>Uy_aU5iIS5y8jcVI^M@!^7 zO|&4)arFS|iH-j9A#NRjdSV-ze2AmH?Ks-oj%$m6qrL5P zZ1e26FCyT0yq|QY9jQwiaK~&o+M8*UZ2)LkZi|?B*Z`+zgg^^$}(d}etl0_1?Vq}vmjj`ET*mAnCTj1$QB zD}#Mh2EsQ+31@ow*vAY^8+ornJd=hvw}GSS_~ZZz0Lwvl1rg|CBD6Dkj*G*)H}YKr zpl&!bCG%iD@_=$!o?3xVARZ5KEKf|QX=CEr0Hl*?hd%}I7GMi-*z;=GqZA_x#p5_o z_uBaCNX%y7WPL|C`KACzIul2kE26@8>xFepbX*Gc6FVBGj$>z^K=&I=;uS1d0GIR*+)?KHb9<<%kPzR zkx?%X0MGg$X$?Gi90$08x5{Up+HuTNJC1ouoK?>};L>dT%51pKZ2aUeL(>Em!|E7q zOK^iW(%2-L3^U%iDDfE1Ct9B7A&hyt9BmN$9KBt&EfMQ&edInYnr_Sw${z>&$@&LB zac%-|oCD!A4zLX{8n~r!^_0oB@#keB<4N-H0~T9&5Vrxa(1yDgxMJX}dAb8QmNUlT zLml@3Sk6Lhe?_p}JdAM0i4;ygEMqLs*1nNtjCIAtb(R;*2Lf#)$2_Bz9Zq+wI2rLH zjfE(GG6CVy#xq+H&U*DWT)jZak5Cpm{YH5WJT4-SODt(v5$w}CD>DZWpWm5$IfwQ(9ESJQY?K5Sy0!{!|16N;Vm$f)V z7U|mn?x&1zr44ra@NcE#pszIP@9LbM_4Y24ep~1C#h`x;^vfYf-)W~`6sG?g(Cbed z^!qxdm-ztt$)I0B{s$C&NEtT1Wel4GL8{xO|8~YZ1&#%?5@0EZrY$rb9 z^}QU6(B3CO8)+=E4q+z{mOwsus5|?}V>aA&;0^(>%lhO(oF#B|=M-DNxFD>q zmVu6Pt4W_?r^g4NZQh6Ft`+o`k$$wDer^aob$Jr73-s5LK1b2Zy6w0;(74mKi(zlp zJDEn@ALf{I3D@VBgL%Tia zhvX@FB*fs`4)jn-KE%0zTW-P8K768mN92JPn~CMa_-;Us4Oc)saMrrN5V$np65#S- z+!{ctjcyIYY;>D}a{|XWeCTci=oVaOdbd9tuJ<7uj(Q&e&aU@sz}fZQW20MxxI1lh z)O!bTcD=V-a3F~~x5+w1*z%(Btt`K@F^4~&Gx&_D9(ngBywBZ@e1rRU14g%E?DK70 z=XWOn_c#&Sb5RZ^3Gp5VKPNGnQv<_23AYXJrn?3HG6Ry)u@H`FHGutq?SMUi4S?5R=mNNh;T{5*?q&|4 z2PtsFCHR3`2Pi{hlr|Fa0b3!njqgaK8!o{G;N4GzET z(BBJ4gRJdvN5gdkS|=c{5Kh=`Kqc(72yhqjm$1{Npcwh=2OL8g`T~%^{0D4<|1e-2 z{CR*wC{G0_CvN1&M&OqNR}WYRD1+<-z#_mpz-B-tpaw7+v~Iv^z%k^*I=Hg{m4Jl+ zC!h?re+_U5upE$tJU9t=HJ}x+6|fVK0sJ=PVHxuY?quQs3Gm;0F6=T2`oc{>UKJpZ z%J7~F|1sp_4%lHAAPII%r7d9#KkWf10K5l#><8?E|1HAB&UReNG3|Ir$0%!vq0=Pc97VH}ZJPR250L}pcb^-nl$aoOx0(5%_ z`#S)40(Jp@4~W}}Jz0Re0FH-YGr+e1I|07~=-(IOJix7h-vbgJ!ATat?SNf?j{tqP zVJ|%3CxA}@Qy)cn02~IS{s4Lceh&B)Q1V0Y0(>9vGr$4B-vHgW3vn)>0B}9vPQa6Z zUjhCH(CbGC2jl@R1vCI!06zxo2YdqPk8Q7$09OKT0z3?O74TO;@=p*3SPa+%cpC5l zAYljgpaHG~tOsldybI7DgRKCI0Ji{k0NMe49~WX8U=iRtz}Q@}#N-GGCD;m-D#$fOtWOQGhvss{qY_9{_#<_zPgb&+u6T zr~%vwcpmU)KuQ~~JO<1MGyygPo&fv`@G+q8&ryB>3jsF(9s&Fe@GjsepxcWmpMZ-1 zHGo#YQ-BWu{{ZyaEyM`Gbifk8TEJGoKEPi91NI0p5pW@(4!|DVA!39sVnv*Y7f#Vl zbQe8Dg6JuFiA0QjdW$}yFUAc0M1L^=bKe8eJq^YzF1P+Tf56PIHh=?ZbB@QKBuTr3e4 zqEb|erD7Srg{#F?;%bcbR)|_rhk4_#i3ZV#Z=@!%Qe1;Iy=%pF;(D{C^m_2h;L#}=63Nd@omiE+<|MQ@5HzB-QphcU2(6t zPuwrQC$@+O#Dln9cB^<8*EK(aapI%m2jYjAbN-R|vG|GDAs!Qtizo1X^OSg6JcIkv zpB2xEpNd`Lc@&|ai8k?b@uJv`dBT^(%ec~JpLj*QDt;ke6R(S3iZ^iG_^-s9;@9}@ z{*8EB{8k(g?}&HBLGhk=U;IvdAP$M&ix0&g#9{GA@h9;S*71*sKZ~Q{nD~qMAMsbr zTmMabEItt@xFIjF?Fw7m#=F(Vyvr=Ri$Zo|<$DUNg)e%rx5MB9cj3woVi$PAf^ECK zGV1E9Y70v)np^7g7(1bg%elP}TdEohZLIBav0bdPuz2N)j>$5wX{h#B6&7FA86iw@ zW{M!9-3m5U^Sx)v+S?iH2w7kg-gzz*M39mnvgT9Y>%2B>6ZM7ggLS}VseUsl(z3hcHJW5twlmB$%X-P<@NzVoMdG@57HTKy|F>gxOM^MXBLcHhfF2CkK0Y%j)vY+FpSFx5%ijt@ald z&#Xq%jaI(+B5y`o3lF4X0z@@7*m{eL7iu-S*N~yZMu?;5jv~z%!<%oMIZ_n7hyB~ zmzVDmgndaFA6b$;=Oa-?ANc(z1u?RuF6a{>^CR$zko%FS!m>Z=S%{9yKnX|x*=Cy_ zI8I?3u0>_uL7xBToNYFZu0-^V{!f+Yx|zdsH>$qjSsa}-Oo1*84m=qc=*(?ek-r@O z_f=KXX#b4t3X4pzP$S%#bqy=Z{TQC}D^ZSlIY2P5OG117u5iw&HwF@xL8L9GyTXRU z%F?D~%c^jUtg;BB@Y;%N?E~>H@bPl+I|~Qfrq@@8j&V7*!58jnCotU|fuppWVY3yd zZ?ufsrkWc2cS#pgMtBjOVU^C1 zlFbv4C@6M)jbJ3iHlJe#gw5m_0pTry84yi{s;?m@@r@mtgGQ{{s)&JV-0qcCTAuTp ziP3%I@~TR+*~cgsqkLPNZ+xC)H2Ul6!xF4mW|l9(k}Qoc&abVs1}Oq z@*12Ijmm^|-l(r(sZv}#N);(78XJ#MW;@c?6BHFqVUKYFwhU)|qlxkwmYIQL$hA?4 z41GFO+RFp9^Omaa#{OxarH&4J(v`Rw*Uql3tXdUT^-L-={T*tRp$t76Q=jGjx&~Ft zJoZ{GQ*UeSUhu< zY9+g7OgnXSYet}qk{&x{$M&>SM>l8$%4iw{OjUMmebwyBLQiIKxnUQDu{GdEmgN{Z zA*bV1nT(bjxdy44TkDWEv$3YCs=g2x30M~!jCz!%j^9TSlWo-dD8bN|cLg*?X*P1q z6a7)5=Ne{;7C+aFABBG|>g$T?TF${n&WK1|g={+`brmuoLYJ)aCVZkk+sM$4x`Y5t zhmE626+VIpgoF(t%#d(}10i93SnzOrc#miaGdjhKqTC|j@zj?WHZ;^V1UrMMq#4zX zhLvOtl}%Bpvv6@mpcSHoj_JK_JALOGWn8lyUo*0_L{lT%NM6TO){$5=PSydLmBzM8 z?d~GC~RM zigxDLX+S#*?=wm+ijP%4qa8Qdp9#w>HCzabYJ9(XvR0I@l0%=s)Ro|VWW`DsOf0HsuC5;80jx)vh~1xF30lx|Y5j&0=44h$3uPAgJ~ zAf;X>l8_rlQ8pxXC00f)R@I}GTQvOq0*#VIX1xp#SDkW)U768ic)ZnIppTkW2B-8o zxzOGTSq5*-ilz}{XJm63$0 z84w#~efY@Ewu}9Gy+$1i0uiVg0VC!0jj8j5_N1hE2Y(LR=m}26~;Egz^ZOp z9TH+7r}NUsss@3LswOH@F!wKi_53$2uxfu$TG2Z=v+ygt6O3mAaFgG}c^3GSPf_eYz6EB><1hJ@St)EU>sl; zU=d(7U?X4)U?1QZ;0r+VZ*UF-kOwFREC#FrYy@lp>;SX@_`C)87(jm;@c^R%lK=|< zwSd)tZvgn*4|hA@X+RrbA7DS=UBF?$F~Fw)Z0nX!0$dkhvhiL9w+65dupMv!a13w~ zpdY|l4nQ)%4JZIC0@MRG0JZ>j0Qh`myEC^PN<_JDIu{bv2$S~Ih|A~tVG!pa?gFl7 zTh}TCPF95AE#Df*!_YA6Kp&?u%y^u^mdjB%pJByu2LR5t7`VWUC-id~IO97)MFzfG zC|)w?Zs3ZHsZGg8vBoJ7B~N|DataS+&cw;3+I%%(2i`qH@QOjN5FF_#8j|-DO}*x4a2!$f^%=u&!uXE8Tb!VxVm}+<>GspxekGI zQG8cZvPvN0ydeszYH+S1A2YSp=$1>>rfQraDdYvVcC`4nhJwxlBMS@i#ew%Zb(Kvu zRkO-#D{HEzIPEPSs>6)6lTC5uRagxW!o2DE5ICC&2}#^pkM&9Sth3gz)y$5MGh1ig!6g+X=9v2tmBLv^ixDbDB# z-~8HZ@O6z0Y;0l)7B~wP{aWi~QLf6a?~au(>kv{dnm~^*JeN$+-6mjYzF@A$?epP+ z>hdMkD?OfU__5s3=;KF|yn(w={3e{vi#Uzd;iO_xPx7=Xf%F4cS<3c&?|vM)_}m zzqb4;@pZb_TqV}_q$1@$X(Ft4`7E~*-(rL<;i|i@qTFAxT-<8lXn9`~@(RZ=F!3#J zGw@ZgsG`J~M+3(kvGVQ&-@k!jid#Md?N?j6)EwtFWSRWkrKu9{&=P5zmAO zce{&Xg#V`izK#CMim_wIXDOjD{a4TbUJLM!`*z#C-2Z!1^OfeOV}XIkaUVP&1AmUB z9}2yj{dD+zMf<0*NoJqes|4-*Hn0)2ryQV%c_3f#bO@nY3zNg`M z-ILs#+;_O|bEkUJJfC{5^1kj(OCOhhW%~W;|4i31MrCAW+>`NO#=kS-GM8jtmHBe! zFEb}(Wo3OQ>;9~NWyNN%$ZpDhKl_i_J978szLEP*?jLjil{h(D)~58CGIq)pQ`S!T@swXrIW?vK z)FD#~rWQ@TbLzIKFHHUI)ZA%hRGb|FHnUL8(b9m<1%&g2QndO-cnb&9DpZRF!lbOHE z{8#1>$R*uX8j{e%TCR9XHU(ZpS>)*F?(C~p6oBO<8zX72IOSt zT$FQ3&UHEW<@_k;!osoNCZb|NCxl3}d%5BKKF8Ai#+j75^ zdr$6zxj)E#JomZW7js|DeKYsn+z)d<&h0a4)TEh{E}L}Sq;E~yIw^TFE(gH(rm`0= zP~Qlz%k!4!fag8WA>UDY3yraG2yl!uX zH^)2Ko9Eq{zAb%w`i}Hn>22wI()Xpmm3|=oz4Sxr$I_3dpGZHMu4gzi5;Br9QZrl` zX&Iw4GBR>9CTHYj6lD}=lw~Z;sLWWNQIk=h0VNh={s~TuXSnA9&mTQKy;Hm^y!Uyp zPro~TV8#_0Ph|Wmqbc+C%saE6LixI2Qq`ovlXE9OKlza9HA^8C4n2W@wq0W)^+G*U4g10?ACQyI4s7E8g=VMXAp^J|h-0&owo3%ByShOUg<)71dNP zUIiA@0GxvPC%ismI)?i3I%9{RIgdN>XQ5z$>5^+}eg2w8Oj;nqeu?te;E%y7vDIDN zi##?$v57(a*nE{IG(?;EiYWu}CSQE3YJBD5Bh!1G_`)P+@RlW%Dq{xVEF92SP8sGwKvL5)pyMiA{V2;dMb zHZQ;;R{#t%GEiPz?GP+9Z^9hkr48$=s?&3_e8!)O;UCxJzktC&d

$!9w(NaN3DC zq_NJotfp>Bd5w>DY?PPuS+NxoTMT-iZw2y?dWozQVZ$KA*hWwj(MZ8GrSh zxdk3V)L{MpCf2nJZ{akp9XU~~_K_QEWFMoPb(TwT&7l~@%7fdfH zoI8Jx_#?_$y-zhbzNM-lpO9*r?aUQ;Q%ZatK2wQ1;Fn4WC^5fi-rNiDKd7cUsqh)< z@DGIzbs9`cUvXK9;J7D}az6#$7gV}nc1dA=*}RfV)4ie@dPfdxGfkEY*((tn@aZ@_{?;F2Y#9Ef5Bs+W=t=go$s4Hcjmm3 zIn&E#&zmb|AbC*(S0T_yZ(@v*-ofzH`x`2&mo9~vOYmm4iRup$RnzFhp7RyHl^*d# z#{^!HjM5X4!%8pGh0E&z!M1e^-j+7iR`{R-yjZ^l1lz>O27d%JvI=|-k1S{1U=zdg z1K>4Emyeb*8nd#JOT~SpQf(VwIZQ-zJiBZ*s)4T*WeNuL6%@{xe}TwBGK-^xZKM_L zVd6@}2t!^2q|qF+IcHPu7vBs+A{k#j|2Hl0Jq$=tp77|}1#{=S@?9AdGADS2hCfo3 z!+?ocf5Ym6XF`R@pR{OBb?pV0ELzccZQ~-(1oxuGhKfa!3TtwLUyi-i45CAi$Nfju z%WA7CU07Qa4o7_Ba;&+#La}!naHk#sqtxnD1y0P%WL+Emm8NUx!ms2FM*+ zT*dP81`%hpDlW%O9DBvf>g-rczheYmE2zUd$zp^a?^{ydSS1|mRj@PO#}f|1af=F1 zz;)Yg6e<}knwFwv6pm(vNs0IQSJW@%wq4=4O)*Y|?zlNpIAy!; zXkmBiaKtJ@sD#JMl!O{Zi!%%-Q|8c|fnbGjsz~86ovP8+f4>^ZcAC9|{vkDV$__&R z6FY5(t}m^rEB6bhYS3dB@B_JzJJ*CrU7EUiInSQAl%-4M7Po;l;X<$B8rNc&Y{YC3H`(9$6$oRu{8Q;&sDrf@@2mHHi~a7gdA#%Hfj19Me}Chs!E1{ zQ{nVExV2Kf%qzj@3^!N?g9;0L^JZL#{z>c!&;)TWNgVl^R4+?R{!CndNhbM4r5F$H zQ)Ce4ld?}qeMM& zL}shkInzro0*&Hac4=`T5qK3&>u^#VauUT)*Z+;*URol)p|EN#KW;S)0MUqD0zj1! zlQ9xY>W!B|KyROqr~ibuf#@8Dg9i(8A1(ZgSsNdQ%k!X7lIuptARce#0 z8Qy)T+4p*>2KAqM2;cf9tj#&JJs*?wcHM~p$Qpy;1v5b68z z6CeY4+#1W)RyghCrW@G&y2>h{$6W9ejJ0Cx%jeb^TWh2r*B_dDkSfLT$G#3E{vI9wE?!Yxk36j8 zz9mKfHyJp)b|p4CROYJ-cGUK-kF6oWV@cu@D_-r95+|%U9?=tjml*4DtGRW!-^Mtx zC_a_JGs-Jx&r>Y&>sG)Z@?XluKMZb-xbP7gSJnFGU=pC=T5(dvlJ`KC)z!_YUMBt- ziYn!<8}XS$&c$)2d~>Sib47>4sB#liF$UvbNEA1cRbnHZ_?LX0&HYQ*^`WfuZ;4YH z!hnIjXr~O?in27-R!leT zW9s)9tfNut@cK{Kk@rphfc9Hm5E z{mD$*`1sd_qqy9Mmak<x%{~MvpLZ>UI?bxne%jHi4phrQ9DVV*aYJ4q_D89m6MHP^Icm%%>Xla*WV> za5f?43+)R@=IqhR*KUqK$k(JEf8lGAgFGE0QbdB@lVW1}I7S0Q^<;@2R0Bh zi{?!)!^DGzAi55^UJQ}wda{I*ZjMNbW$&LOT%z}OsH^opNB+*`Zy%!&Xubcy*S=`7 zR#jBh^WIgVC2Kc^y!)VqrrnC)e#_9(YyBQ(96*fbSW;J4Bl_#_Ah83ett-~GsVBB+ zoAoJp{?#X93%?06WJAnAjrYHZ*byPuLv-QDsd+8cR;n~eW4dBTYNOEaj0g0iXiXUS zQQB1CDgyYy-$v+Q>OS~G=rNe)AIvNs%#0POTHxv`5qsY0Di-zLD{VIvcq3E^CChSO znur~Hx&k9yxu#9Py!a%5vV%;j5*1Q^V#lAhEP0VrId2~}PF6>`j7w;bLHvtB6#|A< zdf3}w3ri6@;dIsN%DrdW3{2XW0t}n5hRd8c3}ZX&En?lLtA!`Zy>QwtNZl8d%#s$_ z3bOT(JyERpbftHGo19kA4f99e*5cp0~gPpKTc=I9;g3+2<3f>UXl$cz)x}9;GxMF704sy!Ir}(;--;?LxPk# z+l}oA%u|7`n3P6oUtYQZbUw$2juCk=DYh$95LUp!mt({%gmE|qOyPGWrYL5R#sN^= zP;GuMoT~!#qfQ(yNc=Eu1#p`J_`zuiRX>zBn2ZOLmukf2NI94k5tjzDTdprMj!On9 zJpb9H_gW8Zi5LJ-T1&;0CTe6K6eQwCcBTruEPFI{UuPHE=R%>~2|XT)q>CD8FrP%+ z=+3kV`%eSyui*K4kT317(rEjO0TDN*Gv>6mEf%h%(Jsa&t1AG86`84q1b!38g^v5e zukf~9{;%B&Hrs-%jG}?zzWRRv5f?h93uhMc{{Y%iu=+s08lB?7Am7Zqia z!6C5vD5#>rF1=ILBJg8%)!coA$OZ(|OQ(7nof$6Dok&u@HQ;qC`KhL2h$i`Q*wnG< zA~BQ-{tCQRIDG`h`#vuD1edVp_;8x6mhn}O9ZwN?w(@J z(7q17w}kMP-?(v;Ix|4v!YI}X?PaidJ;=s|g2<2MQcPaP*#1+XxjSv>QWb7KNj~c0P!-AL3_cfZF&*PSyCAN5Wz29BdZ^bbMjD z(Bk@GiW#63REnf(4VWSl4o_&=wsR(t{MRJyA~0AKWWruu_GxJT)QLC;v%=DY*;rZs zSxLJKj2;a#dn~|gs1}%mdpZzxQO;Sh&k6E5*LF z6ZVnst&{v0gYB{)|1_EP@#n;7*8;ho0kTXC{s>+%l9?%QYn>wEL#Hl0wj`BftwLU! z{4pZNXuEBkP)b>5`pdE!r+r>_TkS}|P05Xbh0tauLOF9~ zQj90TLIf@aXah_%wc%XXgcbPFvK%)c%C`VgA9_OcA;a@l;D_6u2LqtWPw=`QFqToV zVj=}48R1%1P|#?$E8=&c`UD^a&=VqnC2t(LaliHbfP0aY-UG3=0vOA<5jxpQF}jShE(B^mASg?WBUu}w9R#=?C|&nisY-R8FVLho#CB*;X z(FhS&(bUj@g30$id9f<#A-2ulSI7SOt;Z-2wb3@noKv1q}Bl(cQ{@_ zs8i?u!fsCeS~%UQP>gRLbCXxY`>t`Ej+qxxC}j(LYx zX|Uh;zcZorVoo}4PZ*3X^8O|{cy0uiS?jnxX)w0IH*nzyD8(;1b-PiuhEyyOS`w9X z+|I^#0Dam#pNtRkiGTy(`9~1^4~0;Am}A|T7E>wFlM-S!I-auXV77Y3o|iiu)Fq&y z87g9W#9RiwdNKJo!;>g~n7%|tNNgr<1b>J_&TW7{3Cl@v;+Z1*{+ZsvF5lUGEp}D( z>2UwdAW&d4%zwInrZ3JmVt|_~e_!KovYAA4l#pzC`u0aAYW+es(Db)$s40S4n&!vv zfD7>HsSTigz&5aAAJ0TiuahEU>1X=8ya4&W2lAT*J>C%yo66PX{0EXyi4hkQvJYIZ<@U7<=~vjJ9J z&i;m)!PvfWE z!>6;gp@yxA)&rHG55P#KOXG;_tZ%4cgP}D9#bDgS?l(oh1-N+j{Bb%v_Bj1cB9!+* zdPz3Wg&%CF>Cq2;v>fmSw|-!|%QVt(NH9o^>p}waRG=$nP;l9q8)`TZ=7yRpiUevNc=GEGT^QY;1hczRQ*t%8Ud1* zYQ$&r?n&c9QT=TsF~UuYfAvbiYzULgwx(o(+oCu1X&qH&bI~tUE(B&Z(WzhMIH8;B~NiE2yHu?u<6nu)1nPux%p^ z5K!+zR{1m9P$TtQ0bYLcQ%%KCP4YXl4K-517r^YLpn}8xqYX8zA6gRT$p;Wrjto0X z8){fHG%kQPhVVY!4K=J4+7n>$T#(K1Gv81n%izBQtP*9VL(lUFx|ht=&yq)UZMGW&P?Qwt|fmLn;u#LBk18O$hn%FtI#yO_XG^!4h}8x$M_Xy z*buy=1;PaKC}a=G0f^G3BYbXv#>kq{UEM>nk97`9d6LxzvUwIiC`i2<{oF%BrIJJU zkdQP*e-Z)l^u|f=7^)fbI(|L`oYx!8C_UPF6T#~v`b0c=#q+~q?9brigE4@>!hnux zn4W-fjL#*3@g~6t5TwF@qY%o;8k1rI3GiLc9ZHzNG11h9(>oI{!w>e5T!APJ0I3f> zA^MQv*g5#&W2o{?yzT&uV^pXj1+iCUxRw*(R3pjzObMbx& zU>u3b+|63M525$t8onIN+teeV4d7uGNsU3~wpGadJ_@GPNY7@G&~(AX@4 z*-l^?G-C^t$&_16hTj7(ZwW)jvOO8Yc1Qf0Y_OaYR5VNSParbeWS&6gS(0;tY=I<) zoR<)J3v~Sia2%Xd5oes_c)J+)zEW^pyL6r7K9w#$(as$Tkzxryr`07Iot{w6udfel z?#}M|(Mg!%=V%fv>qkEXD$4rNQ>chvtsi~0euQ%}|7XuBvwFno`%x3(e}kV+){nT6 zs>Ai8foQKHuOID1+sE}Ie%xEuk5~sItshY@t{<&IG^`(SHyYQEKH_UP#}OGTsYe0z zNph$~0eMcDVz7QR0rY{@8Dq`nG6%Kmwh0tmKN^U3BFg%aVjs4Cq|i~M#Z+DmJrd;)laWY#Vgqr!Rxpt`WYE{($1>3>IPp~6vMdzWokvjn zd>=x!JJ^-2fX}ICIRYY8*P>4#UGjW{>XWyC2h5)Q3%*TJ_S5@mjH>5oe?aJ;0sWsr z3{4pLT7N&5_hV#&)ne7TfnTL^=zrV*_JQ?6iReFqSsROo_Q1Hpui0oJ6YLa$lQ1=sH+( zF!s)L_)latL!g%%2Je%42#q6!moXq(LC5b zRA&(yMnk#cU3)MBb46N*i!ZzbVjg?54L{>1>&$A zqm+|M2r0|D4i_JK2&xMLBOPq7f|#!bMKKGNsE`G(_%HKddppGcGpItq&`J;6Onj(X zU(Pz*wHRvN1~AOeE5T>RNP*!~Hmo(f84NsDZS;5uA<=n1hd6oW1tY`?w- z4rc)j3&_4rt?l-J=e}IfX2jt)!g%okt*5M9P#s&CF|J0-!FFf9Jeh%YI9J#@oU?!{9yYiEXjBQMjB~%l}7tIobyZ%wr>FU%|Qt)ErtZH z!#P98ec`8Utq0qG4K^PHSs6tmxDMwG9n*y~3q9C=4pwI-0F1O7>@4eW&eJ{E&IP3F z0~|3aZ9UlTJne(+vSr-|es7RxxvV=Qw2{aoXXvmcJf)rp2hnTDfD1@GGj3ExgWVae z!?C(*%fPFa{7m&0vC5y(I-Jz+8Sr|+s-NU{X6tZLK_}*269I;TY1VZ(r)>}u!H%H^ z+gU%f%fPMN%F)&+3a4%G5`k@mgYB#t+SB0sd_;O9s#|(g2Mz8tgg;|3uKt_@H+rt2$l@T873&`j914d0QagOXJ!lw1T8;Q z7K@?!I^g0Jg1_gwP#APaatYQaE&zeLzX@SXo*$$6=v95z#j~R z31ob5(a$kJ;|i5_5Zezk!r@Q1M+TwI}>c_(cF{JJl;mve;LaQU(6;jExGMANZCG!hVGs3HsrMi?R^qIE(S=u(G!wyWSBD!KYR>T zYVrC_KrW*~6)A{A9T}b#6f}|Tn79vAj{~FtdO`%S^_xU)I&xz!U>#K6kN0-~xr{3l zONwHIt^m9RijVRBxs@X4A*8k*piw0ptj z89)l=uXf=5SwJp{soZ2XSvwK>?SW;Li$L)v0%C?>i!*DJG#bbxwk+9_t8A;-mfX8szzu9LU_i){YzteKkYpPJ zp_*a{q4(Zv2qZvgNg&h!p@oDJAk+YX{193QCA{z3bGoi&na97@TkHLIt+{i~>@s`G znLc}8g2yjGhL4Qx(oFUO=YZACaL9~OP;5)hZ92ojZGwDV)A_L=@w%2y*R?b{g*kBn zSX~FFW?Bi3S{aZb8IpFUkye&*xg|g)dp6^Iuzm>6&59aH!59YWuXBw1b&ip*Q{;-57!{O5|z&8~RpUy)J;qV!} zsS*yKd5(Bz{Z_oQUlZ?~bg&4A&mAV-c?-llzg4^ojuY>~bHuyo3GpueLcB``;!6sL zFI_6$Wo_bJwOzccZxZhs+S>|;ultvHxAa3D3x{u=Cf;oaiTBH+#QW7X;@$C(cz3=f z-d!OSUO4>g!Q$OLOT2rwhJ5Idc?IqrW$BOsRjp9B0 zxOk6zB;KP*EUSgXkJXCz_%iYSuvxq(E)nlZDgX?JpZY+&KSr>I6b?UKD&8|Q#Cx_u zyyuP1Y)%}8 zX@1z8v;bZjF1A}Hbt0FnAy(Rox5V4~G4b}1Qcl~qA11uvwEf13w`!?)2d)?Ipp(RF zxIw&w9~Q5XTD!t&tG^YmsR&cWa9Z zK0YJ{iC}jAFxbnW4vuj6Yn=^YD;rG7hH&O6E^LG|E7roxXlOvE*wWeB)X?48*xF5_ zbKNa#TRNx0pN_lUJB0Vp9nmW`EpPOS;28A)7VeV=Y<6U(G=GvA&<~CG9#TPj#E#5; zWcm$-WO^-&Wa@kM@Ek=NnL*nrb}h6w{L;SBNe}Gxnh_gz(}e!Yg@Vr z>JZ4!kiZ20kOya=6fAg`5k2B=gev|erf(Fo+1M@hDHH8pMo zLYpA4+wmhd)R zp1krflBBZA<7i0sFT2g#Q3samhXW>3ta}x8Kj8dwhklu zSBZl|4p&kO+zu7@(N_h|u}BYi2bzi3ggeJ5J-ByupfX$4FR56oM3AgTcv>P{EL3I9k(QV^c7T$Uz`o1KFqdzw>HX9W3HEobr zaa8ECv0E{uwYz;2kggp-B#s$VA|1A-v(1QpAj#v1(v3)GEpx3KHg}@qb@RdDrv8ip zX=`bd+BjRoI0n^`NKKIPv#?k+bEJ7!9HOR(1-xj`)rij1XdJ?(M#G(JBRW%KafGX} zq%W|mjNO8-lPbgUugJy5+x|*73W#H37le;%9optsB-=So)~O+Do$Vc~J5em}2%H0G zH?YoW#n+X^!lG%6=t5ORw3#W;tZ}k66_~gRC)zHz|($v!20_-U|d=$cwI}NLmzz(RsGh$OU zpdtsFz`O+0bogk5`w6CNz!-9Z=qQFR$Uj4ejwQMqI#Y*Mq8j7#qD5#7bz}3HCdFBu z)D#(*K-HQCOHs!b8~~__nVbj~F2VR+#p~`wP`_W}IX;<>xan~OU#3_u7xHDsy&95- zyot}$kfLh5@UwItj9k9vvaS_w)XZ}+{j-?!(P3`A^v;}Zp)luzk<0%ic2Bl6Zop*D z$UaKi1kOn9k&3`N)-@X0M+=P8R1a+RhQ^KSjqINZjB{5H43-}|HyGK+3XBt4FN{+d zbTtCwELUI&PLQ=gQg@8(;{<{8Uk~xx&c=>)M)vUnbwDNgovt!1YwnVx+) zb6FK((^W$XFVPiiJwmLC#qqXNv8!o*=``Ik{ zVC3?DH%lXzw(*vn|0vcRp`yjH@g~nf8*d2Qv5hwXcVOeqg?J7jz{Z;!Zk_UljW;)p zC#z}W4I$pMQnX{{=zxcfH-L9+<4w4wvhhYL`=W$pHr^1X6B)4aCb+I~vcN$sefHS4@djKgz-!~p6Uw~lZpU}jOdD^)8Z#@ujW>kq zV<`a}ZwR*{_uicl9zh##2%NJs8*dJdZJ8}_t{OJp92Dx=7E^I4ZM->XHm6iJ-hkAr zjW@u1*mz6x+jvV}$;JhNRyHhz4ZOpQ(hz)@7GG+F@gShZjT;-Wu4kACnA6_bGHVm8HX0GUEnU9cNGJZH z<+GqGm=8uKyxA>Fmm67lSUGp`9?OkvJnc?X^HB!PT0U#pLL&!{!e}||7&f&mKv>Ke zNQsl5{0pCT@j-YjN`8@#&5c1327kpgaoI|mvEoP$SH2U<{PDqC7D#be3@nGyG>pw6B)dEzhEPIyJB}axEy~o zlMkv7Ay|nB_K+2sF+_{$_1cS#waCuoX5l6JxRwC2ujuk^%bbTcPT3rvp+9zOv#F~y0)$HFgb!ZN1H0_ z0vAc%bnFe*!&&iHD3)3tPj&Z4a9GMNz&eYY+gT&K zWL3hz#%em8Q-fN&BqL~)Q`a~nuP&vC)pb2k!IXn>wKo_Z;Ag7S;N==Pe`Sk0v9&9R zYqPxtEPv%^I}h{Hxtm}E@Gx80!1*f=+aRvZmixz9aGosCAO2ij(Ih`?_JPA#7Wk-YZZd4VjgSCAIDyDme%VOAasAc9t zs^S|U`iO+f*+M4v!#@sGy+mpr4(~y|vBgV0s08=5;r!I>xbo(tqP9)2LF{J6cMxb> z9C}17q&#=k^ON_`9zxoSKzAi+b=y8#v1iI#99HTMgg)SKO+1R80LP?`va8OLu=)$2 zZ#x)PS2R|0SQb`a0HhFyH(8bHBl6!fRkEBjWt%`0R#gE~Ea=WbP%b`G%M z|EtUfJD|#pNk9h!^dm7wTrtLg%89?>~)ep-;5oz59Z z^8J^TEc{vx1-5)sqm-Tbvs>0;?=jzhr_>^<9_PaX@%t!ub?6Xi;f5Rj6eGrv#m1`N z*lgtc&HdP%oWcf<&F3EQc)-iY>f)^OHS*cq^ehsmU)9wI+~h+|FGGEW3raP6>k6#J zEQDl%w#F*q36}IWUVZfhXSQKc3jej>-R1C4aCGh_efCy8G06|KNLC!U02&0@s5ONt*1 zzGlJh4&pt%42~p&1t}R+SqyY}3i)=Bp5Z0u+$7(B+|{lR6RooL^vsarc~+=r!Qw?P z8_sF+_ed$lB#Vu=o3i;74aEco&Z!VuVyCDUr{sts;O5q*77Gg{B{DUGPlv-xx7QVl zQ?dM|DcMwOHoo$?5e#l~m>A>aQtw=COgul(YFibj%54GdWKB@mZNsv9{ywQ9o*=;b z4Y(?Ryexy$$xm=A36+cIdzP-zgGeKPWhxfcHC`lGHLdVv<{%ig>L?qyDy*(>0QRIRW*&hm7c=h#BqqH|%wz*!WrY)3CEd>m_A?m;gQtv= z*%#+p1(W!~$yXgajDpGRFgW!Z$ey5}oYirZ;yfDPeZg>~($iVjS?x=$h9h73Evxy% zabE~-_&l&?tEMM$1-zD%g4&tI@vBwaKzOQ`SY~krymga;);Ep&9mpPaNp-C%;KiC0 zl+~%~l^TKkfwLsn-+h7q*0P=GYRtV@znu@?MAT{ysCOp^mWIM0haY}{zZZ2l_`iIi zKOVHFcPh_ME0mD2B5}5iqjC%X}3rAv&1{X@<(L3?R{ezf9xM8OP<{cZ#3eu5>l6VmEq>}SfDG3F8>13-==R6jeIY39<_jB5NrcUToj>ft2SeDI~33}=<%k3UP4 z)p$J~ZZ=6FibRBr61b{$Bbve4PAF!a4ZNG-Bnq8o-I|7Ck5affd#3 zfTw7b2>{Q5o5!M;0u?;2#Dz+x0%tFccOY=q_rROgjhtQqjI|o;cwqb#ZZ_)y8O>UO zhjjoRp%JbD@aIHeMUt4fSQe@~65!J{%3}ck87?3Z7s5g_&H&EU8t-G^eAg3?Yh0oE z*8u0&8n542EPTNQ_;L9vwDfM^JgMqmcoTP zXWxidl;c8v-BUpX&5c|c3T;{eco*>ycoDe#Atk3~V6>Cd79S@$<6+EVT-|_fBSyFR zCRlt5H=B_mQj5ei>bWcuI+bYWXw+yGCgX6Dc=_;vzq_)kP*NorM0q=#a^%EKzFqyA6 zj=}G+B}eQsZR26}#`oWUfArE%cY(C6ej*O)%bAmUqjYICHk|t^ag#{QSdU)3Wf$nW zJSjbD*|uG#lyn|#OdpN%G@i)i2x9({y-VlpREeS9xx-q{@(vvIS<~92w^&_0-apQz z6;`jG4qDNCDQdN4FfMG}fC#;CFRCI;LE?fsj?uIe732ndmn2NEc}q77#O2v$Ydn^u=+yY&CER`5c(1IH+jv-oXOY6EbM3|wV;I` zw_X#Ow2d8pQsq;IvZBoW`1+LfTADe6uTNXABQk%^*JrHPs?10E`kYQ6w(YVgZuoh% zA9$r74;WR|ZHDNXBMJCeJz)uqgZCRZwrtQ#AmJ}9SNz7Zcbk>S-HHHF+eu#d}c*CS$U29~}pJ*f!dy8LcB-0@p%rlvnQ#R``U9Nd9 z-f|^OA}TdYd9F3zK2YG+c3iivKTMKk;JP)}1Ps$}DY_3zaBN**Ly#s_s3?*-R9%C& zo8kH~Y8b}W;ltGZ2z%2BFG>Tn=vov{(Y27Hh}L(DnD0f*ZDTi&E-=%t6QU1-?N}Gs zEDSOi!)f6}2p!jwO>OoLq+$B?M)V=VRJy=!K{R)}Q8B4HD&NOw`e)UBiE9GR+j)7^ zFInDzTl=D*#jR}hu%}sxYRGTVO0AR8S`MqaIt`*nQ;}i%r|NwWYg`q?>V;)J4zjBU zAk1^FK>X~aH;%=JY8UuQ8}q!+R6&nwA8ua>H;(U%j$i|gFfg2`#ofM+Lc2p zdw)f{$fkdpy${hgS%zzpoH_Jw&+ z0T@3nbp<$I?{Xi|ivln+u*uqoIBO>CP@fozoh_&uoQdH&oRz$w0DOj1$Pk^N@$W0L9cCR4o^yW(H*5=1o0LG?8RbnBa7S1Vb_U|TlR6Hx8eMob4ZC9Xa zLNeKeDBexEz>Q&`;*i1ou@!)^l~7-~(V*XFpPOq&@!>@{1TanZ=B8Q90R1?Ek3@^| z;&hsEa}L%o(`TQC2|{uDY4679oqoBzopFzNXTB`nS>YsMXAcqYoJHcD%d^VG>F1p$ z-ubtRcfn)gUHGzi7nvB37pGrbDc&Xfh<7PZ@fN3FcD;C4JtW@M?}&GeiSd7N`gIlJ z-Lj{6w{kjBoPOH{;{Eb&@qYEXcz1j!-kk%X5U4o)uBqbvdX;#0A0gg7w~Kf0lj7a? ziFo%HK>tl~`fnzS_uDq{e)m)H9=u(=hh7%%;jhGdWFQumiqjvRCEjCe#C!Z0@&0g~ zcu!CXO>z2@pNaR>ZdmazPXFTs@t$5L-ZSmuJ$t%%&;3HY=bwf*C_PJkE`GMcz!L9K zwGe)ZeQ`QoZBH9AF6OkMIJ53lz>6~{F2V9mapt5BcwzVAbjBrY-HNkT43E>>J1X8j zQp#ET&cM=3an^pT#9OsRyaUe`@1S3Z*Fbd=#aRb`Bwk|%)!gRRq??lT z;^?TiF~u&9R)~(q9nF=k%hEYJg!j0Xj9bn-#>TvWm!)@%;JYjx3SO2DrMxU1+TlHD z%-gKFA0swG-h0rY9+#f2YtErYbu#j;G{rS%jIWKbKBkV#wK>xVqSzsmeZ83=>w0s} zIfM5!;|;3P-v03DEEfLw2m9jHU{ z86wx4V+ZMwBEjMH=2(Lc8ORWQy*YNU4k|U$-0RJ;;WD!x&KS#FPL_|7V#BX#d;yAa;G^qTH~_g(U}z> zAgaF89Gj*Sxd&1C2WET1i`X3PJI%4Nn&o99hqGfE)+PsZx6f-cWU&V=2(@^Uv}(8 zYzK78qb@Ac^7-&y7fD zDD#RpnPcO1qrvf?{&)egZZgMaYZwQGZl*Z&#A1QWk(OK(7p92CzR4V$r_ng3OpV5y z%(0moi$hM0CCxsQ!3?r)GRM|QMd5%{k_(ILSb z%!zRtk{HtS26JM(hUE(^5d8!VD-xJ1Lah!SIK+R0IZ>y>ONaPxFefJJ@NyB^dsjIz zi32*^U>@QNovcGg4)Nb$PE66^qY&=9keryR0To01H<%OCbogk5`w6Jh2=R^~C+Dtm zVulVKOLRAMrVgz{^>uGBC+0Iviqk8pAu{%WF+9%==EQ<+fGX2uH5l)-CWX#Ge z=6rORTQ9vcXB#NY`Cvr&PesJm4d$Gq7@OkM&>ojKH<)vd78vJ?9+5#fIxm$z_L?yYicWXYKyUX^#N20f?C1QPhYOeeL>3YpgO~)?60`ZvMX2Rq<%aD4uA1-;ZEr;U6m6yg*Z@A3}+>y zzv2$-u3wdt1LcKxcHF#8sa!lj;6a%=d9T$K}U zyMxo7UT)l3-leN@GT1#6EG}@_aJ~Ki)m1rJ#Q!@O{?pG?A4mVeSLKB5w81!V1n1QD z)~?|7HzO~w&0^i3s>X$^Aa1?2O1W5=|!t8$X2 ziDfvg3Fj2OW7~Jy&Th;J{b8WpMtYueP(`1ixkPJeGZ_pffom%IOp9}hS(y9=4E_*c z(qHlD$WAZL6*AiIKx-mqErel_?)2i^f7!NA!rx5N>XyDj@q~t4oLi32210bw*e@8P zeHZ680eYN+(eGLyDBM&K4!AgX4nS8pV4XK(oxDNT1TJFetZ@!dXAVWPrv4mYfNAsl z2#W9+jw#zbx#oWu@FDutT+;+mL?8BGK;_xYpFxV3Q%1p6y%f<8%82k3MkUW(sA@F> zu)TfoYHr!8Y6cn+9?7WW=?GP;4nfE!MP1Izly=3RS zl6#%%1XTn?UQLmN^8pFxru4YQOn8!~vfkj4zHW100LMRz=hxsSbYn$D<#CfN4BgDw zEQ}*oV!o-dJAl0vu8uj&Q?`{n*`kIT+Y$H|I5($tP7Y;YwdB;$Ymu62$&O)eb_`>7 zRC6Cv4P$z%xnZb=Nou43CNjQv5dEy;70s_=hWR<(|2`ZtFiyx&ceeOu-DTou)ZHk4 zw2n6(p*_9scjD*QJt6+UNtKZOdoWCbp&GG_tQJag%sN&GCTr6Ecp$upI3v4>(=D)! zI@SciVRayUG;u~LLx^ZeZl%PuQkG#%of$#-%pv+Mnq(W$Z-txYd}I)Ix|H)AIY$Py z<5KhIu&6~#I&*{655oN%>39s}FELgtE9#gxJV{&2EO{7M&+5270g@UCL@Q6MJTi@` zJVT=PBie@=bpX&t!uiB9rt%DkYWxT|={S~%teFEG-bWEU`Ba$KGkA_ewPpZk1l-FI zas!YK?}0d#Co|MWB2{UI=K$xbo_IWDp$;R?e2vE&DsKhxrpPA_ggQ8NcpX1vDf_8B zMxl;bfZ)9~{kNda8Wj}0mJIdFG; zhuoOT0|e^)BN4n!)1Ls^vxD@;R2~CRmk@^sK#|iw2hM#x@MhX4h;zH10#IQcfxCON z>=VTKoArXCntdKt3;KEB0tVaVYF}ZI~bk7CJJL|>N3oER{)UG z_PII#CNwGkXZze%>E-C}VwPYH*H%7dd~^HJ2ny=&LXcN~7hZel??RX!p9S=H32q+cfQJ@j`WR1g0H`nwQrO)R|nyM#wje-{FGs=v#@v9)g5S*TNB zK!2Bm(!2gH2hHY`N`DuSdez?rcu)OZ=546->38BUm6>zbu6arW<{9oO?NH8aj9N2a zVb3`MPg%|vZ5>LZ%C69g$lgn4&0bXBuwrS$thuw6t!S8Azt6%s_54_~&nIp6sr)yh z|A9mqp*=_A?cWg`|0YjfV2pL?D+Vo{4MwObETLvNt|Vf0EZ$FpOOQq-zr(+gt6?kE zWdPjd0!eG+SyvWUp`G&_TdN+wtPw`JoivQ!vaXQ|CD=mHViD7-{s(_wkY9#~oUd-7 zzpi&qlN|ZMjv}ZD5OOMNxcJwi}t?|j@zB#|Eg zkEa5B3aEC=n-@6dNwL)jM3j6^T!Hw|(x#b&6q;f1O6OymJlb}&3(bKx0GA({jj*u* z@BQv$%teY`WTu({FVV{09z3H{z$7D7%UZApMjCD{fYq6H)_?^Z%5rHkuB76qSTRcOL}4A|E#Fzz6?&MQZtdy%4uJq z)tC9Kb=^zNb-yV>3eAKrJQkYk?0_hnMi_bHM=}&2P7WW~RK}IGsOmgmUd8AM zRmlqc!)Aeo{Sx8#I4~?b`Hj4_0i^zdzqcJ6OR#}`HS%URnZl|VaVKQV&xA0j(5yyS zIv>;Iv6$k7cSm3Y+@QOV7(S>$&*F*qs9~p#2}!>K$w)Jq*y0-eg@1y;li`Gf4?tu? zQo^){^23+nnU|McmPRo@JarcWAG85{03ko=VpbgW0s{WwgO>7wrdr5ABb_y(n9aBP z4)1wb77_k@P#UqV2+u}(24qZU%RHEU5N9#h>6y#~fakysVN!*TbF{LlWRD+4uQb25 z3K-3B<;)o(47Cx;fKZG{&QAcn%!4tE2uOite$62?N>~?Rc;KFeRjn`(l za+2Cn%(dZrx={>gJAMPQ@M*Y4rXK`X!%03$F_N4melsxcg;V{?S=P)wVz5#2yQdrUIWJ%=K1sCoTNzB0aybU z+S3Up$8tB=SHRE&r zV=z0bcYySfM!Xf__rv)z%qU}y5#w8p^#U+ngLATOFuNB5vr8ntF8~Z9xqddo*d!!+jOvNT)_)*SD&Q6aZ4OZO?15JHD1;gfl<9CtV=FKYhb!mT$uzz$KGMj> zdM1$e(uijP>DC~-5%K{qVL0tpMXSn)%0g zWz{by8KrnqeC9NmtpierMl1r-Fu1@c{xO6m#&H^JIxv=!9a|EzOP8+<>^QdD2Bh;e z;#wdbA7rN!)yZ&4lner&tHzr#5NZR~n1}kJ4bgiPB)=i_&0u zFf!q3rNMZxlm_ETD-C9lr8F3iJ(UKhiNv2g2;tM?5WdL#;vEQ|aUH$~^DCwaeRHOq z95BCTvM_qhl&x{|TO#77Y}QKRhLuK+W^LqaraDh(6It7tC2{LD9~Wo;0kY`Fq_u7? z=P+V3sg7cp$Mjdsy2Wf>s0N`(+*`~5c&{B9=Iv?*5mMb^jz0o%W||MG{{SOPlJ$@! zs*%tMd06R`m|4G}=#QwIMf8j;t~;8KISKm!->`913YZuU3Fp(w(J7qU$h&%e7Qpsk}qLQ{^q= ze?ARMsRGZSpVw|N_wfwUsyY2r`{BReyA^os#}Yyw8%45*78%ACem7g!UxN`jkO5Gh zh%iT^V&ov9Lf|?_qhjRXbdA`5C1i;-=2>K}J~1$B+D(TJu)a}Oqhk6`ncB1=%aNZ0 zac`x;NSj0!Jj7A#?3Rr((s=jQGtITtyN%b&GB(5J77U*!F|q|{$CkqNdv+uf>hcdFu$YPRO8)P6^ zVA5*5$0962ds+dfnUt_Rj6v#RisQc&y906B+H7%J!KK6DWHU*vWHKNQm{{W63I_K& zOl)z!L1CuxI7d%)fB{Z=%2M563}u;p3r-wtT8VoP`DB!;CMGVWZp!KaH$8W;xyiL& z$?Fo~WDIunc1BVbokwq{)N==4rgD+gB^A)y$<{*+@#^i2q|VZS-p(N&y`2&N1!Jou z{dzkGyLvk#Df7mwx3h$qJJ{CS8S!5zw%EIRJEbU!9bKDRZ)c>BdOQEjN=n05Pr~&Z z!-(+F-UKuFM2zL{8f;0I_{8jco5kYy`9pumtl}O z3eI7}VMSz@^mYoL_25D4au%Or)$G;CX+-*{w^Mli8hjpdc$IL#gZ$-aDAFgrol-vE zfz;O{h5UBR+?bO+0>Ftc{L~rLIh-tGETS*w>4OA|#N=_rvH@%%A z{5@dxh|6vS*_GRRJ0sBB`3it>()~I|>?HTlJYbF>{>==Ol%#LHaIg@)S--fXi`SP8`Ri z?@W`YbeDI5?Dr07$z7-o$P$iR(gV6R>?AqstU~`KXhR^T6vz1K)Z|$J5LY5} zX6t^S+|}fnJ~OO%JGS0Iu|A@anguj@t^}yb0qY1xsY@BbPBeKw2&%9;J3xz1@;U@X z|BbI8+q|8RGf)wRnfJSo3`lajB*SRNCB}-(+06y?PP-o$_bM_^-z;xuoFU$sw~2Sw zE8?B~AMws9!$y2X=DD-QJFi*1^G^`(g3H9akbCtNnHN#JX+`G6X}BR&k$K4&@h&}B zyvvRf@2ZQ$yZS!yuKBBY*M)FdqayQ`5#rstM7-PD#rx&y;{EDQ@$Ps*ygNS=@2)(o zOjKn4x<{Ow%ve%B=4gC~pk&~4&9{ET>y zd?wzbML2L#k@?s(@g83(-XDG<-V;}g_v91eJ@ture~jW{Kt<-$!^L}Mo_No$5%0MZ z#e4o*c%w73)RW?8tFOh6s#2Vn7-K6L$aRzqWL>PLx*~hhd>*-W zl?-HEayqJeMRdh~i5%VgZSnSzQjYFhSjxctYQ$T$M7#qJ5$~WA#cQ};yn`PSukml< zt^P*5rhbD--aJmcmZjpYSuft&lf_$ilX$IkULsfdmG3D$rrVii}w8?Zwi1Gu4ui$*(!r_w>N zV{A(Y!HyArkPd=?p1B`MCxNY%BK`s^Ow7_y5N}ko@CvgIJq)pP&BxVHkeL1%@KS3i zNSs4hwXLBbIhS{6Getu|avq<#C?y&SlJi*)xhSPI6eJgDa7L!qP>@`x;ZeL(LqT$P z{hk21rJ*3XhYrdI3$39bxk!f;Wx5&)l8ZItfoS?9A)=umxg-v0s;QwMxsokiCX@nD zLqT$1%|ZgGp&+@R4ls!!8VZv8>yQkFh=zjXDjgD)5NIe!9-spf3=j+Sv8i1g5*fW&sVW+*0cI?wuXXa zhd^#3gn!5bUT3!8T}JYV7Z9rWS0aCm&BkO=0#j=!NFFMj*wmL0pph&v7#&GURfLAU zMEmC9$r)CffvoJ&PYfYbZ$m zL?mU8qlKK{YA8q^C9oP>LqT$sx|Lb?XCiaBR>Id3z9OqwF9cOI6eLG$T=rTzvjPM} z)fx(t({v(-5S4#mHUeJM=4fjuNRHJ!_jh>8lUE2vGS1RakUUz%XBSz*C!IbEN(}|c zV+4VnryzjRRtb=7mt13qs!PbuR$D_svQuc;)%r@<)liT;L|CvFb~C=@NeF^?91R7@ ziv)|EbP6m-9O;J?`*2qrhnG_q)(bCo@fypH%&rO-3Y>kv2VR3ab6P_|vP$PKM+GHp z2XxA$e8&MxG!!IX)*k_J z?9qceFXSg*mm0`HNswG?C`djd2tRQcNbQi1jA5u+$HR->E#Ea{riOy#8$!dejWE^X ziiU#Zn<5X#JFYxZ?QJBSr%%2mY2s+e70QRil)WvSI93XBVhPw93X(Ot3}{nMmw`M< zDy$EUiIM!44Eejr&Ow(Ow+2%~LGn88DX8NdFrSbKltp+@LqYO}>k+E>r+EmHqoKgU zTW=)amn3j3=O)2sgQC5L6K%yYp3BB=u#iHrwVu3|dl-r%z?4X$p&`IhVH{Dqnc~n}8VZtgq$O7zaHfdG)=-d~r_nedO^rqk z1<9Eji{n*|CCxsQ!3?rA6eQP4Md4^xiN);ilo zLqYN#fpa+QrdGp6LqYOgfpeT3q^E|0fLC8cEk7zlH*-=%S$@IbU6hRLB_A#n+X^!lH(PEV>qnO^lK={tJFgrj9Gjd3i7ISxS8pw2gs||K^d7r4F!2M8WPP6 zYADDXry+^Vo*D}B#%oxsTxp`>DN$@H%*6+M!26~x(19PCr1}S z-V7Z&mgsKiOdVQ@>g#GK$eYhJDbCHLhRE2%nBdh=khfqJpeoyBHK@A?^C6XAM+5tk;eUxd!W50ky94I!2&KuFA`> z7y;MsR?u>PP0lV9a`Ck%sci#FR*nw>Rf|hGNby)hVc=k`6=zCQzEV4`0f(EtTzDFy za92$E3Y!nX;!`gho}wrWECE}>^kT}Fhb~lIxnWF)v$AB6;+c!Wz$&oK%eKCo!j!Kt z+XhCbdYSP&N8zsPClF@OfzeA|W<35;_ybJ&3O8Oj9R}y5lcz@t18dZF0oGajrTT!( zUbs+;&3_r{t^tcSmrZdB{RD-(V#-$*vF`)J-}{;N&`(epIBjis*&JfY0dGM4re8KgyIpeLYngJkI-R+=rpk>zy_;r%C``ve5V4s(7|x_fP@1y z608DftpnDXqW6Fb1Dne_Qyg6cPk<<_&I^!Yf_x)_qC8BOZQjAh-=p6gX8z875nwcc@m4NAL_AlMlFs#trFN+$0X|u2vwZ!4IFsjm}V; zqE)36e4yE4#Ho#eMwA9fj?Cn`UWJ4(N==_;L9sAobw_6__IVA1EeKzb4dzOBqGy- zt6DdrS=?L=S2X_y(Z7QC1I9pD3ISo~t`pzf z;|f%wIsg_O#(?gDi{~OW_ki*N9-7WC0%!?~aAg7!EPVjj%T5L67Q8NfgKy{J?K}bz zVBl-q^A-fm`x=>kD&js9E;MQhtJ9w~(k$*JhsGKk!1-7>H#a<$a}H&t?0+PEw|OC6 zuY#LPDi-^ka&UYWz*jWFy$FAZ2&|4o*o!A4LQ`G^c=q5G&F>@f*8$&ctYIwY=O8r| zh)atg^9m`4X*VMc%dk2zkIdf$<$Gj4&Ebhl1w1qns-FvvOW+{cI530ZytaM?Rfye%^KxMfUmb1N$mjMK167OTS=`Tq2)ZikPfXNW#-wa zX?ybwL}*_!=+;ag1cOASl|+ZhIsm&ICi{~~9+;$+Mbm1^gsCB^TjmPvL@c4iuT@i0Va%>_WZ5AK&hTmhujJrL)xNw^;<&%+@b z4+qBY;O4STK*Hy472jOUq9ESyiPwG|QS2g?Vq*B?0<*%IFFXwq>U;sg{~)N_d>M2L zs{%#8uQWED49C&`d6bAGZwQGkfGv;+LhvgDw^xG5F9^ehwA~d%w_*F)+7$}A8bGG7 z2Ev`m{cY_E{Wj~xP_?QJD;+$d=WGr4-9Fm^hwn12tzF^3f9(RPU%SGARp?#&DzQhq z!m7=?K)s z;u`;70$jLJuI111+8_JW3vuUc#%JDe-8UJZd&A~!Xk2T2AtBzo5Y#7twU_oz#BY2l zVBDf#xKy*4)84kabz@^UY~LCGwzzdcg90RO+1S0frLDbltMQelvK1@LZe45q#~<{6 zx)wI!Q1c0*55X!_YuaU;m`X{4zaASWd2nnE2?3P<59nIJhoLKt9{Gf?@B2uo64|%%H4!3D+V6{+IgAGO`>GK_@kF5* zzp5@Hynl$&W&R5si^3;|21X!A>qeYlm@Qw$tmYBIP7#4$d&2)U?|zehS$ zawDH}ZTT&=A#Q-vt^>&iCV8|RcbdHSmhuDln97kDr5548paDC{s(>YqF)*rNXmxx0 z2HeWtiq!ODl1d|`d&N*{xJf4k>NRs1slPx<-w5xf%^XI4u$jYFiqu~*@sXkGL%e-T z@fbB#D@gb-6~=&f1e^{ZurHtkDEolpAn5>>(EwJ@0j!k9K#!Tj$d72|@MH*Zj$a5J zm)Fc;mzJ;H)fnGFr=FwbCgKj|J`r z^+BvYnK?{H6)A$V1Y+wXC2wU+z?PU1@!#m`gA~=YaJf9dr@q-x$0F9#+?ZL@Qs;uJ zN-=N?sSmOD!OUT17E(_qUx%*j#i|&R1#TtvA-WxyIc(y0cPQdG%!vm(Boam7DE1GJ zgO|9~pTYlC#?j3;tnyjiQzWp&-G^vgONUKtOe(XnOAqI8#+rmRQtUD!fu-&~un$^1 z$g+ODNJrNu+GChBc)|A!kxiQ*tjE~o8U3^fyi`QtQ6*brjxsCLKq zX5}UZq3nJO&4Un@&YnNbWXGOnwlhFKQr$v2(fYjugk@<1-o`nXjWk}_>XkWjJ3v+6 zhCBLLnxlC(OL7*f6$9tx46s&NT0`oApj)L9SWN#Wed`tP zPyFQlm$g%{s|_|jwtV$iuv`RZWnr-reu1t3J~9*m&jT}_FSPP+kaAl#BP}q9Nx`?u zMTdy|KGgf*_OX{^pizW!2Yf4@4j~2G2>}}L=9i)7B2V{#bEK6<)kq6$&G+OuK@h=) zUxhjqEY5P-lwx4QD!sg=+ei!SzV~E>9^XR7S0Z)rFAgi+9;4?u8r@By$xbKD`~u)~ z9l-u$@ITN2Wuy-(!1GTE@%4X#cl0=zK61VsimFjqhOCAwAejAugeTN&ge`Z%2OJOR zfbIB$7OJD1xpZK*9V$=;NYqjIJJq4JX3PVrTw^05_cdNM<95JoJh64N+%sG1_oAu! zIy-uY1$v6F5pOo+)amiaT`nn09}!l?0FQK0PG_oyI;uaWVK=;QB0AgE7lA`qbp%+V zG?*KOQYM9_LJ<_2=K?8R2lIb0L(PobD-e7!E-ege*oq#c=3av`OY|+Gu3-^QA6DE>@58QPeNnWAn1jZOitkfu>L0vD^H( z;zTw0ZN{z0Lu&gJISzMZ7%&g@O=ews2(g&2wB&-d36d~bBauT!^%YQU#EI98P%-q)@AKe8=C>V?%|WOF0_uu2r?ZPYA-Lgls`*3{A=tKSN# zB5I*|4FKtUOq0ja&#?r5gTUwE#!wp}AJmw;(UQN&CnKF@o6fu&%`}DofxqwJ1ep&$ zWJWypRs8XX@e{Yxsp4uVTqQ}lFIi6<`u3Y>_-2cIZpIJO0U{8^%0kJrcHDoF}aBqEMV2v@akM5Eah8GixRhj0=B zAAAw80jnankFYCOJ7h)kWb}oZxb~0>S4mn)EHNemx;NY@z^DLpycc8iZj5U-f)>NM zaT!%a+ZSYAa8HAD4c^<~Dv3&(ImEaHOi!b#78W)Z|XAMOcoEdjiej380v2jZKRpNo&8mW6ENw84GfIKu`Gnisoe(Cx&J zvukz%B&Lg_=G$t~%xjT4^CtY+ioCqayCT+BfVxoqktohqKuRrLX=eKKD1#z(a%dU8 z*$f%3`4`*O_Rw$1sLaUlF1D*vtl*3cJ&4j&_F}s_)dDAsOva#o8u}k_fpq?6lwCa3 zWS$#(5zw%C41~xEnJ41diZ_3{@20g zlg1V`+lI-)5p1IiO>xNh?GU`H`AhaLol{X!I(}^J*c!teJGQc`eN$&sOQlmGn>sow zf6PyxdBNYw5b!2`{@AM#z0u)$mm+uv8|K#o`~Nn~?LGAW+c5wC!G?L7`EykI^k3p{ zCwt>;58S=+)SKXDmfb}e;RPXggBq$CyVkWd+l{OdK0REH;aYYj{-U3Qv5`}S4T{q6 ztKs7j6zl5l+|<;)PfKSP4}FCH7UoBbmO7pQR`|W}qaeX{d3*?8Kek>I@ek2#g}(|@ zQf`+g$TR$Pn6U&mo;?aH&8*LWkjot(mHR!r+unC}?+3P0@8G{cG)fjwIJUmd&hBl1 zWtc<0fk#bd{dE7M+c#q^LfGjPA(5~K)&P4c$4ihI+6|hfBoN!uiqvWeraY_Q3bs=& zE2jRcX+wKgi=m2rGcs%ObbRxAZ^Ua(kIP?e&f)yPI@N{aer^Dsrk?7J2-f!T06ozaUB6=Ujd?CdsF zP~)a5 z>yj}mmxE&mc8Ap7{*jGU9Nc@+7lMy2S6E9V2-tf5NUNA)i7{{>g@Q&yYk zS@_3Fn1(65WWZ?{41;)9O+x+D{UB2`E>Pp(Sk2n7zM4DWFpZ6yu-ZU2v^#(nSaf(r z3<@>eJ3~k_iCSjT!dW^WvG<0@5#!OUsoU{H)#oFNtp_!78lIkn!>S2OI-S`)f@um< z2l{7lg3JdWG9wmld zO$kU5I`Z%9@;QNg^aAH-c5|12FmnXTAH?qflz|HBC+={GFCg&@EEr-1k9}MegahI5 zQI>*kY>?I%&;E=Uxj$_zCL5UR%Oz>O6WPAKxo^~qPU^w)hI}tel?y;L+`2G@vUZ9r@2BOtBWm}eTR)h zUs~drnSUb7Z`FS-+53@WW=Kny$lT7?M2I{&d&)Mm7)>ZQ^e&=g&gvn&tT~4E=boKm zYtswAa3rY$>y1%odB;eLQhR@nb(qOev8TaW#dTqM&-fPRWdbn~JmZ^i&iDc(XME}N zj4yqj@uiP5z6s}y?;%L6O8%Yys882ZKI`Odu6ZfmawSZnD>X}ZgFN~6Ske6$FgvSX zBpG6a3-tM0)4vhfhjS?7X<`85&nl!0_6{hRIaG1VeGgneMy(7he3*IyVIMo;MJ0e1 zu`(9@9C8#51f+;1R>X3`=n4lXtRvH={~Sgi1mlSoO{_Oety{vFkI^8(DmX2i2%+Qh zp1(DJl=HVdM*gT96_cu?@_otX|K|DIX%SppgtJoXWVDtea01USmS2x>AM(6U=Wm~e zFn@Ce;s+}wZ)Hs2+^k{#c;|0v{%i%Dl@;$gr4h^pX$-`ax#>U1(uX|g!}(iUB74=9 zLn?cJH3A=l$%7>BT&)k$?cn_FD1LVn(Y4Qjv%W$riXZL#Z589V1pKdN98>s)^%twf zHUmdj`j8a&{Ou?-1nNt0{m#qTd;Zo89AW7L`{4OoevE1r1|6&6Eb;p*b|Yrs2ufd= z2hZQ~J5%?7^8+q-Sy7SWZMqAW-6-q)t@&f0zhz^pCS$D5O;D$FdOv?_2Gm6KA>lhe ze=CAK1Rd6+v{PEAMn4j!J485PfWrLwOuD7DW5P;opt~nH85sn5-lO4Nh^89*4KhEMK(emQ> zTNW8`eiEaCz$rXf37SD13=YHj!6R@0&eXutaDJC_OcTL#K1bqt{x&~wYz(luGDyYw z+x#^gT_L2N+xelgMd3ol5lm!|qCtZ9;rdY~4i_Z6MDgr8^=#N{frVpg!v0u$5m za8@Q*Rl_+`YXt68^&IM?;Fb5GP75$-X#y>(B1!SlC&0Jmoyjd(Kz}j?0(Ss zTYj#JmIxm5inkxd`$6Y#MZPEwgXh6H@(I5mcK%ibJP^#9$_SFio>@24AfWP^}Wk)fRYx}5Gzo*Nb<}sp4JtOYv@bNxWPC zE8cA-IPx_pml)e{g!xlhj4IgP{utI#k+Se@$TCq-u;(| z_nQaB`|aoA{VosMX9s0GI8nTZ4i@j>qs4pVYVjU@T)fBrDc!{;-5?DP5L)HkLiibOU^;{AC$e~R+ek_-e-%qkCbxuzSKiGD0@Gu zoE((B>c8S0*nbG$4yqNeVWoHncZt_{x_GN^7O&|s@tWTjujPC3)(j{k`Pzx%t=nI` z*3IG_a+Y}Oe-6)xItoOL=)C_*9sF7+J!~c2l%x;J8FdJb{|(BiI1OITPHao;7~W$g z4wfi(jE$wN&R!9IkZp+!y&l*@6s|T>eQir~DIrS*vTN;XzyFxWur5%GOB3kjh0i1>awz$AuPkBINDLoyg5)+6Gp zbVyV}aM&Y$fDTA7K&(f^57Z&~3=!)Q@q=_ok>JpJM7%+V3}lG59uYrS2bCIWuJwrc za9Ip3x2#9RM=E}Sip{GmvSAl^+Hg^dPID*#%0H&Gb=zqRBb&XK20Z*$JdMa2WBt9i`X1(>k;v>nr9Yz zBh6Euyh1RNp_cWC_|YOhd$}S$>GWApe(LdK1cCjZAb_&V&b4;QHTH?RgzRj!tw+Q= zg_gaguY_Ie5%EKW1v^qVk;uPoxkkZi`Wk6lu7vxrGIeh5BoyHR4uS*T&xFSfdtw+S45ri>B z5b>mT$VbL7RIPFFBJ1S4hRn1c5r0EyI6M)iTHKA2+BZcW4q04zq}tm^O!HfkCJty^ zp?pY8+1tX2!<`@}mVj+NB3`4*;D3lGWgt(I3hP5-VkEyML;fzZbKK;{t--V&5x?$p zBwE!tU_K!eD2wo*^@#WlD-o*rr+EmHV?DycTW`eQmn3jF<|e^rgQC6WWyZx}n#;y+ zu#iHr9udD*#_$}(r9=|z5%CWsQ5@pA5$OzNUeS6)e7tTnIR4WgFCerF!Sa}`VH^~? znc~nBiv==AT5`oPV~SXS)z*#!TJd=rjbqBxXxLFP;xjcChnyNqntdjN8Dv?Hh_921 z!U3ts#dg~MHa7~0gH#u!tw+RPk!%2h&sVFE z3K?a(_`0%KShOAyU#Nx>?cYAO8qFHSYU>e+i5!ZLNK9(rC72k-KX*_c5o(_}Rmxh@~ixpmD5 z8ubR&EpjLGQK?Zpt(7~)dbyJ?Gg>u-`!u;THKd{yFZ>*x2P4AQ2q*d@Pu9#IbeZ$f zVQ#(j&YW$aFqd^bTsz_S>{yS89mUubr-t^p#IYU`J6d3zFM43wdPMAJ0^=mo1Jl+c zV#f-MGfOW_?oQ@CEHF+t1y;nFsuoCFkBA*72%Ly|h_&^I*zp28-4D~&BVyYG#wn|Z zPFs(NoggsIW4$o39uYfHV4U1~VA^^_>?DD4#_NG;GY+wn1;%Nx2WDB1h;0`jC&xC> zwH^_>REBe$G7GSXb9Q8bV?848MM2OoGBQ$}b?aKo&Qvlz zb~$rdJ?210-j$E{+`9hdPOasM7^h-cFTGbRem+vM_+X5DQL}7wS@O|gX}$E$atXu~ zmV7WG{LkZNN=E*O)(Y7x5$h58^DhQic1W~Ie6czN*@QU0#mj}T_H!wh2@oRdpD zp_Ct3$+mK-#x_Q=sC|pxy>SnO3$x?F=oBwA9%#z{0rn$=+jHRbl9wCLL*)k+vF!q^ zOJzUeb7oi3a4hD*IW?%YOG1R6+1E0B@IyST%W(ylwD)+Dr;-V4@+W{jm2!iuu!4B<59Zy{#9xss{(T9lSQ-4I(;gl5iBk}`h20Rh%kjRc`#Yh~2 zg7ajYpT;Ylksml1V8?_4-!YXP6)h1w>WvCoEIJ#z+dHRCgHbEr7MjJ^F(V;m{5@#1 zM?q8`vr|QfSD@|>aPAol29w^cNeGjrXmwTS(KF~+ebkq>JU-vXKmCOYx`q{%hL zY7Vd_F#w?94p`?+rIRU9nai5BBj{8phjByzNhR%$R%M(9;+#vCbj9Tunt1)MQ&$V!$g4e|W zQN4VflV~uUDDsV=d^jj?OyUN*s=EOscfjGC^mCy2XAde#N*$9TRNNF-GfDA)rb-`! zbw@Z~T$8yc2s|cQ$hZNn7Lw#?O*S1Qt9C%P&>`bSx7zbrki4qNHi6{$Aem7sW>5Ad z&ZlsLuzYnHkZ5(lC)UJhHu&w!a7rZwQOm;;>cG!ImyOfYt3mq;;9sVuJ&@rpEw{~8 za};#T;jRO1;aFq`oW#oqIV2w8Htr;D=&B7XfO!BMa(oWpd-gz{#NAqTC~=xK-g@9{ z?TN=-Sak$(HfX%FfpcX~ym^RRZ6nSR8t*>fycEQ<%50mH`P{!(rydECV>Q{QATcTf zd1_4J#=JUX8*sL3y#Bx$VdFV@Fo_%P>fE0K=NyeU8#v2sJoz^H-A&>~y1IxsJkE}6 zIs`a}*?11WDYoAJysqs4D$G8)Cp6X8+h4F*FGHzm#h9(JHFdV#`mVQs`lwx|we|MT zxN;Xr{d)Unyn$&+UnTbF?VmObEni>he0uw5j@xBQOK<<-{||d_0$*2E?T?>x&rN!o zd(-45X*xnvS~}6D^GN4;NSo58rKLbflQa!YlaisOsDLeqyyws|DN{i~lxIOi5M)ph zP{0B8sW?ASP@hkqI6w9I{C~e|?R|!OZ#v=o=<()`9(9-OaoII)@(6A?5E-60cpbL-&tc2mWzQf9DQve>6D<<^Fut7&l=BY{RdT zaHApOLkP<|{tw(bkaJD{?HD%*G}T}(?|+=3h0Vnn%-+jaVNBs}j#cegK{U5@?AeWr z>m_7qYiDy~_eQBU7ZhvPE^`uqi#D#Rbv!r(wYH(Xp{2PK*TUf9mX6NmMLkWe9Zms! zwHr4&eMn!kaS^VHr^D$Bu(-K)qf-ROxl7ls+32L;TD@q=8Yj)5MH?5bU*#0TDU3Gq zQxuKOD-qVu>BAg*uYVOPv41X{1HIq=7Mbt+ZA|Une@RL4K>*qJ@&3qSVOyj~Ha5Kf zCLzBAaDcR)tHkNl&GhzldGIwcqBQk2CQxF$75FRwkM$Ni1qgKu#c-h?6ZSIhyMe%E zCxOXAN%*aUi6jqBP5}`+*nn>xtS3RflT)RCKz0^-Pq{^fSanFTo;F!^GFp&lTrEj5 z^-E^`S@#Lax}W+L^Yyu)V10>w&Ui~xPZIliw+boa2vTZ1v0pIWs?^(v{gUyHNll~o zMdO{6T2JDyxGYuz=cW2FlipX|X86m(gGk9VPf(WYV%Bjh9tIP);s}5H^Co7uGIp!~ zfUH~nd34itFUIe{sgvOx$QFV&>I@o9OmfsVXg7mf+i^Jo`U6f6UBCd>a}n0sy#$@K z8-W)}EClY<84v!ZiW_q^7Je&P0d)1zLP1e>x1ti;Tbr9stnG#4<#E~ zubu##J>6i_LN+&o1;wOuGW3_v?%}^WIbOo#|m-s=TV{kDUdJr5w8E~-~8o~_4 zPxJJ~N;d!|*E?6Ru3a>LF&|{r13b@;itiDFO&OvkIzLqnT&=% zf&;G{2)M9&6y|S@tgJ{MTgt>2fWdMySsm$Pa@lWg#pN<-bvXFktH9t;z{IvFyI5iV z7R}-$JxsFmC2;wAz{&Km!u;)+%fw`-94#e>2c=9lJM3VE+1Ip)3OqTBQ@npQfZJ|7 zT0_IQP%`~1>!M)Wzp}W+yVr-aIN?6iz2>r?WZtHmY0wyhB0B^6y5>u}oh0}5b6aY% zi9{Mi<4-fY^DA|>0}GjD9zu-A zLl!|+7Vodyzo&T>vXiy(Y3D7I9ZR=t^7KG+G%=JX+LY)U?9#F5a(7rW|d` zljR@{AzRj}S#ANlu8<{_nvkW;?s}3bm*Et{P>4_i(u+S1R!;`(FwRG_5?ADH&M8gu zMb9p2b1)E*^Ls@8pD2Sjk-^Hm3?>-{XlNUKMhRa3;4z)ybeDQ&&XqJO9cGzEl(#1; z(!oXq`CGu^swf-kr^z*Wb1~bniEf=+KF@;37eYQG%sI)Rsj}~8(v0D?)ZW&{WHd)!k+GjHu@a%)BpW$))#K^RbvbB}#+?L(;j* zF~Eo6F*Puo?9OzJ;+s6rbEg4$c0k~a!sTO9qfT<0=dKM9R>e&xsX3>URPEBqN#f=V zoKEucuF-gWas7pH&lo*Gd(%H0M;+Zje3p>Lx7ES=baW9xWKt{F+C@cJ|*VjQ#jwLOA4K9C-a-wSEXT2b{oPxJyGJ{rEIL;hA znu%f6=nbe6D$9P>$!{B9)t5;|*=|SN%d+B+b-9%E7vPQ6JAt~HXsPUBGE1E4UT*rN z<1h5w2Y`MuATdL1oU&N#xi13sodB&FJj?wF6NtZwv-t~d44aLzcy0>6CUq$s2I=Vt zD)u1+(#f%OK7wvBIyo(LGN6nnk@`B%!yt5g(c%x|4Z`@McY1h-Grs8EPm1r*O9Br6 zUchz3VVOF<==y~MZn#*$jn@je>B9ov^92F#eNDj4zZY=J8L;LYUv%qQ0k?Gvxc!3y zjyx;i{jUhPD{&er?>d>GE#RRcuo4_!^za-3A3smP zBl`tBdb5B}yeQzYe;4q0KNyRRFM49CfG4*I_~bte_|(4$cJ%Een~?J~Z2>wW>-ZWM6AM+IE?MFI8yDd3`_QKW1b zC1Cqv0gV?5Xu3>5^GyPFJSd>$MFBg1DWJ7@G^1ZUR=}>M0Oipu+scC1BTm2NH{t-m z_@X~*HF%~Pl2Q%hOUC^c_JHF{&KihLJMlQDl6uGI90kImqu!~x$97DD;M5SPFdqHj z9&Xv4^AdfOAPDAhBCpp|N!Znko=Wnfr;@zvQ%NP}R8pS?_a4;Sq&%mRxKo%=(=&94 z()n}H1(2tG%p3xU>TGE5BJKv_hT2m}nWaC6w@6MUWtP*83A#v5C1qByTX8E>PbFnm zD%vm7Q%RXsiciDOQ%RZC>Muofb1EsbMuRHALQf@SYBXeEQFtmTvsM`og(Q=L$f=~v zy3NdJH%=vG&ZXcg!a*ROO3G|e781ZyNtvx0kR*kiO3Iw4AwEOoR8nS}hNL9~r;;+~ zYd|Rj@R8nS+5t)Y9SMObk8J^S0d`kxb=5=jX)(lESG){zMz9VT+GYE5P z&^(ot`L5upGUVWKR3!5~;Z9W|hdU49WWFywkUBUbgdTv zivNPrNuH%&zbtX6st8lX$f=~vE0Q0oFJXS9+gl=*`3F)aDmP)KVni(24}}v|pKMNS z0edPbGez6rYSKv?h)YUg1{w<^d6x?Lk>s5^RG7A+c`7M$4`121&j)C_PzlV7@ZhPW z%!mFHp)P-vhY)$mB;Kx~#SPsqC-W020#&oH2o{Z|y_>6QcMj1~;gTw=#?thF=mUK7 z<<|E`B&U)x|1O2PibPCO*)a?f2Jcf zqQV-Y^i)#j+fwaRVzo46tyLdw}S)@gyf*8h+bZq? ztK26@_O2LPB{NE_o=Pg4MYVi-+3cS}Uv-9>Q%U6$sHNkB@r|v!8}JFM4r+qs6II25 z&JsJ7R6a?=lSRRipz_HYAEvV(Q~%(ETw0G zbEaT^LeE0Q%<7N501n5%;S|$b%>9iS!`LA!W^O?|!<^25x$zvEIc18YgBcM0yJah#q?Dt)WqxXO&g(*rX7pAj6Fo`M_3 zRjX!5PbHNe5CSerBa!t~Qt3g#aito;>8YgBs|3g8YXqmKl1i@@9M`l_oSaH3eVgF8 z(2d~qR8r|Rg5&Bpg45$crEeD;m&6gAIh9m;tsuEjw#e{QQt9oY&T;uH$YET+qY8pk zN&R0E0xqm0u{GKL-xM5I+ZJaQc~0pOGIF^B*IvuXl>4Sm=^d?ARTP!$&$69nOq)Wtkiku%t8StHyI|mal<_u%yE&=I#ep)R% z%5o~Ha)lbuIG)*Ku3SkpPbKMAaOH8%B+13|yO6z3JOh7=xO{^q7B7|DOO5P>8Y}(S zM(_s^>u4DBbeG03l_zl~NqUDp{$SF_M+hJnDo^H2lCYUF7RIf30yeb0sm$8ZwPzTx zr@W(~r>z@Df8_m7c=D$Tjp$wuK37M1(Nd=}Yg5)GJ>y^r5pF)ykKaZF%<;12LVP*W?hZ$&*Q%LgKKLxQ|hDTFJ!V>kkr;t*}rMm+0 z)-kTi_OUJv11ot7sR5z81Fpm5eKOwZn3utoIE8c&*h2wD@JdHG;1tsPfx16HYo+Kb zoyx2?$;vZ$qmx0#JokH9QL#XN9YMu3!G!%MoqaL2j7}DU+&KB3XLORm>Evk)rUl68 zB!AQLi}yb^IGtQTC*P`$PVzS`zoV0zZSd^5h?jILo`B|BJ_#?-=)m@`d$ucvlkQcZ zJcMT;vF@-z_;oDqPK19jz&UfHABAxTxZ8kopTW;p0vc0+^G@_ry7HKl zhz0?PV%J?vQw3bE|0WO}#v?4~aF_74a^iQ=M~bh(7+5+CKZ((<`$3=|jL|wv`3gQU z-gTcw_%~y?NLH4O1BQP{)4?H>*WvvGp5-h6&#A1i1S3E&1sf7&ld;K)$5N~$fe$2C zpY8w~%<7;~>*DqJ!MAuz^Yt=^!5=vj>$KjfxVVdIF3p&#G(s5dyyoM!(Hww*Ft>x%RcW< zK)k6N8;gk}6eoX@e5|D{Q{wYv_HjIDiuZum2eSD&n`xMn@Z3j1R5re@X$*EXp90lu z*_7-53t2r^id!Jo?uS5$s;9|Q;$JHebrPQY88{6~2|Tg#)(rr5JRZ&X*KY^iw?V8K ze~D7==fZHsF7zO>dIHa2>@n7Y)%AFmb8w@tEWcV{awQ4h3!=O6Bz{+Com+_CLgF>s z@pHSS_#ft$rcsIiAnR}9xfty42kWor4LSjz< z=WLrlp|0uOc-{o$Iola|7HjWMK-M)F+@@A1F_)o(urG(RbCbaAoIi6!FJ|a0gsxwS zFw!k&NQ2NhTkFWLmKhm0sjlftgifCU+KWgl899d;aj;FY?cYE&ZDL)M2Lk1NJ~?dx zuI@j9I~_Rkmsf9l5sL8n2)hA~=38EI+(`Ph2)o?Sw~@=ogdPW9*=FOBl__}8YtO%- z6d9~=r@^~OgDyh)m*a``uM2kb1tSQ#smu|zndV#gisMp5`T(A&rPYcbMe;(hqT2{5d07m}NI1Yaarudo>?F0jFQ$S`Tcd-G**TNUsgNXz7>Q1!Va5V;Uq72K}9P7o|)?l@MnN#3R zy0WgR;bsz-=Odmh=CgX#f#l;!&QDJzD)N!fHinU1!g~yfKSSdF`G{dyL|Z?l9ZA|R zleRJ+Ex%T zcLdx}(1*AiM+&%Uxq$by2zc+60&c!ez%9=Sxb@cpZW|1B%S+t8R=|;60^Wa(fV(~< z;O^%H-17?oNBd(&@)Gx-E#QIk1w8m>0Uvw6fQOzE@bJq5KJFAT!Xv{3JjzoHUg8ry z0v>y}fX5#a@Wi(SJo!HYJ~lGyC*aE$2>8ld1bp>2fMlY`{fxkr`%8go zcL1jK0&CWko(!88F&RzX+Luk`&1?YP^Ja}KC78V&pfEIRO5WB)s)D+g1#Eg&z-DRX zf-U_s#BH4{U>l8Fy@Cr`1zdQwfckp`T=W?M4c`~A{f`0~E6W(6X^Md6bpm!=ETH8Y z0Xy#%(E6-^i(e72>%Re5fIi1DW$GQD z&y=ZmYGcaOJ3-8p$zM?hEb^%?3mbOPOD*5YNew6v>HaGRw+IWKMkW&tJPnM=*BQA zwMK&~z(Nh9QZ*VfFdZ63rPeCrp-yp<1u7K7sMNY&GNUw%N}Ws5mxe78^_J8YWg!7H zj7n|QfFvozFe-JPhWHE-!>H6Y4M|G~45L!#Yd|Rj#4sv#freBtL=2-+7i!2rp`l?^ zs$N5eGDHodQWt4ZmD4vgj7p7m8QRYnMy1BO9MAH_=Mo~EQfnBM+9Q~EpnxU(K^?pc ztzht7PU=k`N2tr668T|tOUb4Lr5Z-1E)hQo{xQPKNaT zjA2x2j^y3tv>`l#9NTy8sTN_y2}KFWuZ%e{Z`@P6g@98{oInkuQg4x*athK+mWPH> zsmle|&l*Oh#<_22z8@j6{LowS8F<-U05a?|#YuJ%i6u5tsqq?(Gn&?0R$P**jZ|u$ zmbV0RSqXo@tUo};a>niIf@}sniux5>B5bbZJoymNrtUD}{g) zs}LZjHA+f#NCk4T)yA?lY;B}cof4PRaICRI8>!UA!h$n&*ajsZKt_;GU?Y{fMW{G| z=b;L+BXg9?`8~`|z$@q$yMz~~f2FdC*U+YSdPxp< zngpf3FP)JJOLla%kxIQN1Q!Pkq<6@8V;H*D9)JwLwJdq2ja2Goi9@|dm?}nWq*AX) zey9tD`6(f}CBjxl>IYILYD!_IVni(24}}x;sBBJb0c#_bnxbuR7PKgB19h?gnlZ=1 zNZzGFek6IPLKdd2Xxd1n?&$!=<&UEG!-WYn+0@aq9dkx;lDQIYw3bSJ=m^RA6D7CS zQig7qllqAih8ka37|RBYeD^No*QF*HvawPOWtBUeQy&;aBI=2GiNsne_3u(VYLQ_= zTD7b-T1%y-sraCZslyl;V=a|htT^hOnuzoSX+J~7rdcB5-KAQZClzZgm0GT3R9o|t z(ON3CP^qZZDwWIyw#yi6snkyCGSqn`UliVUl(q|sdT@wRYpK+?rP`?*YiY<@t6i+6 zQm+c0N^@9R#f!C6>NUYro6e3;YpK+a1x+nG65Z4jtff+mv}n{p!}yVoF4j`1dw&4D z%O54uE*H0!V&kD3P#~;u_pu*PhY#tsvluE`OQlx1)g+srhs@~7YAuzXwG|{4>Df!5 z3qvNBRn2qz9J@& zQ(zxeyg);%NgjqS)X<6O#-Y_z@d}p7M0yq~X22|XI4lE)lc6`mzSh4@nU`Y8XPDC& zFgKoKGp8&R=5#n2{+5PTQ$?4HOyDZiYAS)%RM8cJ&?>cL|Q`)d)_lri$Jw zI4)wNIC<+(@{HiPvK3qj7sZ+(wVEnAAOu|VMk1@#RMA1faUmSRsnt}`Rf6N{ID%8F zsiLa|$0c(VCstEMZxbBX(Gi?lO%+`uI4-UuI5l4?db{Ab;*Q{q)l|{7g5)~fB15aG zqT5Bmadzs+Oy_ab)b6ooR&rnf)vu-;o z{iw^Knh5U+%B24j1sh^cM7w?L;Z3)XRuJAS5B&-#+{~!&o+c({_R62k1q^UK7e;2m zl|LC-0y8k!?shU4{lE~~U2P}R@Si3qvUlxd8h@jqHWzlM)Nxbsty3picE;}qz}iAC z0xFwLZahLgEV%A;oKtoZ_q3$(dd&TPf4rK*Q&mQv6Y`oc-znogJW;bZt+4F7MC7^_ zDa2Wocs-5BFdOc!L8Fw#4vb-0RWal!tyhWJ)G>aijAnoNXnY;}dxx7Ur zbwDqdw`B6STh`?*+!1g`MlWy4@KiZwBwAs@%Ud!uU&~2; za@OT7!sh|-cqGclm?~N!m!a8MF00&oTnd>Feu%07C+o6vW$$NkGFdibMn+6GxwmwA z?r{IH_$-_w+_(bk?5iWq}tt{eT%Qy@MCZPA1D}&-AzW^)Heoe7r`kf5{x@`j=%8CgYw^)A1Bq!S7u{Tq) z^>LRXpcc=#ahSO1aGeYljySrRqj=AzdMc}%lXuu=-z?g zhhwO-I(K#f!$2qV7=Fh{KF3dklP``xp~e*7g!dIZ6PP&~Q&dwAa??)${j8Gw37Fxq zv6&cT8+4P%heV&jVyNCB2E-N2Cht9W4U@=0+2 z95cZxluS^WaYqsTx{}a}=&UMJ%A8F61Vq2UV=^%&k_jgDQ-gNL1}PvDY%6ki@Qxmt zSOV^KcqXv1kckQR3QRiFsK2aLD z_}EPi7me@MVHpA+!xe+c+&DR#wS6*67GbF~7V?-B6AtpYy(83AA5p+zt8#U!?o zVHGk=z>D(*eEC8FU%6bsS8oTPRmjrF(2f$3Mpb!c{8^I537(fF_>T# zvH~Dx71B(qg1T1(Z2GK#&C<#RTPm@C1*?!L0=BIaaKXg_E_|DSdfuqx6DU08V6wW2Ua0d1vJ+R*s)7M%i9I){1*YOpA~TN4+QM`GeF!bufDn!wtRfyt4s}S|atU@#>Y89d(ajOtzOsfzU$XbQ` ziWya_5Q;t;VyIP!vWQrPXh75|L_?xhAsP~~3ekY5RfvW}twJ;;Y89d(F{=;_%C-t& zXv8Xnn{S(phV^VV=^E0H6ScM2Brw!o|@ENq_L<@&yGYC`M51v<(|XH_8?y?L z+?HZ4E8!2ARRUxz$B0#k@LWkkX$x^h^TR|!s}Ly(r_U0)w5Tzw5Fy~iDg=lbm|=8C zmN?mJW7!%GtwJO&r{P#*N3BAH1!w564NC4oMvzX#DnzI_f#;zLvU8hIaefc86YvVU z#V(=Z^siJlG5fyxX2DZ0h~W9^HLwbi`lZHDLXn^)lcpAf0JRE{@j!J#+kiEL5(QQv zk_Od`FsBBsRw06?{*i+hs}SK%y(EXbT7^gssjy^6w^kuSuq$97y+g(u!_c)Z0m$4c zLmYWls}PApy+@d8c8yhtdEfGPq(^A&0WNY0-q zc^<0}DGW8furQVltwP$6UzeI-$i_;s&?;mwiKr*$B{EhaQaoyrVM1E9tTkg5BGpe7 zQ-?7yp;d_BsCQ~2(i5cp43%1iNO7o^=1C=D6(VF*Tl13{s}P~0R;yGp7f6z*=fEmN z@yEh~n~Fg(xn^Dnx^G ztwJD05Dm{`6{3h-s}K#(V-=!^Y^x9r&9(~B&}^#^mdWKx zPI`(cCfQaY+knM}4i|s@*Ft}XRmejKs@#Eqesk!=%kVaO&NiOw=vnXy#T=k#p<)L7 zGdvuYfy2qrn_*w;zgwASu;eq$=?s_~&#{?P77BAZoD6?sRv{u2xC*tJO2jHea9o;3 zaMmhBa9poOaMmhBa9qSjamFe{a9r66u7rzX&5*SU5dyAxBay9Dh~T&oj^M0Sh~T(7 zj^M0Sh~T(nj^d0}h~T)6j^M0Sh~T)mj^M0Sh~T*5j^F~T5J7SsZjn)|5K-{BIu~RK zw+B!K5vvd(;JQ5$+ggPPj*EGV3#~%P$mIfGdo3qlV-><$b_ZY=O)>p%)sA&BLQKb^ zz8!Qd<2iQ6;_#7qQ}LT)6~da$wF+VAz|9yl zunM^Y?*U+hk@dAMg#7(kg)qmZum2nsmB%WCLp5d<@(UE~*j6E51qG}^Ucc|3XwjVWfk%thA?6k@_Q2$zJRKSRmh(;G?lee3L}tVzrd!sF#?%RemO=US(n~h zlZ)wDyyR;=CD@90U&Nb*Z{Vro#oF0cAX(So_r^`kKz_+wpN7{QBk>q+!`&lj1+h%Y zedU^+C$R$=#auLk!^Pw>Uh-tPoW!T08c-njUT~l>h;mWoWVqYO48^b5@2yz!;U3A* zZ@}hH0V{mfM!4iPipcr=mY9M_hUTF0mg9+LC~gXp-#{h1qJl5@a3XULf#Yo<*D0v7 zEL)KL1{K*{17i^3`hzTvjlL`FkEe-hRq+!^@0vkvjtDNoosL~1iI zYtYZUI45cyBHiW^*kN2stB$@h8bV$tZXF_gJ^>z|j`BHG>kyF}ePCwMkERw`xw2Lt zZ@@Z4__u&}SHQo76HjQ$5!eu%sC9_2d<^V98?t-}Q$xt|q^v`vL-&QvL@DhiYz>@> zbqEEzyAfQ@%fsn}twV&%&ERlnoXZ=t4(ZSS_6u&syDEtUsuTjHMC*>yX`W zUuyAm08gc0EGKri%6%u??~UWfa*Bm@$cGU8$r#F5hcM8|JdNKmlF#w;;N%PBL28IV z%aEVqnZV46-30X`H$4>SGnFI>yNPjlCI;CC-6ZlM(HVGP9b%(9<1YYW3<4)8IvRhd%eWMUkVqz&)M|rPBbD zob%VVwy!w%{M}vqyUw3nJ?Z?e&c^eFsI%Mo$&|XLyQbDP{c;Q#nzQ`g(sOG!EXCbP z7dr^dcL{-a?y7Z*Yinzq#1GyjGzGkX+tZ*QUBRI2D-RNRuRKWDee^`+W&$J``@~Bk zdXqsixe4sOO*OUaRyf{9X3<-}xpvi3$J;#E#YjzhHBrB}a?!e_HOn0D24WN0mmVZ~ zy-oqO!IN0cO;vKifu>l$zHWn)+{si6*RNW;XvMPnx|)skOBU7CEaqpfz5CL4Aem(H z1NiOZK8|0%m_HXFhPa%*^(nVY8ghU7T5Ql3ZR~F7>|WH=)Y;tC zRl8$D!@i{r-3^ZSku-T=Nm>NOB^UjGK_`m>oZ9$$A2k?1#4KrOYg^pVxU0+Y9yHi= z(MI`Z-g(WP9m`vB=+OI^!ITy)!GZCHMtrUlpWAG0M5Kods=_JEUl{Mv*4$ zawdXvxT(#HHY{7WX)V5#+qDXtnCZ=R^~=_-uiL85b(?BxFhL-2)f6WoaGK*0ZkXmI z1 zx;iIK&!%;2*43W7&MBs6>9Qp#XN}X39^BYhDim;J)G@^=Q^z!?Tu5eNyPZr{&2sun z0N7S)2wVf`l0G|-u9X{>ZQN8-=M18U9c(Zi8y78KwrInKMO&RA^e$2NP`Wp5T()89 zvgNDREnDiG#(g z)Gl_O5+^t3Y8hzM#CZGw!o|Q22vs9mzkR{8V wk zBL?nsN`}PhCGEdR<~Pg8aIUOk7PatKnhb8;uxz<=<(1MWPRr2N;~LN?=en)ymoWfdr`X;_Qt;N7(e?VtT_gnu|ENQ)pWH=KaFqzT z5y^gf7fHd@iuU{IT_go>Q+yhJ-bGSyjrvOw-P}b|@OBNV01Lf~q~KZ&8Q3qpi=^P6 zl<`oW4}C9pf+-p#WsQEB#(|EvNmXjxOBjKQ-2k>&DG&plL2O2+;1-g(?%;=5ve8gy>3M;k zahx^a`93{osb^4q{iSX74URkEpimBhzlr`8OrgLleYvhl!!C2&l^Rxout8SYackct zT;r(^jig@SO~d_ZLnB!z@CLq)kVrlXyrBp=EuNVI&p}EWh}5ekhXr0*0@><4UCm97 zJEH=MfD2-9IQ@f|J#z8}7Ph0XRqGoe`nN;$JMOOa8n@~k#3g@C4Xx8=QcDI|KvMUc zK%ar4KGc(8TX$DeXGeEE?&fQ3cHECjjTCsZuFRoAcQr&08lt&5L|r`{mgr-KXd#H4 z$Jj}ox|zM}J56nEyBk{DkE`(9jSlqyo~OrxW46Mx3(a{vh3DNu6H|DuWYGVf!V@Yq ze?mf`LPOuFD>UK!Ti`BjYg>!TbKDZ+lCgdh_}l8*jxkuc6Ijrs*cdREZ`!w^xuFZ! z8M!4FGAoCZg>8E_Gh9QA)D{R zGwPaVjRRY;K5wkw+fd&I?Ziopxdf?td2Xw^7U4joinuHj-tho9(@-#bTu3O(J8pI zXdYX7gwuCX8``CzyS1a81Wv*G3?>=DtcMh6ZtQjn-d}VlvpvG^y8&NX?`Wr9=@i^$ zLeqVr-{PC_1$Uc((!N2=5162ezIFVPM!`MCKhP=aHR}Z*EP5MqS&(c+{!`M(fnu4q zlY8M?ORz{SmdU;F;7l!5u}toTSA9lun=IDJz3^&9`^7rB7rssLY4|z07ha?OQbaeC zd*RzPr~)i>axc7ALk1RylY8MmDdV9|LMHdZf4($7BZfI$3M2a<*y##=4tz~at zX1ME)oxom1s(lH(OPV@j#lok~T=yj;R*H9nShu>*b2yYr4XJZGd!`0wPro$i%cW~$CthbM_Hx=M>T&)S9yE$zP$~Q zf1b-S;IAOb(ibFIzXeG)T17n?fyd$NaVIUcvJEbU)qmr;Wh6GWsOjwPYC(7-+y1aq zIRK4{Y#xR>1FeV*_I08K>tSKl(YfDokGhQf^0d09&w>GM^aiqUK(PFC&V(P!d3X!p zy^3C%@3}{*Z#@m~fbE#MIkXdp7Y^!ZYubZR>bM`d6Un^-v@_VP-_zVWb=Hh}+nJ;n zHHc2R!*!W5W$kZ4d_KhZkbMnZGVkMDt;_ye;4Q@jJ#;E+qHua$)1!cHn|s=q0Z-q9 zpL0gRaU~p=(eZ9LZlU8YIDSFLN8w0}#~JiqM+Fv)m+OwVNXoKhs`NmOsb zxnwpZw%*yAv;Wf4*my$v$Wc`8s+p+dv%oQXZpb^kV5@|?EwLSw>`B3+sx0Ep%{+h_ zNG3my-vYOgN`6OuOIycwd^e5CHU@pA%S?O=&ra0pFF@D_U2-5D&@2~1{Yob9geM*u zmWGTx%Ka`PjzS6UMC7wkFMc~@8Zcw%c@=?vtK*K{3Jus*176ezII-YLU)qWK`lac+oK4{BOou%*8 z)!BmjnpGRIv9qpj!&b-lK3?=5uq#~N*4nJHh0uv`V|Q0g2R7+y zsMsd2_ijgKpjH(wYwzyduWwY7H+Z6Yk0>o%($U`C41J)Z-JotXsEWdkdm1{Mo#aj4 z1Hxfop0YRtlkb(p3zs%;?`c`pzN5oQ-t5hi>^OzYhhck*C+iO6aYHk1`|1i4ywza* z!rFc9*o3azi3))5xXl|N>86Fv#^&7(dv%)Pl?tm^ znFojen^Z#*my2DB_-DK(Uf(HpiRj0Ui)=7@pX7hww`3oFutOuOWG0NcRT4J>ce_iG z@d-TFL&mMbbe2J~khx9d{6mD5y&1^&;mJVd=z(6-))$GCjzvPS>8yvAFk@QC9vVTw z9-`KLH>jRK>u3f!gdmEtK?_*28%2LEJMT>NLHDCcO+#T5Af6rS`CN%b@pY&=LkML69V;dkffB z$H*{S75m*QfqWF~DfbS8@*PZq>(P}B?M+b2-Tl(XP%`v6S(9F-Nhc9tSEO%ZB_TU_aX(bRjZO;MGA~$5BSr8T>gUSKzG&zJ!(A-#JPlp_m#u&c@w$ah(_yCAIr*DUmz z!!S;HK}d?MRfME*y8f=as+@^b4o52u2oqS4o{RhpL_k00XH~fd3>qcH7#tENz#hhy zky_p0ZYq>|jn;=3R&p|42L-kc3T=J&(L`*0N@Mgz)%TOCFJN|yOw|L&s!zeod>U_B zE58^SFrld7QOIY>Y*W8dujQyCmNJt79UWzGNJx1CbPO>SSCcxed>U$`2}$>7OY$1k z%2Lxm1|-}S}vVmuYw30`~z^X-w zwE|hv(OHBwskKGQ8^#yasLZOAmToM%aX+o?anTdkB4_!NCYzmcx-lddDMZ0<0cIsjqiop;zfOf|c?R%x! zJt4!S*%#R1BLXMd7a(i2i6T-)+CSQ?{rg)%!|%glHJyUMWnKqH+j|geQkh|Zm_|aC zVrWEbc&L?#r$t%?WN|r^Th+D#*awq#&==VHDapKuuX+J)II!v5aZ7Q85|PF74v zfqT}oM!t5qv{rX<7TOM&ezs9dv^`6$q{&1pt1PUn@?Z#EP%eV9emCj1P_h zVKr;k23oHF&j@zgvuq#cK*&U!y37jta@*z=w$1xnL0_p&5f$_UZ1WDZ)jufgA?!kf zvjzQ-Jc3@uc}&o&EEkCpk?<=$)nle|ki;K;X{^b=12cUC_)DV3mMMJ8B?VB3(fH;XpeQ2icK0 z*p9>@A-PUTL+#Ks!zDU2Pq!m-SlF?d*Q)FhIXusZJR?jgGW=B0k)p(gUu1a1!Xx7& z2)C+EI6$=6qr+V4@Ea2jKa2)jP0`^8b;s6hIQ%d|EUly&VxR*>Fm_mC+>l8)ETIZT z$X1m{houZ6t0Dy&5%eLBrRdv>rh@0Nex4JVGzfpwkVoNuOm1*qhPJb8bNn< zlHx3&vtYI!V`-ZEbB10*woK&b6rQ>VO;%Lu?0vBiqL5qTc4%kvv zE}$#ewziluRVT`+f>negL&sbHIYF5!%hBZFf@VNC=*_ZlqEs?gHu0t0V7rtXf^c1} z$wGOk(O6|=j1dsUogOl$M8UCq=`lvvt%~Zp!-JTz20X)5i6I?fMaoFjvMlG$v=YQj zTTuxz+KQ<$;n-yV8f%!!nw|?F9f69R>|c>V?l_aI%$po$aY35Qut+hrChK$!ni{sRkxv+%u`ouQ9(EE?Ss9KMjO{v_ zSxk9h3c4_y71o=|wArCd!>DBuLb-Ex$S^A8FnVn|lEmTdBDz#K^m(gN-Z>YDcARH9yWtJ+k0b|C84!?*V5(|&$@j*DO+`@_A z^l%~=7ET0Jp+u+nitHX*@ge#AEzBO} zi?T=gVmpE{%9mI-M)^|f#wcHA-8rNDueO?qj@Z7Ts$UciRcs>J`7|94OO^D+p``DZ zJw{8iMZahx+&#+ZXw*%l*nq|EMK99;n@o3=BtkIo7_Ms%KRT&LcVtxs!0r-fBDR~V z;wlVGfbH}u3v%c9h%AUsTPg}d^60dM#Y#ABogVgltm5O-R#mk3W7TdqyHpCDVPmqd zVQCWY@FQ)9$0EdFOoty8?eMbE8sF(06Q)YevcIaGI(tS5`dX-}+P5PZ0?>QoDqgsY zAJz53xkdCq&Mi{)x@*t5B@pnsr%$YzYGig{N@<<6;=B1~dXssmBtC1qKf_zQ~5YglK}1sV0>0y2Ov^tH` z>h!R#bXuJePOCHH)9S3S^s2qhw$tjIu!E?uJUbMYs_sVAV(7RwCsFw_KMa=YiOLt5 zcdS}W)z`cpf#MsNFHn4KU&B-r$O)s^F0~Q_YHwVEERRc&6->fPh?Oaqy?#|V%|X$n zA@Uk7w26XRt5fmXC>vtd*_pRi7v<1pRZ~8P4#(MG3lN=9H`)SHSk)m}lND;%n_>}6 zxi(v!;oLBfjJqYQ4SKhRSZ%-aOaMBG25hsFFed8rtsALcVBHwK7h3oK-b5W0bN_e+ z8qDP~EaJj4oQ`ccrd&Js2lRilSD=*q_C4A$Uw_)I*|8K!<3hP+^!0E}4NX1NG$z=A zBCk8++QLLT*0C!dAM2B&gA@w69Z^=kgqwRty^z+Bv^QWb!L=wJ|If#WV-jV>Zu0^W`G`erm+X!i?+wkovJyP`5WRBMkRtjnUU zF0VIfn>N1Y4>UAWFQS&cVLJ2AYc(Te)mF)<_*%8hNJHiO7V~q@Zp{imL)gk*$Tv!}v z{Z`3^P8N!Y6Sbv?FBk&B!fCTwr|ya2?8%9EQe2AQeL-Bx1|37xRLW?llTmMQsU0HID+;QR})YylG8hcf!u@4XX^%-%^d<4g^YL?vi4_6=% z9=iV(S%27|Q5-9yvlaC*p`t!EuBeYQ0tvEF^}4gHqW)Lfr_UYN^6r`Cva&pQ_bfAP zd~D#|v+B#e859|FBJztW#(V=Eyyl9`u^gqCWpybK9aGr&vb9Z`v%?{*^)feG0iI`- zTPVQutsA2qFVl3N8nXoUyVU*HQ-I^U3f9y~rZzvAdSo-f?o0#6{$niyjW?e~AU6|= zRhQ@&otaAPWJiM1AQgGZBnD8ZeKVt#MR(3fM|q*g1%HUrrpDk>aE1wCE_}mO^9>ip@>K{@Pmy9FjSk2T0O}Mn-Qq8AhfpI}eVw z)7_Y`u)3v?wRd2*6e7C^A>+t)wiMfP*L?(eQ#&CPP}QM;!e^Yc5u|y46%0FTYT*)@Cv)=&;WaO2*n4g;~U`S1ktn z4z@(pVm8DDr0hIsc_}aJ?7qULP;fHVW?K`I1(N{x9#~iCJfzt|8IL`OcwShz^X#_C zHf7JS^J5%MbzWdHM~=u1HXcNnn*{2^JZZ8QMeI42>yWN6VE3|VUr7!2lM z#cE_haX?(jsc}7mm89A-C!FSbV4y!Mny>l?MA7;YiP3gs@)N(_y~Np&2*e zMz}0G=EPq2Wt(wlk1D&ffevQmw;drEMYF9NqiBwGqv4=v^`avEV-%Tc{KqJ=qxt{6 zQ4|he4z|dPdL1D%vL_;pp}@*zmUUyu1j7eICKx`wO+4YG5q~3T=Yj${LK{4cS8Np8 zgIK=m!Q4z&V~o7#iNY7*lx}G&&x?atvIMcaUl8wHf|TeXuF~)jSM*30-hT)B28heT zX$itLeku!Fg#jrlry`U@)F;e~Z%Wj7G??DnS%a-Hz@F_I$g^F8LMGZaJi}!)It>^S zQZV|^D4qe4!xjs~j{0<+M&rAZx!bsAVwX8k_Ge%z*-PQkXz?=S=)7l z*zAR)Q1m|9AGm&uG`W5IjP4=p$Eg01(vls=2D97^Z6vWxtaU#+T_t@38^pFTVkh^| z@_b`fZYoH3EZSo@V!RtChINOp0xZhb2Jx*ww87WIM zQy({0Z5VK;sd9X}eLII`Bb8T_NvF}_wo{$KPBkLTkM^OF(N04hB5wnR4b{s4)`3(Q z_L67;`h^OV|@Wg_nyYUn`; z8u#pBnY%iAa1CEhFfjNJDqz{Ajm>*_Q$+R7OD|<5EXMU-yKrN}#%`RR-z^`FMtua# zwY(b}cJFCx=4G_YJ34naphh&Zew+r}HtuO|Y~9h?s9e_L&e+|}xHJ~krG&kOXQdva zwsGff?`du8p3vGpU;AJ|qI(XizS3QtNTG(%6zkQ0ATdP!Y5J$TUV~EL@L)lrt_)%Q z-2)e2?iHc_S6}E|_Nsr#D>~rq_PY;!)2rNZzyIOKZ$Fq=RI%<#CxHvp{6qesLT`s( zb>N%7-sBDU4{Y^+v#u#b{XWb8hpm3a`t2b;%bzGk=Ke)G^bNgq=o4zfur=dt?JO~O zCal6`Ae}pK>4-EYnmF2+Xxm&mRy#U7d-aF%<%x;DAX=74M0l=P`^^BU@fvT~ zV|m2X1%7u$&FU8xtZC_4h%qpD$B+HbK5^SsiG^`_)#aCYO{;sn)4IL39S6KU-|))5 z@oQ}ik%O_W5Dn4J5Qc=^p&a+ZB%Eq=?Ke8i8s|*h-r8>FYr4>$n0F`Q#xxC_I%VRt z>KWBj?1VM3@vO6)Q;HSv+uqvUb&4$BKvdoqbkg}gi5S6fh>VDnPLH)av5A*HQGw9^ z-Zh1#&3jwTq=N3-xQ};Wa@uHw2GP*gEY7peYV2s=(b@vF0i6ak@-06Q#d|wcmU?39 zyE_}uJfOy6h8GcG3gc~~9i0fm@&Ia?s$zYhiU}`-%pQ&E0>rM5yO!gML-lrWpp1i{Ko^g(L z-q|vFo>%#tx9jM(d0yr@Z_iP$WJ~#LBg$Vpv*siIyiKaMJm+^8`Zr|-cn$ucgMaWY z>-P3px6jyMdVjp2xcFH44~0D|B^?gFX9VQ%CRF-8E< znIm!_Q?f;lh!zSa6)0BBK(<&h17Q(}83gBu8E~*-252KPO=+@31hSe}?ikVWg`p4w z8Mmfo?~;mIub+R!KXd>5gI>v@UEbF2kIwUl?<>D=#KHMJ@4x!~p7#&;hi@yt@60ta zjJpfB)=ZqRF7EMLww3pcfokt{b?<9}40(KQ`F&%&eXdv3-LrGzocA^-*i;M=Nmsm~2EJwrcj*byDzUm|qfr?SC zJ{3~-`=eH$u}n=G@c|Z5{vxuMQr5^`q-vM*cqLL3KE#v7Nz;Fezwqu5z; z7}-8mRqegQFjUj-(M!FvU-ee=VrIASsQk_^JWx2vKciMW{#*gxzPVmSx7T?<0}mDY zmwA^R^>5lH{_eu7lb%=pSTf=5-r-%wy{YH=3+8Cym&y+>sN40zx<(Td8&m!$gq0s& z)W2th*R|R!slM*aHU8mNJiENrt~c|s!wbA+t~d9w!y=}1A-({m&nMJRMpWbs`rHl~ z>_Gi(_e*-qhUwBaa{-y{`jP!7)K|RcpK4F}*>Lofic{T9>CpxJd(cr6j@%cYXJc3! z#!j{HG-15h3xB6GrG)p|$ z9{w-v`9}S}I|2XNHIZRV2C)FI->=rZRTG37m@%U_yWadpMj;erjObr`O-y$sj9 zg9`nk7n%GDH?m=yt92RO-mC(mqZU0QpW<&+{A|ttSgV3y@MG+r z$O?f=g2)Qt?{;?v+Dq1|09id%UGKKB7}$f|^0$?HuQ>;ndi%GmUEx1ie#g{GFMVL^ z-n9oK^S?i8>o;QonC+{#?u}~tUbkO$5b8jpa5a9Dg}*kcL7{)$!A;nlJu>gf>%6m8 z5Addfc`Ra$Up4Q^>tS!8(YF--ej8m$Z~u;f#|>U)i?<7_62E)i)?Z^O!QW3`zPjap z@65U0g$G{pEBC(rNB;aCjd4i8TQ5XNODyC9!%+ZiDqxd$VYmN~p(*q#u%K!4tGt2! z+~2p}=8dmjv-2viPM1PQ3V(Oqk6sc|>_4BY>!HVmfC1JqNW(5IxC%ydp9Y)JBTN+Ss>>dW45!r>lVz)GaiLVNCH#i_4vo|;( z3j^^9=@Pupl6PBpmxdi0IPFF=wm^c7W(4PKG*hygoob+?(jNQH5oyo2Te6FuXPXDO zEUAY=QtCZ037v1 zhK-P4*02#yemxOi@`d<;2NFtbA~BrzQM~ZoJc20{_x^-&4oeTZ(7cJ(A)c*SMDG62Fy()G1Ky!tO!k$D9luuVmALa0eUVUy>_&a&n2BP-I?g(IdT57otSkn(4=O$Sl^?BoR4cyr zsC5|>0(Bfr2&iM*BpNKOfLTPuG0XN)+bz=1oq{n*?8>K$Hsi?{eW zZwM5vO5pnL@IGOdwxV`_bK<}5KfkA)*~df!ke~{g z=v?}^1hwy|AuOye^eX+Tmb+HG?{u-0od6~YXY{cXwO{jNl0TFPJC7M5XwN*oG5k(n z@4XT2$RQOD9Dec3`+?(L?Uf$#I=f%E|M~0IT;*Ll*GsK_-h1eZBl`|x``+u^;cs2L zb>|BQcRug+toBN~-|r1RY^)f@NfVXy45^S#a^ zTd%sq+vk^E=Vgv~?S~R2=>PaQCF*7BF^lO$d#U{vl{5>Y{hj=kA|HRjA5``?`{`uz z?Z{u1%^U2m&xLzYKmY2);^Km(2ff6)rx!g7GH0cs*#esHM`)HGEO=Y;8ZWW9=;=kD zUGzC2UY<(qFkVD`c1db^%;yX>yk=$Un#M$UHAzZ1d1LSw0Y4&wuQ&L7Wni3V@KB^q zj>Oqz;*2-=p&0mAM(`+O3vx%QWvMo}G{=$$^1BW6&<2A#S#q2_tVDfMaPsJft;glZ ziSuT};g@Y|B5{@-Ouh|bOX{6?ez^A42;S6tjj36tur!r8607Ky5#J)5o|uLB zejYH$pSI!J2(KlnL`Nj{;#6;SJ_}-&B8PIr@@qBa9f>gF`AyT+5j^UA7nqZZ*>Wr$ zzg0RsLbtAnBui3j48MGNm<0MKkOn2f?nqpzKP{1_li0ux%GPzNOdNjIZ%-r+@>MHI zKD{`_{zAU|65q9Pnhi>WDnh3x!^J2B`!VVHS-pJ``U`{@O|z(oq)S-dzvUmkSi8Ty z9zs_>##i5HzY16nKfb};*<#-Igd59FM^E>jp6*BhR!+-#9HA@gsDslbcD{O`!CBvs zz5VU%$qnl2X=!PO5nxjdzGL3rxF0n3q{H#|!kyOkc+R?awswWvc+Sp_uI@#9TH{A4 zYkdv*ST$^L1JIazhd+Iut*eZ}Bo>(R2SfS_ZO{ZFI$9=_e>fLI0!=<${T9)i=Xm4+B>vB5w zGkLvBJ?`myn$7h!fjoPwpz`$T!e9t*}d+bO@ zZxUNt{gSLrXZSoDdlkoqZc!MkIlCo?0cQ#Gxw#mz0qhsoc{)|Q>|LacAF>o`UYe05m%tYWcf?%cJkJt!eLNIq>XnEV>b z`pEb*jBVPezgR?*CC!fEV(Vm{gNhgGpjk|*@(83L2hvPA8O-5iE3LP7&FvC-BtRHB zU!ijA+0aKih;#_;B@oX+IdjD84moXh0&+r|fDI6I%T^wPYHM!Ti!Pcqj5%ob|~6h;1q_b zG*l`jL?9|AOgO0l8dl3m4OJe=yfhr5W>z$#?C)^Eo`imq)i-r2x3kc(CgMEeAZGxb zlztKzLfO=fTG5(MZ)t7s>TYOnY>tR|BV6(hA*-)hablAQ3aHf}(}yisgmpA0R{Dw% z>5vJ`23hWAXxl{djIL}g@%Yp1u_wex_M<{5SMyXLw3a5-7wQAa_*avewmx-zVB5-8z=Zi$RXL8jV_NkZ;1!R-@n7I%+N$bCT40Lb59{7%H@5uwL9fKH)_g9+Z>*1`|fusBWL|fVg{n!h;$f#N&kt z=ip%t5Z0;NC)};>D#CUXPS~aHfCn#B*o22X2)C%)C%i=6lL$LaIN?5ZCkch=l0r|wCF-#6ie|EBIF;qTQQ zw8wwJ{|AF7{G+;k!au7!O?YO7q*+BcO5JI~(dzaI$EZ6=*rx6(lWpg8_^0AQsR+&& zXHY6q@5aMY5w@$_C%i=6X~LV;T}60{2`9W&-9F)M>P`~AtnMHe*TMfmJjeyXm&F<6 zg4D0zVJ--Npl+Y=HFc*6|E%sR!v8Vhgnv=DPw3z-3-Tdcs_q~czXLvnFyw+@nmB`8 zka`&&#wJ{@Zl7?Ky3>RW>aHT(Zo&y0)$J2DsXIx?J3qiP$i;c^ci=%T2;M8sAQz=* zxC|cVg0Mo}KB2yk>#icKHsOSP6D4H^t2$!ncC%i!2lL#*~;e?IqP7?l;x`Q$E z4*1_`@Pvod?GxUm?kd8&O*rAb>JG|v6#n~67~uoz_6eU=cNO7hO*rA_)E)464*us& z7~u=*_6a|)?lj>S)LlyWqPi;xHw`eNhmOs7P(c-h=c>DuaErRrgj?0^6P~B;B;gS} zF&VZF0nK>Ow+P-P&Y*AI3Ge&xussOxR<}?1Ep<;K{I&@v{EoVlguhmI&>m>+H{3^( z1M8X!@Gwt=zPf$F;p(15c!mil9Ifso;W~8(d0G$uIR;Nyr*5CHMcq||J54y@ZgmIk zdmH?>n=ryV)$J2LtnMnpkDGA9N7NngcohCmm@vY})a?^KuI@D96Y4G{{FJ&Y2v-l1 zrhVO^V+|hm5yBdEmlCd3cbagWx_!c0bteh0$AdodIvY#=mLT9fJm@0?2gMomk)!b5 zhllM!_<*{7!XK)865*>RobWYuCkg+o?w~!GnK@ID*K*5;?-{~VqO+kwCh!b37bu36yr35TdVO-Ne- zgqIQyQ+Ea79y}N}pB9H&-*i_Hb}CURVVAnogx%`)347EX#8$lqLyxOsR;OSn+oKH+)lt|HuK z!U@k;caS$SLXhwvBm(?SN&)x~ZUXo)9_EAaNp)8de$s>!e#wL*3Hjq0{eQ>9c!WPw zw@+9wN5ZQJ3)Srtrqmtep9lI+Ghu{P>h=j|tGkMDjtM7RpzeT28V8U|@Gu{QgVkM1 zIK+h8JP(C`m_M=2f@vVt(9?oz@sb*Bl-)$J2js5?pcC>|Lpwsikrd*2@)S5>AzAp;B$B(7Bp zR2fRaN=unZlQeZv`XenZ6bMbIwTn!q$)pKPk})&Wrdp+b?P_c4prbf_5(k@+^v4oUkUk~xI?_K#JW2Y3#!3Gu@dWArNIXtD z4{seK&!my_EUUp^68Gx09OrM)80l>iPmsPz;z`mWjguB7?&VR!d0Atm zt0kTwT_f>2(qW0$lfFgb6G&_L_A_@U7&(InoQkwo;`O9)iPw>yDe(m9SrU(vUXMd% zWNhns z`YDMgNIxU-I?}@uPm(^Nanc`2JVAOy;&IYTrl>L|O|8BS?B8&pR=oU-L`g0HXTYnO z;LkPM4rF<&6d+EzOyUXBH%PpWbgjgbq;J+Z>02b8AYCW%IO$%AdzBi(`MYqSQY1T7 z)N3koe-#Itiu7v|Pmq2?;&r4?N<2yWV~vykMB)k35sAl1r(ABU_6NXzi36n|nSjdy zeUkffNf9T#LgES1S4+H(bgsmcr1LaRI$z=m(pHJbNjFN|)5U9Welre~f@D}lJzbFd z?{H8Tq<=5*1nGMuUPpSr#FM0-(m3g-C7vMNF7Y_&35k29_&Cl#hXbV`IjW*wDaidR z94rOte@Hw*`fG{Tk)D5rXqfax60ak@K;j9~dWpwLJ0$Lv;tZUhjRU3N<%=as(yF3f zftc`C94rv&GKnWh-yrci(z3*pq^mVfx<=v&(qW0mN%u(HE6^P{AH;zI@$x$)O0q*m zy#g`emvFE^qCl}hV%FOLCx1_uPkbeIm7tAs61nv!^e zbg{%!q}OYl^ahE?Nk1ZSPsFu2Uxp(f;vSrSOxWV2+a#VKeOTft(nmB-`l!U?r03v` za%jL4@er_Y;s}UfWh!-3dIgdUtUwZ6fh2KIu^=iW@p~x_Vx*HLo*>mbY;~l!3tmr} zk$4?xr^FMaS&4gjcjG*#F;Xr-ASXe(R^uQl?8NUs;b1vP|5@S*(tQ%IBmIWPNxvy^ zPu_l>7PavIK zqw0_%y-ea0NT*1=p7e5w*O6W!@dW9sB<__3*;Q=cYIj9<;Qi%?a8R$Lk4ijAx<})r zhc!-_3@32@D}9~xKO~+Y{k6pFNYB1f<((u=NW6~p9Em4L&y{$b^d^ZXl@R1J}hys zY+X3d<3QO+$d0m+bG@J>pApoQZ4XYrgo9-x{j$Upq+gYI9qD5dPm&(gIO*dOPmq33 z;&IZKO%pvEIs1VTliQ);KKM}a5b`++#wn7lUD=5JH%2JkQr zYLN61i6=31a_C;hAGwg&eBdjbc_Npc1*2Q)YVr!SWj zanh?Lo+M3a+|>9ooKMFQsIh@`ji;Czla0x(8WTw4V2w!|C7vLCqr_9Bw`-iVQ{r*b zJreg?i|1d_*GYdU@g(VCjhnLX!}$RmC_Bmb)#bap&1JG5QCKNX`ri^yl0K_(!#jfW z<2aBN$$zTLepY1vjlxQC(%(uvLHaw1*O5Li@p{tpu97w*t&?~?>G=|`BYly?6QuPL zkCUD?L(-C-E%AENgv9Ge&yje7^jwL@NjFP8X{y$Y{N~|6)ks#U=&~!omBs;-WVwni zy8|RIQIq^e@OMaZl8>t>pL0+li&N%!Ml5yjyFuTlE_*u^;L@i^&~c<&stlBRgf?-S~J>RwW&g~L4xa{tv*YSIUAK%pcrsOU11i7K74;IEt4#c6TzZ?j>?LkR zK^CZj;T|sNLWw6x7fZa3^md6SNi!NJ?UZHQM-H1~R(=W#$SBp*~!Z@NtV zV&b4?PNcOGSI^bRecN(^G_83+RA|I+6Asz~=`4vSNV`re0IYIiU;9jmc zt*D7}6mK~}TBqXv92ln+(=AcF;x%$O+Hsd8r0f3YF-D~pmi!< zij%%vSp~od^gg%C(Ppafze{lk*FH>CY zt3a3@ZSzTx&q?N5Mk2tHKC=pTa@8 zq)$scLHc_YFDFP}5Ij!$x*Aax=^Pa=#Yy`#4i**I|6Q!G)V-uraMZ+>l1!86wIB;6 z`bH4RAa$?F-~wPwsP*gT(Z)Qud`5O8l3g3KyHTNSCOa^g-14~6kAqbu9h7*2bd!pg z6Qr93kCQ&GaneH?Cw*GuChz~Fjs|{)=P7&ejG=iP(lGG3!^wD7&OA?Oa6Sq6Asprj zKZEnxyytP4XYLHnr{!+PVV;6BIG=6hQ*r!9WADJh=Vkf)EB~?B#W)P#_wlUMQap2H z9(6J}pDW|DNIbtlgO_P)&UyMTc#h_}3*~VbJ_e&%V*F1)d`^YuhCeEOJ)Ww#7{_!R zDI6v}pGV;%2xFz^hwwjyTbPyj=Af=Ow)1OW@&MA#=ybr030<)i}&e7lZS5$&YZD+aLz#4UE^}FgGR)&RYtfz+r9` z7@V8@=VDvhY~CB3d+kFw%pSVIx&8eE9A=B#;M}ubh{Nnl8=M=>U%+8DlMT*qCi8Qp zJReQ3+}(>~Ysu`|ne^OQ8^mFD%nZ(5tphmBZk55gSF<07*@H3o)mWas4hL7!D{yel zydHm0GKUZLXgTt(>49+h*{v&XnkEVxZ=-R)CV=oR) zK~1QHo9q8aZ4J!m>BB#klDiH6^Cx_*uEzfdoA}@J!HJ75^-L z<2ZbTt3ytTna>%q4BllQ?klOcGc=MJ93a#Q8G_6JO9?3$D7dzUv+tLwbMrH!o1aUN zKx2q1;f$3^lHsp#)YfqFZ3kmfjey0Xy4J4ZcfhW~XQEc}dja@h7XlZU4788hSY~h~XX2{< zzO`_E$m^<2c{z3`kPSGLZRi}-g}~3-f!8C6Z9kHVWqu6269W8rl71Za!xj?zaqG@Z zxmaaT^{VMa%+}$IAzv81q_#$>12I3$xT9-`XMWv{R{tMR(Q4=)yYj<;2%m)X(v{Nw zwKcS1U%H8X!{bTD&d{Z0+Fi_%iv(f}ab(!$$+`@Px#CN`!sCG~m1;gn zotG-QguNb*NwpD(Y%3V#K_I&!kTPp)qD%OF@NB~&mO$~p#o1m9xeyr~wUA{%xO|YD zl~1XX^M@G2TM*fW!_WEiID5`Qp2S%mYV&!{#@UF4T!XV#n3K;l1f&z?L1NVF6-t`< zA;$1g<}*eKzXqPEUOu#1oakWkd5Y3Ko{P+7MGX)B1AQ#z@kDE5DtJ1PfN7*H{H#nq z*MX$^Bv8`nf5WC%f0>p1YGs;LR)}jNM zaW}vZbw0eb6 zfW^cSgyV*cAhftoSl4;No<9jHJ%0;gEWI73p)3sawc46(_??8q4jlD3*2$=M1i3<7 zC~yQ}-zg)=e}=Bg>1@dAM3kv(Yo_BDiFAO0sE`5ACd7n?Wp;V~7Cierp6GnoW1sYR z4&aRAu^&v|yA_c1y}WivYs2UJERgL`oAPD9C?12cG2vmoW_j041+^pE{XXB7|zt9x~gl)m^rbV?o z6PUK(JW|@ieCI;G&!GMzH?I8xZ80)RTQINl&yK|oLMwEdN+U=Ucutypm?*X!$OPo> z_t!{cyT1 z>cr*7qAo_!*{9fn7WHFjOf2da)X)v=k+y(GdZdjm0}xu>Fz8rTcNa<_R<{k@t~gp< z5{FnFOXUVy9q$x~)vX5uV}3AJ_cK6#5AaRLoj9|SfLV$cH<(b)h$NVq3}-tw^4Dm> zIhIAO1TqnY5^IT`?YtK}o2*oy2eQLLjsn?lA=l&P+cwylZ_l3xGJ-ORtV>Pd6eY&+ z6nHqt7M^J9JsX(UslyqXJrImv@M8uF*WM9#chI z8_huMdKG}!_4)x2yB041@k?mDQd*Ffa1P4xN~@Ho+bc`c#`eku^Nm+dLt*Z)%EJ?S zImPn{WbFld zC7+WJuPopU%@qj7E0^Mmc%^)R$;^!mtL1T#lJF!f$|Avdr8`>PMFm68zJ-4TNXkM! z2ZX)9&+|PX*I39&ARQKRF;vxSA=d#}XCcEtHe1L8K<=}Uqd<0B$OSKs#SU1=UjrGj zkX1mQvyd%7>R|?cExrn*(L#O;q{Bj{qcPdP_^GZ3vcW=nfo!pmjX>(qclfD3!&DYB z0%W^|yo`oyl{p2(Zwuqg!b5vLfEKn_{-?{A%}!T0iX^>Ow2`T`@HEzxx{?ZNL*24%6d6roa_oM#$$=u5$tBDBKPGh`$e`?kk*pI4UM^pgBhM_au16^7nUQ z)PwOMpOGJrEYFzJ!zVmGuq4>@- z701e>umtg)xset`-MZY*3HZOj$!DT=m^ovG} z-QpMAv1Q5pVElsPocM+JIaq5b+=$lMWLhv%(y7i)A41M^t~5Oxb?a-x#D3`Um=X4Q zAlprORCQj74*K}3O+J?a^Bc(IGvDJe`E&u9E~0@((Elv!z? z7j4*UQ(_F$0rQzg;epGjn7ZV}I>2M=xdgCq;8xsM>{-(R?efq!h_Bj)^CDQ#1Zgp z^?11AaCzcb^Bf$7$Mk-`MpEhh^k+k@zAx0X`C?3w6s=|zkV1L3TAp$Pklj##@7+Bt zvxU412xsR$&!>U3!nAzkn?TwuIASjZQE9I}uf zFqKuWUjy;$W&BilXk$y!jFT<>oi0CRru4z%)5Idgp4~?K3Hbi)G2?^(mX5_9w#vhk z$8k);NQ0`O%&)~*>jwJZS}=$Yz7_Sl-C?2+?!*C8L_V}tH!HPg1s?Ii-QcnH!%TmP z;}U3|9#dO04emh?u>*bZ=bjHfhBG%PA4Hcc{>4W{SwlYOZTeu%|MsrYRCK2h0$JAvE9%AR z7Z23b=sT*jF|)YAyJjNvi#MQu5x;0ON-~!frC)HJFMd&ZFZVe3#`25h(7Zo3QTukF zUtEt>koZOAT_f%~%Ggv-6*z%@u?6cU@r%lPxmTe)zF$0uHuZyP)2+(JsAvD0iJi{A zj_vjIB-38!py=-S&h~oGrKY{o5haqo}T)y2caJZ*+S4 zvF|vV1pA;d(GOu{d;`c7Sf*c|p90xvA^c}vCoJSLARU^36x#;ph>nM#i0`axsTKJZ@d6lMj2X2Rx7a4tSu(P@u>C((}06LRaOKz1B8N*qOSvW)q}hK^6$c zE8mSPey{Zq*2;Fc39UTcSOW5l3PtcNF+7Uln?N>O$a6rpTgcTIRCig(3Ltwe_z6$rqETjyi4l^|0!aoJ1!$N)l+7hfo!ml z13)%eNDZ{Q#X@EQ@wBQmb{i01hsK+Phb_pv6=zz?Ki%U+SIT(GpJ0}oELl9Er|d#2 zil=<4)?olZPg#nZil>Z3+6z5}W4Cxp5~*+!3iN_C$VbMVhak(%ie7LX){HXle8FWv z9`u6gm~V&|Jc~1O`@wj@KFOE?DPJ_|YLO6=}h z$dy12TgYM{$1J1(`Fn&It1Cp|k9|758A!noiK5QW~fjny=uLrUjvr0ebwLqpPgUH8#G+M}`Kw2&2 zDInV|{|`%{GHR z<2aZ=DQT2=>LZeeuJrB(w7Yos6$!MklMlU{ zcZbeHn$cFZJ5eba2YJV6hL+%yUm|)1c5|c$n2GX4kAr`NAn5_la=Q`a!5$!q0!t5& zg49cWA&8kC;3=;M;N?#`1zHRp?@Vf|L!MEg1fD&HM={(BWWR-c3&=qW`EMXcE#wuD ze#}Cy19H+r$}AzOB7R{kQ%Xq9cjB@g#$%a{NJSV+rk{9ORUi(1zKvSi)|A zFyKHimhdVt_%otcrUEP~x(AxCzjSm9~r?#Yx{)6bC|ZVzdih%#dk@Oc`K;><#t=fIT&nbVWYmS&_> zxA~_(sZ?6lup=ww$l_j;m2=~WBde_nS?e8HiyT>vj;!Y#S$nIHwb7BqN8zkmG@Ymk zA&om7S<}&2W9e|SBa0tMv1M@%Xd#X42`r@fwkl+8b!0t6jyHyCaK6D5)yj z#x_URh$HKOBWtrGYaKjhELqzfS;rh%2OU{k99f&IkhRm1b<&Y_)RDE#k;P}x$Ew$E zN7e~P)?r81J&vqBRmj@w$eQ5TAqP6kS{nB`vbI%W8~Ytu6CGK6`q7rP-;uSy3Rwpo zSqB^)?sjyz&XEzE^}vx+`y#F2H4Ba3f@*|JVJvNlyA>$oFp zjw5TjBkQCiYg-kvPCByoJMFcrCS0#!N7hNNJeB*X=Nws6oqA31^3mgI<6%b@--r`E zSC++_U?{df=FXbp$f|c_9dcw%s6rO+*4VOMaCFGK6}D~caAffvgt6*X@5qWf^*ZUu z+U3aN4*6KJCOWc`j;uOIhX);5FH|8b>By>cWbvsFTZelbS?j8hHPw+-@5p+=k+s*6 zwW$hODM!{p$2KrE4%KVDBWq$6{b7qE>$oF}PjcBh-0H}>uL@an99ew(#mc#9zawjt zBa3f9jAe(599f4QS^FGW8y#6os*u&@$U5Z6+Uv;L;K<@G!dUfM?#P+<;RAGmmj;vNkR?3m}tRrh@75!naBdf)c#rwLJwKN`gWUa44R>6_=tkYg2PJ7+w z$U0F)dks6X`0Te;i>BjFy>^79H}CXHSb6+f@5p+=(cvyf*03XM2a2als8^A0&d)hH z?{so5IXQ2rBIjqFoOd`m7o42)Ue1;Ev(eEHpAFMBm6dqYUPsmjN7i#y$lC14n&`+n z=*ZgQ$Vyew?pqyMNk`UUN7g-#tlO%Pwat+=!I5>qk+s>8HK7Vw+Z|cc9a+a5S=$|1 z+p3VY(~&jBk#*FOwat-Ls6y6mN7htF)`%nPK1UYceG}`gZ1Z~^S(6-Dha6d39a*ha z$lCA7;^VQlf9-Z;t#f1@_WaB2I=VTZbb5*HPR@BJ=MFFD${IW9)S}L*1@G%w^=jPc z$l`l{!c$q+VMkWnk+sK>wce3+qKbNrII`*;S^FJXn;cnnqt#1)z3Oi;yO6!^QodL$ z7vu{=7(jJsN#U7ERi0$UdjU6qXVPVQKOFzmJu}>$$*SFY6aZ^9LEfzH_6WkvZ%3+o zyi~@1L>5xjJPIDRiXTqx{zbi?K_JF^6FZyCjx;^i4ZO!O;U{Ki`y`6~YKMvUCVoBD z>_~qPXLeRIpw=mK=gysoD{utV%f1dMrg&DYSTSidp7~2VrYv7Fm;6eHHE6g{m?1nX z>PF*1c}y}X4@+j}W$rvCQB+KvP-b4Y%dKV2=M}#^kv!~>#^7n4%U1I9!AT&9tkxA1 zfK}ol`|oh8$GS{Cqp91rk?}RtqXS~TR z3T#Zi1t&bL7i(vS@%UFOl41gneC#~T8U3qcmhrFK3~W*QSNyo~uP-Af+uzAd|N1)I zj`mSo!>6}gfo%6hfTi6VaR#M_0^5D%6w~f4p{sJrcE1jXv^yR-h>*p0zZZwJ`*o2# zZ1;LNPNjA?ZMxNK(?T&4X@_XPlXw#j#O)Kg7UEq1a@Th8h7{~}}S`))l zlnVK0AYOZUmLNG(tNaS&C5qGhoSG$|IuSwjw4Nn=6}oW)E#YfFH^dXk$Q24i*1M|zS~ zU0o49$=8tm4ya$*k6YYWrZ6MjoW{_JK*dnkcxM8sj+#^)@tJdM>Z^1?HM{0p`#KtxtMYN6eLO)_-)9gxVskE^V;t(5K4Af<#jr|(3#K!2y zE)Q+2Z44Wu{WL*2@+=MKB6cwL!}~;HKlmzTvE+AGU^k=864mb1p`8cMOq;SLmHkBV z=r4{g0gsF_dn}L30GU2D z=!5Hl?Di11y`Dx5CsUvo#?xM2nQM|>`e5X7oGkR(%Y6ooylk(3@!IP+RDcH-LxJse zi`QO1!N%O zd_IzgK3EvV2aWx_5j7V7VmUsD_VR;iuM}oPEXAMeUj^i$Y^=tuH>I+@mjCtG{`C-U z-bkPM4Ww}UI<{BKRmQ(wi!(P+&v&92qURN0U=97?)ZKd~=MWY$XM@pyCg&dHVZ*{n$jGu)tdu<- ze=i}0*pJ^%CT7~pljZjOF*A01`FMKX<r{)GwF&^N#eCBc0#nv#oCUl%(J1NH00kTO8?oPm}cBj`WR=^d*k;6OQ!t zr&Ra7j`Yor^bSWl)oyEk<0(ns?nobYq+jDm=hq-@>6=eUI=>gE^U?RV);ZGWIMNUL z()C{Mo!-o$Cwphk^ut1X2eSQyeBbFQ^_BDy09`UXdO zrz4&FNS0SNzt@qz^OU5occkCuNKZP_*E!O6pOW-$NBSH`I@d*Z-A};3>~`OKO48Rk z(w95ZCpppwoVxEnCF#A6^j1eY_xtU-#~tYhPf7Z)BfZ0sKGBiB%#nWhl%#h$(ywu( zbIE1b{gBh{Bc~+2tdsQC4nz~IZSLT_u(bz@}_fPC#c16yI zCFos8|9|4-2E5I+&tRWq@5}WYJt~XcK)gLhg?t0Z2HZj5&UWO^$zRYmSfGzUe_oku zt^r~jqw~LPB6P@ne&p4QJ3@E`SntX6nhD3jbDyd^d8n6=Ed9TA4g%&ZOlD%gL8@Ue z+sI}IxyM1aJIF2v+3O$&9OSTr9CMJ94#H=WrOeu!@a|ctXKUJpnp%Bb<8YX#fo)>( zG*z(!)4*L&JJojM1!f-An0KVV;G}8^r<%3Ic#4-#Z#bW(`j=8Hi1xO01sAmv_4j}t=K7wR{40m*N^G!EF)&t=Nv%0&aQhfl(F)P)l zfYbx=b3VXS2+V^=EOrFQ4sFl)Z)iP3xg5|%s#i~Ho?n2+%&a6;?aY|>-z5aO9LR1& zSyMq?3&gatAniaH{90@Pa!l(`czgjWFBh9rKf1wc+%`ILb)TKT*i$Z`wW2E?o{Z~!6P#eqvw-AOfMn%@E@=rw)FD~Am(XR;aLKN!Pn|tK!&XnZUQn1h}4wN5#=*o zs#oV;zT~sV$>$J}`e4q#0B8l|UGz?xKx1tNn6c8#BQ3oMj2O1CiOX zX|Dkw6Rn�NGu1=3<<)w~q>qi~^cEqD@EKDPk52SR+!7l6=yeB^I|m}i@D0pXv3F!;6j z3XsIDrq{}3R&?jvR>wA4vVO``mW@3RWG}Mxz48*=ZJusPZv2q=m3IIEHGMi5wTi%Ef9H% z+i3OgffTGV-veZ`C2KbjGv5$d-vx3U`teKn3=o#t*VxN2{WuB4=a~$IGc+HW4P?Yh zl>yQ@+31-y>IY(v4(|hEkIVlEWFmOa!GY`$9tM&E^=;!vK;&&xQ}^eA%&}yhkDGg@ zHh$}GKBR!IoI zAo8Z9(P2VA^yio7A|Mkzjj^m(1379THvriN#J84yAn$>#LY!{FcLLdN)%^oN+Hl>k z#RJU8;@Jm8-cdCr{62ZSwqSXF3gm!Qujhg6yfmn>mt2e954^tpGy~b~rOI?=^LX4X zw%KaICE(eNRKCAwfOMjoeocpg?Dg_tdA0)Swe;i8>mCHpa;v6~0HLaUS>IvKRz637 zTm!_Ho|uDLST(&Eh}p>jE5d9bo2-1U0|I9WXg&*Mg2nR|AolEHGY~?)^v?sady=mM zp?CXjdJIUXRpzti%3RdX=W-y6CI{PV4vegoaQe+H;6>U!|(u*#eRlDCi& zbGDH80!diNCxJ|~>h&!ky_O~X0LWCN^2=O150;5jLGXl`vY+Kvs>{K1*phV(5Pzk> zx?B%rx0Pxo5C-28J`BX3M?K6`lY<)j4v@Mtf|~z15DplAK4;8_r&v6bfxt}z{ZAth zyXSuckd2oHWtD)~W7FG#9JF}u22u+yU;4d3_F2+*09kJ#j{r#`8UA!D|2q)#W(Qai zUI4PrN_B3l^y-o-lU+GDR6?08tzH41-Cz(N=CcS$r`7J=KqMq%eQJNK#sD5&3!WDM z`xgFwAhvBh2xP0JjlDqXtuj9WO*_?6gw7 z9>^S|IMYit2*muaRmtb=KxFSUsdN4~kPTKo4**FS9oD=AxuM#j@OAJI^;`J}5C$JP z0c3b`u*_#H#AtPHP=^-+nSfNjR%ZZ7oEdDdIY34%jkN(`@MYy4WCM`Rmh=x3v1S>VBuCiKL^htq>?g|XAO8pES}oyq^Fg9Sk{Yyj9Ai} zfXp#^CQEDqkSP|=tw8o#Jl#Mz7Mu-!5Cqo}-T|In7SHE^9JKQJS0Fnl2V3VUAk6Y? zA^1IS5$w=H?3^>1Y_XUdDiy4puSTl9R(rJrX|ZIj0x}6X`nWK~3S}f+-Twvvf`%2gy>l-STGFfbI^K1Zbf_Nvb`TUJ|QXA9j_EL7JR4&X( zH<+yKas*3Qu}qxM>4J<^oHMKUdrC!Z?{NR1|d7uD?>J9#?YmL08#V_t8x|IdAE1Lde~{%}RoA=U*UZG^A4 zy7dXO%%?P4r(u@H!9s3e!O|OUYR{yb<%{5LFpB;h8ny_HdPQ9v(79FXvC4@;RLp*i zvJK_3-3!`Q_m5GBCbj#ppzQ|Dd4q3X;p(PmWmjkW^4XR7+)`xT-uLF5R?%pQTD(NB z=RSu{$(>I#2!3-L<=lfMwMf7^Hid?D( ziO$RN7pXDV-7B+QcP<`)qxEFFavg(h+4&lr+np@{j+t|hN&WAeutE)&c<-n^jLqtL zu6;eShp}0_9>#JXn`c&6p}b@eo*eA?g2tC_v=ao4G-$>y-x#WhG8|h}lx?-lUsxWn z*+x@p1iPcy2%aeAMzDDb4)kdeY@>JMZ43L*Iie$NyP=`6Eh~m%YS_`&pIeNHPk(!J zW&w_HXB#1HlrDXIqWrGWvWEzf6>TDtH)IzPJW>5l1Y4E%0k%s0&-fJLnx43PSE1mw zS42k;s}$$99Q`(ZS6q(t`h-lvTDu zwH6%JLAkX!ef?9R;PfpKlaglnkKHhlzL3@GD{4Sx69wiC`chNhKzDA~ot5j$janyO|TrO3^+tARR>&ce$r6q%FRPIZ#jvwe+TQT#7 znL5FuzE!>BO92s5sxf6W24qy1Bt1(fY0vf-umnOaDpnyqtFNd^e)B+nt+Z!l-j=?i zl%PuHh2?y{wTvPRkCAgjb6-*Z8Az+NfGW~hiBNPak(A9!<`r#P0%=i*3?z^g;kL-VpOaym6~knmRZmzdl$;0Il)*sIMkmlEm+x& zeMWl}s3P;(#av0-i6vzGIi(ws)lKDouOkZUyo%DKn~;b2s;*Uqx{kkiGgHV~n~vA8 zN{3of|H|=anx^{N%d4>GTFP~s4y=3p88x&-l&*yRpnfh@uqQPBEYmFoz48fca7jJ^ zzpAEDPFF_F-MQ6$T{*YYsHPC?2HEM}oi6tm8xFb56xFBEDa@M&Xb$=jSpr}oG zTLWW>Qj=zXV9kB0<`0$!jGOwS zgU5wcDkl`hdAKt>pzSMyEv4BO42)9Zbc!6Cfqwn!8<@#Wjsis%&s!NTM zRNBa{n00ioQ?UY-x}Q-J*j7-HaXf7g6ADkVD&`B`aVy1By=efN=q6{O*&uKZxptSM^Ri`gEsO74f#xBGzda*ax zZK{tAb=-go+o4X^RODkXm2zz?mIe!zE;gx$9`#kXz+6xubs=g&qJ?AQWiGZiRvS&Z znWP)~xT9#6v(C7!GfK}KRJ(~|@}*?qRblr{TD`G^+j14F<*h&~sbx%t2w&0Fi0};e z$q>&*e7lNdQhrm#%5OpY%uIK`^Pq<=lD}Y2&-6A#l={M33vMCO+(}r-Ct8YaxuJRG zo*qoS#uhY-sq)!XRj|%34^+>bPIX~{JjATc*7Rs9RHauVu&)E@jH*#;XpE-+iczXwaVVY$Nf7WU| ziH6+m!c^SNAMLB3K;7g2(ndt`sr>3y`?BgSEU#apgAawA;Yq%o7? zK%Z#Zw^1sy7*)@f28X(HQIivK$PjBGYGxPB88ywT#97IvL(=>ED|Q?%XO(%%@-^+; zDwGZ@9m-c0v*0$jBx&A8k6ynQq4Fl(AsF7#bROm%Md=P}WvxfBR#H)zbriivuvVMv z7@7~~98!HqpIY~s?zICMd?q^64ZG%(_k3($L+lGQRLbMQcCy4W`gwhQVJOGx4L{Ss zYnhCC8adOS>mM9ii}OORZ)Qt#W(Yesn9}2^c|4v^4~k{hWQ!RJm@zXoJ0)TVGpq80 zE3UPG4gW3f}2|XR}1us{q8`9 zRhGx{XQ(A&xe%M7)G(vDscB}De&*QIt%ws9$1=1K%TDK|hq z-yle*GMTR7;q1!3)#(Pri+!0c>^~PX+{%NJahDPNef}nM2Cu|2fa7DvOF* zJ8llVexXVgRlOA*_QtPSeM_+d73x?PdPZ9+dh5U%JbjZ{nk$z163v=i_Rbl2^h8dtltFdPZYk%6 z){2jXlos5G_A3>7Aw{(zvQ)0^tI~dZ#M(n*@h(Qhg$yz(6jgUp z6jL$ziT+Jz$9I}CnSuUsRLeAcltxEydU*wvYMeC=dGeZ6S1(>X>B9U1{X`%7EDo=n z17!w#Sii12GhKuI{e2~MVZ}{B1J;urn|mmSck|FisbSiBXQ<*%A1%Q4A^$Z1UhB`^ zF*uYdmRA~8VZv7T-ge3~@O?1k(^GC7mwGZP@4i6Z%3r0S z=|OC4$n6w$HGGQ4l z{vX~Q^g3(b=VdVAV3#iyyU~YddIpEOP!p-Nsw`K0MrfvQ5D%=##bvT$jIkTKaj?6b z&n?Ohbmwz(l^;e~wMi+(6D8`--+80WbS$Q>>O~^s`H_B>hBHJAF8Kc%ffgNQbTK2P zv2Pew!Ey$>+jpP;r0tsGazE7c!me@JJrjq>Y-S~IC*eyGrLNu>?U^ssV79cTA(K|` zH=53@r<@*-NooCJ-h|Iv>GGk$FkW}f?e2#67U#66CJZ6klnVjf1>YJp3l=;eV^&}p z)L$$^FJh!m3=IX&TPWV}Z}&B_ND_Z4=r1(TpD>B2e&8_0QBRcyZm~fTp{`BD!5z@^ z3>K{}$F6|hEDg4`c0Sor=os{d1ndbYjo71>>95Qvr5Yu>DwkQAElM|9lyND8)$yu< z{@j4{#mY$7uV@pIy2-+|9UZt)zF3`-IoG^}XBr-9=JN9e#xgx~_4+aGls)C9@#s0T zcy5Q+M#cq@XR!aUdwe|c?cALa`{^*Nem0Uljf=3IQ74Q3q(n8ivqqn7_N%n%j@6K; zk(jpHe?gvV0%`hsQ}18}=36sLc14=ovP`e{)=q~v>C_iV{O-hSpK!Y(AFF3HgB&y>mKxRA%?&8medi^q^p08S1K>cZeO+4K0;KIT25oqk7x|fp?pVhQ}d! zmt5LKK6_y}QCfGFr5M8vZ4X!#dRog=(DW@;H@zBu{k}BHZ>9X<5i4V@uNUy0T-Q*% zRZ;j82WmoCaa|N1DcpywRA~z3pHrb8aq!^4`9^Dk7O^)d9)LMrX%Y$Ya#__;z1iwpneaEyozYvTv zMtTq~NI%*~o3bXldAvT(4~_7)tyFB)@Gy2(hl+y(n2VOyW>#bO2uqXTK?R!!EjJ@OMPY2q0Zz`4v?_nv+sk|i> zZCDkzS1XRls-(`WOy!Pd(qp?>%V9CoF&H?31`X#Z8xGav10F}mq4pcKv#PuVFe`eP ztZ$W`!CJQ;l>hQm5y5&{hH7eyvU)oosv9U5rAtt|N4P`bPTeDC0`vrF{(#vkAr(f( pNRyr2i#;j46Ib+C-*)e)a@600GX29E^&MH|BzEUwOgO^t|3CO!!I%I5 diff --git a/fdmdv2/pa_enum/Release/pa_enum.exe b/fdmdv2/pa_enum/Release/pa_enum.exe deleted file mode 100644 index f38b510e42d41835fd73ce3f16eea087908606ea..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 134656 zcmeEve|*;C{{LN#Zdw|KVOV)PC(dY{cF3`~tF4A%vv~-GD( zuGjVcwX-g&_4V=jd`bAfv(x8WiITs7{QLd?l%spUqh8q0w_=|+2CnQ?@W#NRsaH+U zn0Dj!zq@hrwHa4TzV5o~gBh1!nQ>$3bs1M(myvtUxQuJBFTV1G{{8p0lyqU9&sWgP z@B8}A;|g7Ft-b+$`t|C4urH&R&$n-HpAY|49)R8y{GSCFrDGj%%1sDM`Asvx%P00` zH+~rgmjgHkKP^n7wU=+%BvbuS|4!=dOG_}Y*y-il*f&9kf=&otIWvg*+9M%71SFPj4I zSVPdi0R34gXLiNQ3Y>8H^y%pFmGPgJ_QMI0lx zDufc$gTD3r1TR=3d@~4#Z3QoRcRbIq|6lzH78oD;cw9w$_QC~uBYjnk!Sryhg{f2+?|v8}_&{}7aiv4@2G3ppH> zq=i0Kk}T&;AcUHnG@yjiEvFdrJSH@NWFN838R@e}rx0=1Hf6@)_UJ6aK8=SJ680Wo zk?T7`7F|4T%)rB!=DCaQ@t=Q3PK;>s>RW%N% z`UA?LjTOzQ7q5FY$v2`7LxX3HxSvJZi2G2Ke$e)8?TEby7%}1q0$MyoN!&Tx5DgZ? zCCkByz|NMH5U{iD@!FxABQq$gXH-9qO4CtiK#aSF4!m`ptvcSn&@t`W7(HJAl&1UxiyrKCCG|NF5IXik z04v)40Pjz*9{~jZz6B#;0lR++;;w34a40~>lEl$U;yB`-q$CcGlGq1$9Majcu4(16 zuLHieCu?QDZ2Pc_EdSW#^PLN($B@vOO6Uy$jVvT&WLVc!GsCxXF=>5LThX45jtt1? zJVV^(^rqVL$IWTq0JGTjRx65dpTPxCA=k>9cZHPM0J1%NAH)dC9BrqvtO;6Kp&;hu z&VS1cN(O=-o)ed|21y-zX0VrsAQ`;) z2Gpt8h9H1Ag=7<&XceJ8VSmG7Viy*LWMLnaOddtn?n=PV3qdnV4LmLs9y<(=Ny?)b z7*h{2JW9IonDoOuMi?H38hCuYo_eGpXhCa3H7{fTnENf;SW?RxdF4mo8x+keAq&qv-y}#);tC5aADAxTY_Al5e z;2r%9oMh}2c?aF0HIelwkW8i76Rix|F7RJ~QLkuDyEyX6J3e16_OJ`MqSs^h$BMoT z1;{3)W1?WKo=QUx`@;C>kKi8e)5wJzq#i^IE>E`+8yE!f(@(7CX;eaen;XoR3!+~^DWSGJ-#b8rpR&pHp zvRToX$=!U3OEi#~Uqo`bg6k_YEIOnpG~xU8E!s@P zF5wiuP2tJp&tX9KZY8a`Ip~s+b9tmPwcKbeUu)F2Tt+z;gZkV8z)2|y;)b3pM9V%t zh3X@H01ao`Ti_s*Qmqya_*W)TpJZX7D>#gs5-Lfs-+|pTU}qnn>LGe}0;21CMs%VO z^?8W?HUZJ1DfqhTdVj{S%XW$WxUgH;4n>)g=U#lUrffStT1*blRq?J1oBzhXESnl4M*_85Qul+YOe6tWv}DaH;5 zLa0*6)l^znV>me~B{^Ru)o7*wv)}n9%-3MJ?rH|taM04WJ(i@$8q#Yn0qLzk0BMSZ zrMXh3hfJt?xXtNA))xw6%@Utypm$F`w=2ZYTw-8=Pe^su7J426UGkZXGt;&~k{lxK z*Dj1?=H5?6YwY`ENRgG#nfGZE`g=Tw8)Q`c91c*N1amlpN$pRY!=Hg&{2YFr8Dkr%gb)a1#&F<-LVH##Mr{SZR zD>Zf2HJp07m0~6?Qq(k%#q3#3QuuKxn1b^n2Fyn@lxjJHBA87dydoDDDi4|nV%27J zf#rA6iePQyAmeV?<4IVt1@9lsv{EHa}02H;TF!LS&NBnC{joI}}+S$tnKX`l>apav4a zpjDp;gIXF$@?Xo|0a zi@j9L$gB;@q#g||P$t|{F&}f>`S=RQF6Y^sI(I^6dlg$SZ9Ygir>{fL8d5|{D3USS z$sHL|O00vs7GZ4p^c|K;aX~oa;F*46>5*%aZ`4}_L_mN2B0tsu7Wa{t{XW7KNu!yhMBJDkm)-8W9a;A2*SC4 z9xQ-Jl+k9o3Yt#WRA3>F1EiA0XsonaNdRmitrv(e*Md%T zv97b)K_x`lzz^QyXrovS9cyBHDX z5hewm)z4j@lSBa+p_SPg30AbzEMRx)HWAmAZwQ*UEu9`Kl~Rs4D`7N+Pws$yQ2N>S zTIsP>-*2TQ=L_5G;;ocIpYPrlDLIj-iAm;dM^2@Nt2&?*R2SV~p(~+VO{FmyR5_o`I?J{2SZWS~m^s3s z)c1do$hM{B@%~Lsv<$GjJx3lVo$r|=3yVaU>*KvYSiLe9{NVjeG+pmIW+BNY26Q{O z6&Oj@JT#q6uTr{bDiUB&AHNd)J@#AYUoom1e*353O?AcVyMa6C9mQzR`--&^)Nt>i zGknK1)M(+F2ZE?!Imlsjzs&J{%cG;F$|zzqqPFIaO4NG6lcH^bH5it+bmnJdz#?dy zZEX*s0+T zD}=k`IkRAmC$VZX+HC5Arkna{z~ZV;Dw>1Kwu-=>04nZ+)yhPyOAem>Ec$z#V(!gR z-AFP2wSv@tN)BE^PMU*fQ2qotcp-2&i5Edv7)dOHIsbb$V-}xFOTa*ygLC$A&`Dc_ zK`olj%S?{CYrC`$i~Ie1?Z{E0dE;b+Tqy&-Y9U#NIxw_+$G!1F6kuOlY{4x z&iCXO`_o}{){S28*I9My+fR@#!Ta;knF>CcM0PK16mebomY`|d(&@3%7teRK66J^Rd(6R)TK4@``sW$Kc6z*(R;ra= z0Y6x&!DA)O!Iu&P5%BtZm?L*SZRW^DrwcI|ZE|oy(;U16SaEZt&T3XZRiNU|k^Z7% zd=5VO&*<;*92v$@-IyZ>3U$rFMWi2@gTDYmp;dg~33EgU!9pKEH_Q>S1j7GqHtQ_c z!cEjXI!8E^bL3_avTbR3y#E!Fls(LmJ)BbqlFs+ck#~_UL6~5?_gmB}P2dObZ}50u zbMP(d{WmrMLv!%)B3*nA{?tkC4Qt4kVqB?tug zP7>w^cOwXnvaa|tqy$;8)C;6-OF-<0a_})E8JB)^B}ufc&U#I9eKGobe2#cYM#bO#8ub*YC%F4Hh)G_T8&y5LgZ*!? zi@*Ez22!!EM0kmTId-rQ*0-`;<`;{k#`7w9D4#ddNaz4GxD7vRb+%^GzcRoN4 zl=$w~%TEI9XTAGXDinX(-LIjPx0`prjt9T!$=g3lCe{q^#HzH?XcA1?!$1}jD;-V# z80(LlleZWRsi^fgSnH!F%*47o0AkfRz=Dk4leeX&f1me2_3qacBvw3m z%TTUjm~byVj{Y9cm}-vd#=){gsQ;9c_m`xgC+{arHn!W{ulIn%Fgp$wgONl%WHE=c z8MAmYnl!VXytC9mFRm8`wOms2$N(pAwXIxBeQ*)_du*UPdLbz6Rr36%?xtt{nVQ2qsTz$bT%^%Xc=u~IsOfIH=acu2{}Yl4PTuc5 zMw9-GC+`{({D~*;vrd)idedHz8V3Px#R#5!S`5?m8YqM5T7ae+$US-I5ChY-^fd%f zHU!~5xIRZBQAV5TDrh=g4+a+K2N;cd@-9+7LqNryu772+g}YzgXzceW`g@$6Ze55` z-N;T~B%XWsOGNsSC+}j28CsPJr7$0b5ay!|-C$C&1Wa1SW}UGx>~}3She6EAn?q^$ zqd~~FrR6zQJdBJdKAD8qOtkJRrJqi+Jtyzip;>}tGP+owM2FQ`GriugvnEjr$_9S$ zeleQ-QA|B~mkZY{->&d(GPk@nk_wIfPlem+&I2=4g0T|&!Bw9NvHl;e9-Zq9M9l^$R4{Z=~S6k&UFyp<}|N@KwfR+{IrlAgS05(5!% zZWwbU1xz?c>P{A7GTNNH1x-)hU;a^y7@H$?R;}{s2P*CyxsPcY?tY2MpnC}B`s5Mx z_jryx&r#i&BM%C7J$Z{rKl0=qpoXiM0AP*?Az0{l(5 z-3Zd>Bd63k9N)CPy!DQ z+6@DRiPz1$U(+GUkIlhPO31d+pE}e=T-PoY7X;6b8vK;iJBp$pOXOGq{5(Pn|5(CBLY)M5G^?gSUcEXw_gSh50CiFdzHk<~8P{SOO;90aa`2EU^*n7oq0S z{DMPi_dkM=ZA;6OUmUuCmhncbyJYX_?$=n-`JVjZqbw0-w%7Z0mcI}9P&TAX@P0Cy z{83EJ!BdHWRphG%fHeo7Wzxs)=HSBQdvowhk!adX>MJs77O-o;on_e0mGA%1v~B71 zSm~=rx>|{I7{c%I?$^NIf4`M386s?Njki*!TB!;AV5KaNl{5#>AO<4f4cxVKs_V=g z*>JoNlhGyz7c|YmuLD-x9I3O0E1x?+#hoJqM926X{EGX~-{U#*8;Lbfd}kN3YulCp<6 zvWIi((WLV|b7Y8d*lqFN&sDFi20wT|-{XDF!3)*Ed(nCHJ7e$9|j5 zQQh#{C4%?kb8vo?pgTFZX#3-Ga4{vOCtNJ=i`zwbevx|yX8a>yA6k{oXu&g6v?x6P zEG&xfa9!{Onzl_GZw}th>?m%rj4lIaIgpgu_FS+y47?Hq0(>Vq_(Qu91V>p{d>K;4 z<=~&yg4hq`;Q1sOmwvblx=WHWL&j(he!n4`I0ttn{eoFf99dWOE@Y#3zfL3BAH4e| zCw|!fP-4p^?YO&N(v4}#yI;HRCQ8D)U*8@p-YfUcrv_^(eG7+|LUzoj63={k&D{N( zNQ`XDx$QZurl*2FuV~B|44~)k*9qji+ubi1k*g~1enn+;?|xmy`_bS#870>kz55kZ zaSi}8m{sn6{Q>C~(`0Ie_>_0QKCeN4kIxZ}GAjP=SMFV)n&9pi-z@2RqpF8@zrFyw z_`6?kA{FaOw1;=U>V>HH?$<2|i1zUA*H|&kkGcDG5JrZU59cBPZ{CO7%5d8R_}%#k zIS`zmANNv7^wr@Pt6>}ImBc*ov%ESy%_Z7{cQ}(EtNZG(N`9=7oHt)m7{Vx%wUl!S z+V zH_n#V2=#6pz5@{7yXlx0Ng&@Bq@aiI$4x@U`+RY)f4vJF>Uu0pMUxFg=~@X1%>ih- z0eCq{tWM-a-9VD)d)jmoh(?`wv2o(?npf5k0hyEV> z@@0?@4lk9k8MEmn)HRxC z${1MsKv1w(u?0KigTHgny|e=`Q&pPSox6R7f}e2rszDQUNVvD^EFW#CCAeTPP_+LU zFBojR1LF1If&ujik13!w(Xd>~NUF0cykS{qt<|7=?jDS&wAQ1^AFZiJ#tj-!jkxUz zL)O8bPCl@?hQL%AK#jh%#MO@G^}eqOw+WNDBcrY=yloTUtoYSKvYH1%6#?~l=xGtx zmG2fbZCg4Ea5qpL+K7Z^54mE7NFojuCQyje;`6znv-_dJC3yXA1R)Mpie9f}M&>@U z^9qR}`{IjY-e-@ZHqRX-N>s+%yj^WR3d~^h4v)>h4Khdt zWuDU+n=5#Iz;=_`=8TX$hHQ-Qb`Oy>_?ov=~ zr4R#Q`Rt#7p-Tb3dgs|&CUS4N=XUh>IH0cJsBQ$*Xu)GNm&xno(KGVg5>8J1!gHrZ zYr$x3X0%qOwHkSgnp5D9$il+%CiP;uay}0j=4JJ27x(`8sNwi9fi?=yAwD0SHCP)J zD?GO~3fmTiwMStcQP_?sY^TC%?(!o*aTE@O=kmB?G$Cvw_fgpW!a0cb`_qY4giU{L zMih%M!GdhVfEAw0U^lYmN3jZ{u%akzViYzh3Y!{*l_;!cZXKkgrM8wshPgq&Wdi`@ z9YDf+*NWZfm3qGGCbrxnHR1-gLjy#{(;SUg6d6cG-P$`OD!qG0t~C*y<=M}xKrOVK z`e8wEgR}4{G%N8rNh9w_H0}F{1BTI!;|`=(dzk*%GO&P{jRU@bKO}8_7QF1f@|fub z<}uS8eSbaQhjsPD-3VfG?Y?p74T*Uqmf+YP-8eouTRt^x4XM|Q-0aW#&W;e4e&@h{_2pGDZFhAQ1H?sl(%$Y0h)3~s zwlY6Od@XWA4Wxg`6>zClM~qBd1AQ1P;LI6j1q=)jVltYm}Bu8k4tR zI8>CgcyCeBw&g62TcKPo&M!rOkC(o|9Mz4b&o9(}$|csjNI@^Ljxw3!ZkJeZ1P*7z z8t6)sy@V1WE>^-G^0YC5q|KekkKzL-Q5br?d=`i!coKWr4W7l_B^$jz_f1g9?&1C% z&I7#=(2zs!yy;bOxGT(Il5oV`Q4^2C`7!`#iv^AMpLTnv>?@2;9FCstYWPT7IH&kfi0Lut$R z6@5OHs8qj_}?oqXZWFJCZTPQL878PBbUR7GhwN{a`-gXaNM_rN-QSWyZ>A zb7B-UJu%)6EV!eP%bR=>Y$?8C3lOIIL!bhm@bZguG!wuuxzCvfXpf(i7{^iFcv51R z;BiIe&->hR3$975xkIiBVQJ2QywNMwg4*RuHD)X0F?wrBJ~NBS@Ff_8R`Eg!RqTL@ zHF$;BV>c{rVk#_dZ==^dA)0r&B9SPjMv11=#>7@pD>o^;{5^vij5ZQSX$(FI{ zl_C_c*+jRttNV#$HSr;^or1y%8m%wsGOr*8 z%|J?rm>rB}mzd2`%N|!3v+Q4(cFBU1g2~cz%YJxG_bmIW;Qc(76;0K$pLr~MD>MkL z;^kLbwhUUrvVsiDUW=w(A)T^)x-okp2H6#EYaClk*m9SmdyqRNUW)LsZCQ%Ah`LMB zM^H2IQY5Kc?w*Nd7-Dppd#mhz5-YN}JS=fFOkQ^_Qk zZgti!gG%;qcJhLAl00DHtVDj*^|2WFQzr?&l^%>O@TAJKBl%QaO``k@B`(n`5)hR? zZ_<6DSwb`!M57;2O@S7UKT0|@At@a2%bzsqCRuDqo35g)hAi(RxDr2uyvUfrkd?n; z(tWbGd&s7kun}o5>Oxlja7p*c{>nqv@{sM*g{=IElJ1k;FqKB&Uk|ZmZ+4Bal-Zel zb-)-w{su|+$$VUb~iYuZsutb#K z%D!pr!-2$N-=7vrAJ#0%ldxvJ3zCsFUt_WXXDu67d?h$s-&V5yT89}^X|6V6V_it_ z$~J&wm+fl^EBFL3q_boRyqgK@Y!y8B5s@niYuy5Vpg)0)zMF+U`RB7;^LJ^3PKMGM zO7Mwq3!QDSW(aa0LD;Up32cSJ_95()*8z*Ye)#niz-Fuwyd1^*fUr}W1eUC@Hwc^c zvav%W8+{vqFvAC0OEH(J&r<|1drrnNm8KlOCv4_&fw|wyn?u;MPXksN{Rrr_gmwH$ z@YZt0#Mlc7Tlu8Gke>=HkFc*F>+D=vjAsraNuV;N*3`qWfLp(AQ>@I458s~_@gto|{q zJ<>hAFLT>+SIC2;$v~9yRd|qCKKu0UZFh+o0Ko3eQ(QJD$w5IK&&>F<+V2$;K z1V14l_mcTt1lZlXf^eDce0W1VPrp{&ne$& z?0w7ho(AdLT0^Vbwm^^%g=?-({xp(4jHJV)b37~;+6A5PS3W6U@-L%SlK_}(q`T&CKk*Z`wDo84mQ_d?>UbUko}*~Ir| zUH_vQc|nMXeW~%wM%$-YUv6YMh(?1DTah|30OlAfa;QkfJw{Rql8VIM^&Hs(8?DFx zC=nD%KNL6@QO_EeO+BDZpMxb2^2HoRznR|$|i zJ!yi+Q!QKfQzBUPI2a>xAsrUR`Xs_m$FRs40TH8}&6A=o{ThOWJ`eX5C&H9;1-(?KW$wGv~ zHe8Ho)`g`I%(1YnZhIPBpAD^vJR<@`u8hXS3?suJ!bQ6)4Pbu(g!f*Gg(EzdRw;-+ z)>IvRtf>Pv8;=!*Qeg-1t&zu`Xulb_a#17W*vcnS$hL)|_LG%0hI%GYBew$>!%tKE zrNDO%L?ecM1hCuQ4TWpw%4HW2zpRq|a(NQ1bEPHEC7?B0TDb@wit!t?!gJGOp;ScZ zI~Nfe4KP*bML;8eU<4xiqC*O-B1X;vbhf=7T5U(8=WT5IVQKmBGmn3nS~+YdwY?YV z&hZ|^pf&zm>^iu<0?ZykYGjs${YieSsn@WM-Mj4#xDY#}&6lbm^@|*gxSEWilD9}w z3_lbCfM6+LAVbuVBt2S4M`yBAq!P>ok+$azqxuU`$C4gdDANv;(S7!K*X^)BC^d^B%oZXX)-eIHYK)gqZfu^B9kSR)csCra={uw_OAQTG4OWrgl7#G>v)TNW zG-1*Jd0tInfVm%;?G$Ql|2DJY9Cq!Be1}XHw672r+@Ve)m5%|%EZ~RlWd$4rAZSJx z)R*RHTQa(3Xu&_#eLxZZS%_xjG18Uk_pi%^(Y;Z?y9O{vI8(Z203tU=o6oX&g)}1# zkhv{{IC%j7KNNMh2sQb36+#!~*%(J5Bj-~Y1~0FlutWItG%Xl-GnVPd$Qz@Z#D zAW&0j-WG@PHkFIRd0QM>u0k{NBF+jB>{X>RSukpQ8#_G60kPxcorYW` zd+C3UpKZp!X2v&QeB^2Yh5JfU2BBubnr#aW!t3Xw{(Dm&3!!Nl3SPm1LuDYF{KN5) zLDIC%uILO@6-PpOqMQp9C{w5|`}{VHc-07lJlE zGZscM-ZFzSQFz4`i&8vux)Mh*6*Xh#101#J3ANo1|F^q>N&LR0Vk-v)JDU=O(n29BdkBg8z7kMJ&yx@ zaRX1&fghF0KtE>&)ZBn(}sp$(0nA^xt&B_tBvdFl-?pFq#fb0KxxEbJn^%vRCc zEazY_6=UG0#p|kRs1HChk}610Io$S~k@*}m`n%7`CN{yg zV0Z8C1ZxFls-HwsGQpm>1-upuNp>6#N!LMeQ%eqqj?rcMu`DtWi6hEfx*)Rm@-v|U)ua)#+ zy#b{EkLa>l2{O2bAX}pk!bY07^RJFHL^Fs_iSZmPX|5r(^fGk9HvxGauHKtjzLL!s z*jyd4rJz$E1yrv9pf&s~v{1HAAe6G*&QX<7^xMb^F(8inr!nbrBJYY^MkKMsqXuv` z0kXi{13=`oX!CY9v!rQj#xsPr0=skQ4V0Gw1tG&Hwn7M*oii|Epjiktbv&43m}08aTTr>M_$D6u8G(fpn4OrM<{#j z!lx|hZ=Vk`|0cm8FFNmv1SvE`OFkUp9LPT!+5><}_7#Sy0knh>R zjm$C*3!0)-3U=O1++Rj?3xQrYbA|rU2kr?C8 zfCKd;@D}S#5IiS=y~t!a?!>_E`$z;ueq*wccJTsfd{6|Oy9I~MJ3)r!YXF0}`|Y9M zk@-Y(4AEpO@*7^*SsmGA4!>JWu}un6Sa~{zTq}GcGbOc16uy7S2UaO4d4n}Fk)%EQ zVhWr@n%NY#QBo2%$Ad-NC&m_UvA!Al{~gL6CtGMNGFmA9F;QO}LD3G5Hs41Y6RG|e zwPE0QQlzt_iFqpLrv_q-0;smOwxT^9cYcp)8R>H#2DqX*9rtYUa6{OaUxIr-TWq8iC0;~ZT&q!rdG^br0xfbJy!#_or6u`5AAXtdPDMD6&dOLOprw~Y? zrVuuXF@*LRd=2nM2Qo=;V@31ei<>|f$L)0INMJ&ObmGoHaxkU#g7ZN=00|1qR|T;% z`+^5ME60Fd#Wb|48cPpeQz3A>4~TRY7F9F{aQC^XCf7O@B<1g)Kf-4g%d9LKItd&g zYc?qPfODFQvywPL%aVNrStxJ@69;7~%*(&3kfLR>!u?3a`P{5LMlSm(OzywI_}7QB zi%2j51o&hc5Mag!u-^lMA@2gxwhi~;;14LD36bPsLb5@dmPtaHL8Bal?HYc1HN^+~ zg_Uh1eNBmNRF?e~B zq-|U6l-ltKh&k=mG);rG00jiiL?I5V=4Dp|y1c=PL|@@qT4t*Qhif}W%Yc@J+K;Iz z^d>OgKo6<6l#{efrsDyZXO~gR(?Iqe+qk^JM8d>b!T#=coKi0~D$K>7a7~y1zgE_zoA4cXA&?Iqy1@U{j6~V+YeD_C#w^)#l)^ zn$d$P-zK1jN2l^qd(G%nLi!?D!hPi0J4pN|*O0pB9FcG^G?As_cqQrLy#>5E&2)u4 z?h)dg69#oigDA@iZsbjvRZ$Y3UTdTh5|HvPWyKr=iyy|6m!S4l8?IKVY}W(O_Ayd4 zbS}Ygb4PL^YHfGpUgVb=6BX^5b_t&EFSGJD?NysM(l_**p_|)IsIAzQ6ugYjw~WG~ zGz)CTwDsP)arD-ttr())*H0PC`xs`8Ya6)HVTPIp6&IO~m#B9aX zFMS<=q^fU9p9U=-X$=9bDKGWHMbuh=`Vy4xf)-4v-MX>ro8ZClKww`OsG>QOHi~?S z{d`I-p=lW4LN@@)WiB<;WKZ)uAB^_-PF@pCv#0y*G5-81p)vj`RgJ-$oweu)jrQBq zlbjc$I7wcdkj{(H>IN)Bu^s}oEtf!PepU;#g}3&(Qf&0 zPc6FJ{x1qk=Md&gksC2l^tpi)$lkVmN-e`EiS>V&`VMT^%qD9_C$a0?tH1;v{5?yM zc@W*$;o4FFXUv=MvaBVQ++IiB-(y za^d=R>?N3y>OC2^5Z)`aF~zW3l{hw9CpQKCcCJ-2r8D41q!bIJdzE2ED4S^1Sf)^)5j1i zvF8SQV?c^LZp^I6#D7TyQY$X5Id3~_6pXfP}q6NfGvQNVK(P; zBwS%1F+wwwrr^=QUcNO5Jcww)r0yb}2~=)`>7g8a%M+Oc*Bs!;0@8*yW)SYChn`9Eo4hW;< zGE&x!jW^0GXhYKiXEdKeoX)ZjGdD>@f@H4KvL{0j{j z8GSD=16Q5t)Gn&C^$*eRs2OnCa7J87C;cAiMb340*XUf2HFtVCVkm^w8{tO~~&Q z_PvJ0AAL%51G^w&2D>_N3B^nENgdaRor}>KrCE9#>9xTdkt+>c=_RR*E$p&!03p3T z<+G^vMv{ZMRSUR41^u(TV!9@zVveOJ;0!gcwbS@tUH`D za6aVi<gU_ozq4%kZ`~L6Kp%a#)SgA9uMUFT=>u{Jb~f2)CtCAcAu2 zI&*UXFJL0dCNY>$PSkXLrtbJ<3NO}@n)BRMqU~u~O6rXFJ1Wr@6kLH}7k+?NqX1i0 zYYD;+)jCsSmCw5fM|?vIRsNtUzYbGSvqE~E+i*gVHOahgoNCn+0RitJC_-m#IX1Ye z##;}!&E>By{mv7kpuY@;{Blfy2^P>O_mQlocxbuwDm z=WFWaPX=NtcNKn6u4uedbtA3blBX0|Gr`b#Z8QYH3UKM=F~z;p+!ai1@zF@HD#yTD zPzi|)h(LOSgo_D@rM{WUs??cA>Lh|7wUQ4)8fePrNcf9Mn+s_XsOc*0vdJPw0pGr+ z0MyPkzLGjQp`=9oK1s4`?tlz2&B8zqva?nzSSk+kdHT_h!b7fJ69cy0O; z5U3InyCLZ;;JG%Ni zSq8g?eM@1Ka3G2C;5l_vTEuh?HKVq0l(|oY8mrq_;#bpPZlGejD8>wYb^ki(E+ zVM~B$e+cG25@LA;XE+@|n86p5-VQR+aH60IgCLy(Ae^e<4CfrfS&;F!JYX(ZCd(P_ zr9@~#N}r}0N~1_=FGER?k&2KK-Te7bk4%Inq_k2g?SMwkDhxqn2(mh5F{zlqq>~aF zwwg3xsTHJglc7Q6u7L@=>=u~L$$*));@Tmo1{fS!&QL>TCq_8On7R*f?Z)VSx!@Rf z`k5YX-0m7O95N)1QNco1!0CY>Vl-K+(aS#{K@qR3Ybwnj>ysE2Sw=!!#^@yI5^9jY zE$CEbiHR7a#jzL_3=^X=@-kw%G0M(_rhMi=W6G<3D=}*H$4GcZ#uygS7-c^#f6MTA z!5O315oYkkr0>JL(HNzm3F9F^0T83~i?aknz*&&%%zIso(K;_Bnl&M%{gl!pq%_%3 z668vYt`wQh@KPc)A*C$`7@3Ml=@3InkQ*#9P!g$_7-c)5VV98xER{kU@0=id5xHxO zYQ7S;c;=o2qN9^V;`wH13S@PvO+6KLgx_C$yUrmEWMwEO0iI4%tcb89kxSBBhsa&FP((F9m<6%M*TJyR6fesJv z4S}3>7y=Iqa-Bs@WEJ|0mlB}~DOD<^2H3~B%}^3#BeqjQD#kBVBcWf)Q@|4X%_NOe z3=JZ8^-B`WVRzZomLeJ0Iywzk(X$+WgbRvT80u`#l=@(jNwON~m#s)G;Fo`xo=npt ze(4LwVIPsY`bDrRtt=q(2ft)%73%^S(TYCG0OLalbJ-Jq*`5tq8!V1@rh=o|fbE1f zs2%`Xut5<1bWVzi2pZJE;ms(e!FEC#6O=|4X?*por~yDjY|;vl(qKCw4NGaXLnr5H zLxad&eW59F+#Jb(&Ra(h7GDI-(BYJQs;TFYjQZkS=8H|v*`_C8dc+sAz*v1T!F$QM z(h@9W??6^xxBYakQa9rsb49!1zYujHV+$$822EHFLdk2V-D z>?0ChV2?}21q&BrfXpA}#$r?RVQ!ES=f*Z}&zgX@q7EA_K$lYlPU;7?6Y9TVAB?5` z5WzV*CK_l^b|)o9gYASg7ATE$()j0K5X2L(M6MSRo%H~z927YrjS{7?5jJ%mGBiX# zT;gl~1xPmG0hQdUbptBj!}H1JkXm&cE?e1w6x{H+D~Kw5=7;Oz4owa>b*yL39U#I( z(`~RgB%s}j37VQZpmM-|VEPNgGzbdLVWvKlfuOf(#zL??ADW2iZ2P6CUdB5*VQ5&|U7 z3?ELr0p^3)^~n5e$miX0GU55(T!S#7s-fTszEl;)k|{#Lzr#lb?>%1_l~qde)mBG0=1fkT@q7tV*i|$o#=T8?*`okr544 za_sJT;3U9cAdaVjCXW>ZQ5-SQD;XXG5t`6Ip}uDH>rm3U3qxQaApxcXK(VWV_JW9A z4fHLx@U5eHUdVFJG$b~HpL3e2Z$aHP&|u^(NX(8gJ*}ok40Ij@NSr7O7A}VZnLilF z(P~Y-MMg}j&ZG>83-6)jG{9gWj;DbFV<38iJa+CZIts=y=QxU&aK)LtH^#vX%V1|` zItIWrL?*lKdAQj(8ZSoTo-t`>A!Ugig%>`xox%3FSUZL7J51a8rJ=PH$~cFc`Z6k} z=}$iRg?)=gCB9}%Oy}bxMVb}H94k@q2g@{=nh&8v@)Un4GYU;vk4GIA;W$ct0<^&9 zMv}68-37AIg^&dLEgre@0TX$b#KW^(_||xMnhPI*SAvu;uWdy}Yg_g0 zVE#t?{06U8dH8K~;lDF*_}hW`o$ZIak0;E+1M*X90pCgZmMFY03^k?JSp@(hr~vcU zdD_$qQFmi-%Q1|>wR?y`VmddQQAHeu3;ZbfLv^B7!JFh6gR8ljYYe`EdJSHiVi&yk z>PTop7QsL<1tjCdXI^?tV+gICSB)tw?V?Uyt$5Ax5W3Fo4s~)QeGfeKZ^rg?;Py;3Hx> z?;a{vnaWW};Zg91>NKsw5hPDD4rKPCJ~{$*ba5QJjtoEpTmnbaxg8|2Lu6>%vqJcp$8?(MB}VI_veb+A32)zw%}%2Yf3l`lzvEw61w?&V`P zit!;+GFtL-zFeWeH(X#QKbD}tl`ilbzND|f$6Vk89^hRra5#bBIvaq2#5M$AEk>t4^N<8&SdVY{>FjXwG6Y3$8lMRSvLzz) zR3!6sM&w3(H_TQ3BIG2Xlo|Pt$2oaXAYWuvlV`cWad^Zmfwr@$nreHg(Kef)n6~#0 z6m5s^N!#C|Khht&4xR7{QiRB(=Cv4D-~|L;=qt}s@Du=I5=7wN*eKyIYHfc!0y=L?3UiU7?Ah>^YpW#kr0 zNm6HnRHQ^&7%q7?a7zreWt=r=KE)wp1qmNQ@(iFL0$wNJuUOULy$IOsG64e*&7J?;@`W01`@e2ej8t0ipMSv(d4^ z2`Epxlv-8luYlqiG2b*L8wG)P(Tq%&F?fls39qWt*s}Jc_ZsxlSWgq{EWv_K1F6$# zE}v$#^=ZT@7ii>Ww{w|x4r1qiVg?XsZ2^H@3<@%Had!O{IREK7(joLCK;@%hk!Rge zu83>V4}!nF$B0w}1slFT8Wn2IwM>uQ4))aH{U zl_#X!8Bj;iuU+aniuh}iGh)jXH^vf4nUy%QMcfd1*YyUkPSAE^fdkt${tdQ!pAV!` zZ@CJC&f^RLS|8tj5StwWP~=$`(G~jH;f6UV%^;<^t_XENFz?)Pik?TDX)aEOMr;Fc zz`R|XlTDK;9R+=a6mn#YXWGn1uhWO*2D;=H=zwd@_}L(v_{maNlg_`f-p=zy{Y2g z2Y_`tzOgb0rHH%^^x|GyT1gXpENl8e3Ur#wE1+WAMj&?v@LnL~8?L?AqG>*#5cM#6 zE$uyry`M3KqSk{I`WY@_QF=vmo_Za8!!A~)VjXB0xr0_>kcaZuXh!anG2X~}pWrf= zRH~9HBSW)qjUca!U4%46c+wDe@e52dMSDXe`peyT-AHhXOUkFnDS+wLhC~_!BnfF^WY+PZoE4Dy7JB0}x za7d~1-UWS7L8QG4C2R0zTFvruFnOK`Up>x_YGfj&qc*-{dbDFfe8)x6j%D#3BhgXO zoPjqen%w8O3nE7>VLy3OjImJ$IFQl;N9Je1Uh9Didqw|(7;S-W zKlW!Hon?)KMfjq}k)sge5bX&Vl4j*Ij^R9cReMbpcSN6aL8@q$t(t*QQU`2_H!$UW zK0lNKEC5uNDP)2&SxfUiGu|hysW+PenDqxmc|#2X?87=>QbK?uD1cttyY?XTHQ`ZE zq3x@~xtbgx2+L$G{TZg6#FExnb0ErxXF_c7)Sq9J;oyl+CbufQT$0_Tw}e$aZindFHi z*>b?S385PA)wc*i&+{xn_l+#k_7o52OvAYrY=|+|aIO=+KggN0j`U9_{kRyufDrVY z#u9YLWeI1=Mbx(w4Ci{~{06K8ofmYEvuyaF|0eUKIL=!&b6U+3oHq>-&LccJR~ycY zmGd~md1?1Jr>oB6cjJ78az0ZzUk1+QFUq=EdYJPp7Oqegj}BP0tL_68v!?d4tM;@j zV?{g-pQN{|wvlHQ_vLU^CI02lu4)(PJhbhq?NaBZMZ0Q;)VW!QtLpGCnt=LH3sub* z48PRtrJf>n?%?67MfjILyDCkfi}9~rl_B+|Qn#eeZ9QDI0{YRM)-|3p3km2#M9JWKK7z{d!fa>Rl66EGRZfxlhX**T}V0PN*;O!umx zEH*iqw{SsZ4Kh4jBXytUYK3A9$#nLeXZqOQfcq4Y*G(Hs!Kt*KhG?S+ltzw3C>@89 z2+?LV`2+H49^@@Vt~WP`K=yz83GzyV%Q@VwuPof3Y@{57tGwiS7)p<#&}Su|z>0i{ z8)D`?_zvv3k-per(HFw@(aJV2@SyGVMbw#h5x>9y92P(_oC(r!#AUclL<3xp0p_C4 zJ!Jk}+dq)Y%0-?zru`beE-vjGO#21Xx{Y+?>+PkifzmNiN@ERXZCkZD7kA=T){smw(DMO^oD+rqw;vfr0)(DSXj3GI_2XGz6T1%oD58X7{I);J zuOew(x+}E%aMLXpc0`sx82YU|N^as60D)E-D6eounh0%*a21v;Ka+lktp`J`$bX|l z&NV|Ghyo`5N+h2PK&^a#!4Bd>H%aonN#`Ld+l0V?j|{m8FDXC5%)s6op6aZXs^sfn zNRFNNZa`&OFY_@4b22=Wbl}$~u_@!5JDtZ=J0nr)JZ7GjBGlhNO#%irp&=Ry=)KHa@l95y*OgZ4GM2mc(aaQtL1tv>lH6m+gVD#!5y#k zk`%sE>2+v1Nz3`48orK}#ad?SxI%3gD4zx`8{Ja-S17#}g$EU0q~&6jt62L3S~|+7 zUCT^`XK7iYWuuPI*S4i)rK^v&bG2Nl_-oY;St`eRZMSGSSn;#9-=}gk>-ajwtJZ$M zmJ76hk(OC*Tk$t2-2%l=*RomrQ?xx%@n*XED}05*mnr@VEeC7=BrR7e-U98n6z*5} zQk83&mIYeoYniL%b{#iC$7L%#S>YR8ITYTaWwXk?P0Lm->vi0EEpxOSu4S&48OkqN z%e6XwgO>S73+i+gxXt_=41+=|g+tnH`8+ClHmIYc)(6Zg-qvJNZ zZRMA$a{&z%SDQxt#-1M-(W3Uw10z^&03ad{{p4cprzlnxAyPQxJg(3Ns8yw za;M^@>bMjwcPhT4WxJO7F20V>)^e@NRiaPs$I8exn1S2 z({Y)~FGtJ83ZJRvB86wE9{E~kXt_xFEY>opWx9^b(6Ub93$$#}GF!`)iZ@T~lBV>x zYdcfR9a?VDvO(oca{E=!T%{M#vRe7nYPnJIgW6xFrC-Z!+TW^WvcikBEYY%1%QD5w z*LJy<6SXYRa;BD+>Nj8IS*mg`)6%c>d|K{Q_&gV1%StVi6|YjucEwN8cD>@YD%{aB zL;F*-e~ZRPs2>_d)8`Mr1;aczeLM*D_1T zC#ij>D&As+*J;_IaG#D#QTQ}1a}^#?dlYLsN9hiC=_`DamhCz|Tl=@WbhUqnwoA0V zLgkpM_{FY2v^`1N8ETJO_1{#5*K0dj>G-uQ)bVQ-uR-yO6uwm3E4AFBc2(Hi3*>uav!$Xb$v{g#iyL9*@xkucr$CG( zFW|}6w#UJLXydvj%08~XenRL~-0jKm6+T=);o+ezPq99rauGxs+Bo1*UZbCnx)2}m z=r?P61^ZU?&%rlQdwsK}+^zTi_ElUh^100!By7m+0(eH%E|28kpqG`^7((uG0_hJgewL@mN-dwgTC z5(EZR&f>8i6zsfh^thepA>e#~%R|&$g~T-%40l_wfdmL;hVBj2y#x2`g^ez#7xN3dGeS=!J3O(kpJ1V_}) z`Htw*pL@yY3;1egx8fD3ULAHyp94eVTg|nx|2hl6yQ0Z&P;cDoGOe}y&m)<2r3bF6 zMy1w15FfVqGbmuXJ&D^`6+3zjsOk-wDw>kb-~kn9z$%ENfUnQ!B)gye46F7y|C|py zA$rAsQ-WhE{+kiJx#GY6U|KkD8-Tpelms(aV_=4Lc(kN;z;naD4VR-QXw7+(pHe#Z z%{l)T`Lj<7m2PXxgwF^36*vfeU+Lsy-wgd{PK5ovz6hPQjZ7w!$(L7}#JDGK9B{{Qu#L=yVZaMQK#F)Fp6{(>uOQjg zj2-CEL09fY9pKA~cK^cUEIi0bR~`mBoh{O?DNG6-Bpm@-G9obyd|8{0@E-!le%Bcs zghxq}r_^?)K1|4&rQd*j@s|Og^N*u=I~40v6Fwx`8yA*F_p(!~hy?F+w5`QGQsHg) z&uyXif=MQR*rF}gwcUs7Jv9YZQpL88&Kq_D`}}d|R!G44f zD*amf|AYRozsYOq6+CIcUrRSY6$s*Up2Wik=kb#x?u;OmeD8b`I?D99@Zw9?)lA;m z^wPfQYFksgETiq|W&5-(5vhT)FrP{`miDc=atBbGK)L`BhxhKzwT~!f@8;lfwfLSd z=w1!zd7(8@Vo)-sh@US7;;LDTeX+l4bLrn*0_Om=P>Dh(^^eQWKeW-lWGB`*u3@;6 z#(7NRIveqCs0j`~iK|#2>@}g$j0_R#BXkxnq)hmhXGnx|yIRpTZfIlMQ!C+w&bCLD zpM8nnWx0>C#9E-_>M-V9`ykQrZG4xt_sJVe`?Za#wf!e=3LY7H)gI-Cl3%#!vw?n| zE4Q8R51k>q$F@UB{#`zT;mOd_pV0rWnVhn^B6h*K1KXv>yISW_n?J*gQa^5nq z&rh$pX#1S+a-czJYV5C2t^j&Pb2h%IYjPl8=~4Emoi+KLp)+cCr%9nyd?%7XK5R8czTvkFmycuA&sSm2Loh%n5K4E(;BKgm3LbWOy=Gi0 zXb5$gHfaw5+U5F}Y=_rD74WUgJl#>*&=4 zbbLB#{y>wC^pFma(hrj~G+zMeg%46kDpMq`F&mGV7d}YcO%pFg#ArY$7As;jWE8ss zu`P3GNa=Mz(zX@9H-YxY;YrsVG6s>3I;}zaLTucS4>dlH2ki^zkdaZWLBz-mDfYRD zk)cwo9x*cC*&;s~IHkUdRPh72S|?n2T~ zsO(eeG~v5>@gyuW3`Is@zhPR?EFOoo9@OOv-E^df@*5PSlXO+ayEch1wDRs+^drPQ z%Bom=0@k@nJg1AOq}SyFD_7Sb36+VVoh=LkU(1OEl-myp;qb8iq*b@>e(O<%JyP z)CchqhW~0VZv0G@cx|t;OJ&&R2e&Abd}L zFgCWv1(+m19aCn+j;|5YPkBI6nBI{sNRf87g%xJt0sUIY3cFL{o9H)8VqsSCTLNim zSvZIGMx-hHG2}@`zl4aC-p3kX^z1jPQ;~V{kV>kXr z@#tr5`u#&n+=cTN%p?p@c;mGww30RysK61dybH5j3*@HaVyaJ8A)<}v2%1AQSkMfj z20>{P()j9O;UUA1*6MoULD36RTZJm|m@;R`?p0u12GDfh(q?-Rjy$MnqX=<*4t_@F z$blx2C~6-ll~V1mMD3x|m#*!HkWJO`5k+JVya%rKz<1amLWkae6h}g^H6y5!zSsg& z0AVab`tmXIkLtv6dmmhve$%*#+DA5rje9>BMB^Z;I(fhhRD`tBCP}UF z2x*8K@5bMAZ3$dQ>kxiK2ji~PdQjsR*w-P$pap0-{a`DVqyC0ZwzA;=`+#UMrU!n* z=Q+sBxsZzMN4~(t#jD|ceDzliN~Ri!Q0=#TWlM_Mg*VQJ0BRsC=VdIvr|J7_(xvK{ zpePnP5_LLmRdhh5u`;xBbPg5=)jniDN*igMOq(3qC*<5rb5PweTmWTlEod+G0a_tK zwFZx2*QoSkc%uck!fGpyD66fg*>lLKrtd(yuAh9B|2VR=E&nDeUoCecMWogJ&`m4% zHeI<2Ajy}ki$l&&)rySO8-;es-L!iG>Lc?V&E6}WWQCROX*UNpeEN<8x` zZhHYtGY=PVg3*O+aMNPNSwlWckr!)`kNPuR{aYYT^*18)TK}K->FXasu9M6N+gvVc zj+!v!{U9;IpwvX!k0=)*dE~fIKz*&HeJ;|}d8O|Y7p`c1Vn?iPt-oZ2cZrH7)6=8d*@!)jS6 z?u$k?yUxO)N|4;d1ub}uwy9zjRr~?`RW?$@{uD>V=)JvAxFxW&w~?zvt~IE=?iNxk z>F|bae-^B(vT+suBp2HQG+4~UXQ2d*3_tq0doQ+NF}<(3$?YFBAC`)5l~x+*(LnTF z{gMFXIB~r)GXfI|lt4;zOT0qf1Ds)H7YD=LtoR^jp#|SL7?Bcxtbx ziQ<=g(+(NMV+`r~kwdt+I1351-~?CF?kv@abH{M}aeZKF@V_@2TwN*+mD!(Z(q;!acHEDoY~fd=N}dU=cJ|W<^xqL??4yw~RK5F~Eg8s6Hp> z)R89;<1g#GQr7qNFLd=a)2>*lFI_+K2rjzErD8GN&MV`B-#KesMLE$n$eR|RdutJ& zgCC$%=M-#QmT{B5j6bmlRqdL}xR%P$#@Ltty#VcK>wou>UW~p7)lqv4`qfdhpc_y< zW&fkwSv-e~YC8R3s~_b*jx24w7v{tgxW ziOsN&Uwi6Hqx?R0j*WAvpg?6pQ@>|G(a}SCUofXi-7Sm0W-UbKU3g01rMG(XIrLvJwrq1Ws{Hgv=$i<6a8$9wlgv9S2 zqJK?L9f9qdSP!Txdhb?DP}&#m;*b1*H1%(^W=BN2zcvhyLFmtF=ozH;w@Xl}?D^2y z5oizn_!RxVQ@_R##PFv{wEOEP{$vRk8h?XsB%Ui~vi8GMu7bt`xB|_g@?J?~Q~o}Q zjyn=)XG>bwDGDy52-Y?HQj_@QD*VQm_=3#Rs}`VNXg}L{A0)So5z|`UoJo;>B(f4B zNAFeMSB}R9=;#)O#}MC-?;6QPcI7=~`okpUJ>_$hqepS3g;Pp2jQY?ZQ{SZhh&nIn zdWcvQ4D(Su#88SzPjERnS|dEu1RRt>52D7(@>&$8 z{Dx;`dA*Kr5M1dS9MIrvFe9)&(5SRNPz!g1JqkHrRZoVkGQLpi$tb9dpGy52+?a|g zUjwu3wPeGbx?rUp)Fx4Oe3>}ExwqWQIgPm4qw|^7=vEAgzvnaVe5*RlM}10lk!Ki~ ztCd|B;uMG`I6^AXh{@BsxB`bum@whk8uz2w^pDTbukSBt9{I^X0~XYe{0#qpwqUQE zC2GGOMEQ#TC&dW&r4in=4wIo3ou;l?=!b~P@-Xx@+9-C&Ay9q;-JbQkd7}~U8*Eyv z=27i{LeYOX_oAG1?$tJ~*U!lfs_MI9BfKS1Olx-`at!Y+r?=C$H)2;O&gdf0-bg5p z5vMxjx2S@xnK|l-PUH8VlE=c$=K{>tk=T2{Kk80(y3;ux4$;sKuT%D*e#*|kj{4{) zTTlpfk$j?Ir9^qKbLbEBI^5*_v}d4k^Jt^kn9DA_1i8GlQH*mW8WoShOu}JcmJw|- zVVENVvw{P~E`I=GgDSgV_$gf+TV?bUak`?$@$-~uscFIXk;m@{SkMeDG(QHRCn6SX z8Cj3CZMazq8+g3z66ESPu)ge4$k6ek+KY`dvAvj67fG9>U-v3Pv%dUw4<5N_?Lx(% zazJI!f*#nmEf{O0Cxc^yD&z6sGPqLwLeGLQoF;^8BdPBbRB=FMcjV19F37@NF#Lj; zzM1_r`M=sHL2ddZ2;KUB-6#22`2SOV60Eh+uRaOV=D%)J2>-(g9EoO`A~sW*TlRM#;Yosli;v zn8hpzz%?qDpPETdoOfv`4rSjTZ^c@-qRita&F&LuH92;#TofI=%Wjxuzt|bjiSBrM|UnBeH1=0C3s|F zFy1ixwDeGAig9G3(S=uv#7aYw@DWEpqWa?ZZSaM$>Y8KRq^>{rmMixcLI%EcwtR*_ z*HsxTe0MjXd(~$P^jpGnn&M#X8I`t(?0fNNx)s=WC?l9b`@(*x?LVVhG2W)5iHpl; zM_eer7#g0__4mf-@NF7Y#iWP}rc2I{{Xt!(O1wfjakrsv_@Gk=G4W(xBQLzi|)ph zNM%oYr4DZm4X8|Q!D=3wwji|yrQ@rt?_2;&Q64XcVGOW)6F<@CHkL+m9T=jp#$C83 zJRTzS3wEehV=|7F$v6LU05d@JXSuTX*6d-cun*;0J$e+~@X!V^ZzxS!dp0z7#l%u% zBHVVTT&H&-Jbk|By7~G?^umg|Zr+6-Frt&ZBYo(uXYpcpd`_GA&-k7${q=l0CBuFn z`Qwjl6o-fkKdf-^`{yRSLecok=U8Qo5mkNSS>vHUPKjGl{qLjiQm)rLg6r?&`W9Y> z*FYN)mHQ^VM}kw<#?5ri7?DbP9s$RjEBIRxd}_{4U>krYfIgI_gofZAnDf{22`mp` zYpbE$VCIK|*lip?;jukuLlsnH;`}b&XxxfKNiSe?9NUJe#pox zyR#EUO5e@-k#6jJPWD+>y7CvgvCq2_e=~?zbz@tDi0=$S`SZIel?XnE z4`dPGaqf5&yAV9d9Y5{$5c;BsE4r*Su(bvyN562ST*vPZV0VI48;Cs~pj-<%7fF}$ zg$A}y3*KS)^x#t7y&Wr7Y{|4z!wFuNf$D9pMgIaz?K^9 z=qc)>S7k@7INyZW0RGK(0~?<8FZ}J32-c$-2r2_PB`yu*l?a{;2ch!7vZs<{5b>_AtJ|9A^h_b26iHZzl302FOk^Li$8VDz!rsy*ejv@qf-XVf;q~8^aQ+5j@^kNN(-RD-rvyZ!cBm@=sBT`%V1aKMZWINtE~0Mf_#N-nd9;x%*EY?_$0KTAsR?e~e(y z#r%B)<(Kfa2sT{8UqSF*1aF1T-y%d^UbvJuBevmE(IF=<<%bY^@G=qGb{Vfcgt}ZN zN?3b2fAgS$y>)rYs|e-~;76hC(E)uh7X?Cagg4)F2_beGvZ=hIVw2sLP_kd^chT z2lJN@Y#bs)8iw!}&;>_^q&$n@^{e>Lxc1>y{Nq+MI+DMSU}YpUp=I7kz7C!Bz)1cj5?74m zyAkXg$)7_o_iFwag1W2uBM3HK!@v8tf&F=nsLRW7d=FxEaiT+7;`qN1TY9aCeReHh zhuASC_WX7HS;RJ6H;YX1^HKa0l=tPRlm-Nw;)Swp@%(9sd>zl9K=4Qce-Oco3H&%j zHYXf6%tvoECGy5o1~xBA>CFq}z#_)R({5*zNmItu4seCu~8}FwIkuOsDawI;SCbT@2#&@9f*VFj3 z$5Dwi{wRVa*YiaPHe8>u{wEmISRwi0SpEWH-;5P~upph+BDOc3Kk+>*Y@86;KaPKo zd|!`C`4++I@%&W;b>n#rD){?&z68Nj6LSpn6h`o^|B=64V^@z>SPI&>!c4qVC4ak?x_v7`|$0zcS z5c^;ve-FW;N&H0wdnSqUY9{l?5nDT1Xepn<|8>;Bs;2P8s6@>aejLSqK83GG?9>## z8o|3a@>K}lxrr}9@as*YF3;V}f2C_Tiw^ns&Abt@`*TF>;~c&lvBn%x!fSuykHWpJ z`&-Hq1o!-%FGTRj-}$4c{I)$xrh5^N1bE6Lndb&sQL}KcD~j9R{^Ph#W59 zPayHTf|O+l)>!yFRH@#=_oC}gS@^>UR!rj$VTga6#>)|`n=ZPpypY#o{2nS44LexK zH>2yC3ifXXD5PSO;Avy0>eiWrw-kMT@V9%}mRhW0vt^6efPuX|{ zf)8!{2bki6MSKr}eMO?YT03t+>`lASGS|Vs`^3N&JNTAw-~}9f6N0@C{sw}(i}?!( zHWu?|5xjpJ{{=(*$8Dl6FFN_Rh;4L=4*A{5cO&*tiHPke;qRhKO-gK~i@%82yDl-C zcb4*xpzMp%lwZGv&KW}4$1`{XL=Mg1Um;jJlOM&k7iRMB5Nw)x+^_@T_p^8ng1NVg z98cZOs}cM4cK!pjz55T5`29clUc?@nEn;uX=IKR^ zo*cIX)>j z@Xt}>!w9z_Ty~d%ufXcE0pW6lci(N`yAHsX?lzo5u59ic1Fu7T`5c4jsCNYGGdRELg@J|q5Ki?n*b$*3` z|Gpm+u)>hC1?gWP{^?$<_y|8i`1AtkLHH5E*AXtf*T8@J0yF1crJjo_4g4VD&r~Y< z|AqKI#FtkY_*#T52&tZrFEsEsJ~goC5#GB8 zRrKGz%)np42I4b>6$sa2pHJ=l0pYX1V}pQj@5k8M;f~-ngwH%`=ttMTe-zgdZ+%qJ z^9JrD)*}DM)k-~=J%;|p^~WAFq&$V$_C4ZXBRqxh0Kzqo8~A4k_aR)1@bM>LPjHzB zpHS*qQ-hr};;+{z`u8IK7UC~Fi8~gA3!hTzxf$UBc!(Vc7b5+cr_q0i|M|31&yBSP z{tWDPcdepl(K81AFyhZVqr|^L{2s(l%J`ZU27Utew{3;ej^)q7-qGJrJZl&=7xnxG z@xAEh-w^IW_%d!vK0vq|;TnXGJcn^c_zXgldk5j6&*8)oQok*K-oUpbzV>;g{GSki z5AmuO40jnw;Q3VszI7KAuQH?@M)<&sO2!QcUxMJ5FB)zlx&1F0_+yCw_L8FLv6l_} z5yX!nY(~EAtCf7m5x(&m{KjfS%9lv5dj%bf@Dqe@Ae{RuZk!OVLih;6g|8X-QOJLU zkjnWD;XcIgTceco)EWc-81c^#()C{ue!3G5Vl5^b!b*f6B77fVHNqnZ8xel|Iyw^J z-ESBoDc`m?416=n`x4=6m{8v!d>P@R>kRy9gqsll0z-ZFO_YcDzBiS8&%I^fe`32##2p@afz#m0;*LpYr5!sEKZ1DEJBDRl zN#N7X22LGx03mh!j|geR=Y3${WWmc3(u{ivA$gdM2+1RELrCuS077!`_}fKJ%hSDE zF!Qi1K8}!9>{k)e4&wuaw6ocZkTzZ4A*5~IDTK61eV`rSMz%D7{~E|P2Jpr}wlje54P=J{`07A9TEV?K z{kMz$Ls5OYUw~4ET!22iVK8IIWGOXS$yV@7-VR|q_$8}CSPLh~`Yyb+Cp&<9^j_@8E_`7x_EgutYkRV{yI%5GPxfus z3;#ggphhD^m-STY{&Ckj!$!mYY&ryZH=At_ECAnT#UsM{i)?_TpdNz<%$=7v98bLPvrB8QTBX z8`+b+W5JL1j%~P+tvxGt*NyCtvt~oXsXlzijci#M|M*7sLKuJKMs{ys{?Ltdi~h$H zR@ZkF_>*V%-#>+IK06kC(K)dnO<`Z06T5y2d!irJVQGK9ZVG#)KVJj+{=9q&n{#ge z5_{Iv|Gr6Vi)r|C8LaZ6;mb4Fwu?$3`O(Gv zcqaSiVty=>oxGU8mC4??r1!I#Y}+NnPiC zjBi1AT-N)|8`!+d`HCCZ!P6KhKvGl9Mb<_2CKR%7X0(8Vvmn!HIcDjk7tJ?sSZy?@g>)@^-=uC zG`1~@*I&=>i|+r{_3V{s{_OSa{pi?b*Rwf8NpjOr{^>aO)lh!#c-B0WH;!X3$Mipx z#y*YVo6^|fnAnfTvD#rI*)WVZjb*2X@y4<2{^5MhShjt5|Jt$a=i&VRv8;9Y@bazn(oB%YRO1@5b`4(%JX1y|<;adq(m%)7e8KW52wf?H+j+B%4R_SJT;? zt9f-gTX8ji66Idq`{6Y9!_|CF8vFC=xL;G*d)JXs?!Jx;tMNLHTqmyMFHK`?BSNzhvY{{{}pa{VMoNoPQ9;KI4==uM2-aj4kWJKkmzF z1mDty(tq#5*M_mGuDmvkE$K@9?XHx5tSf&ajFkuR`@&d75b>9TDE)8{f1xis5yY4G zWi5g~-Hp;e@5UeM%W!V^VjtEl`10I_G{44+$=J)34lvjF_ z(Ei^1=d;*DXYu`gS;JY>l@)!^tDn+;v?zrlyqIUP=%I8-f9CU-dH~x1bS9p2#4~0( zM|tk?mlU1J^uMwPY}UN#`T4mexdk($2S*Jlu-RB{uG2EzTH>-ebEi4YMV4HveVT&_ z!CMALMJqDb&&bV`Qi(QmNr|Nd`3fxFa-v@{%S@T(0;|K6WSL>jw=gBRnq`@biftBL zb6Kt?p{!zy`Bsb5l;v_-%tb8Il5erju(0eh(>QCs(@|XLuv^rNNK|x6;cTbbUQ%Rr zu?&aPl~9V(G-jG)ah6yecFJqDPrq7sCC%p2h}sSBXhU7>}N2 z>{95#-{M1`4Pg@W+mMr(h0Q=o`Jyffs7)GDFF~1UsADl|1k#?!lL)W=gh~ z7FnF=S_hufVZlI-A?zxa2MsRtsTs8`LA(U42%P$Eh-jGuvaFpP^(iI;>RbrPe77v= zZmZLU5i+AcN}$&aZUJ}ca30arIeji=hLF|Kcv{gy8~(o?HSf$Eq1v_2PyHi4 z@$bcpaKlCsmRD?6;>BB(Fn*g%-zC#`%k%>>{TrG7os9n?mnjyXA zr3FLit42R-60UrbqZ$y|1PKBf-@^ zO9dy{0)$g>o%*p{rd3m#%wG#0Ci!ac9F#$_>%l3kM@V{hBCL_+9|T_|c@xP=eiD2g zcvR%fvUF@RMw?4$s+7&UZe88W+^4x135c=8O3-IZdsVW-0=E_M)@K1I<< zEGkC5UTV*GIZy)17=}97XN@j(xg2)2o=8h`S&B%vSjweb7UqPLE>I)YG#BPs{%A*; zns>OqO|srd!`eGxrrlDYvd6|U&_ zELZA*U83AXn-%3MZ4eitVUNQaoJ%&iz+djy%X zVBm6^PzRwGl_n`%tvaQ<&19r|8sO^>gg&KY%|=Mm=|41&(;MPh4c?f`=pWCr!E=BD zzy`R0*+9b|@oX-_Dqsn)6Y=ntcvcNw3# zI03W(Ml7GfKo}4XL;!<;Na&717zZQ)OAyZnHuOwjYk-)L1lEEu9oMn}7vebx3jiC% zf!bjBE?_oz8OooFunMSy>_OyR0$vRyL2onS!AAIZ#8&}TIQCu!R09#XUJ!5E{QlTY(t#M;O9zAOdJaeFjlF5C<4> zJqcktkPYMj1%M53Q3(0j2<2pE@egb~0XAO z8elz82kb-}wj$h)a3{hfj9Dy@1C#@WKoyVyECXr*7oh)ah5x8I70(s}tFWIf?v47L zmB9J|6we98UIl4Jz}sgZ@;7{s{J4Wi0kp>80aJaV}ne=Ve~WR#(&EHm@u~o!3V?uapVgy#z_d9P-Zs59H0P*L;M8r zU*Uh^(dL~%I?9Wo`K|Lm*+^Rj`y&6d9sNN5CmcK-a@jx*K>nv1GB$A4|KuQF0Z@gs zB|tS`L)-<-2Ic}SKwJM)g*3hYS%SFgf2t`D^wc6;1%zRqhXbnrF&@QyLwXF-;(#O| z9mocPajgKM4R8UofjY=mAzT7f16vWVMR+>@vlBApe^#T-73ha>*iIO9Mqy2;&gO1Ixf;5qkTa!2Dcgz`Y(|0@g#;@i_F|I?UD8z)q~KlpYShtX@~cB*=v# z-PflaWfceFybjohdEWN34A=KzPg~CMqz3p;&VO(B@Bfqc&nC2A-9Ojh{%RGl8qn{b z58@s_4){;*pZ&dmkHh#S0sj;B&+7gA>GsbBv_A$qvwvO>`Tykpc@6r@*Zw&N`{MuP z{<-bkqWEgeuXOm+on3Hm4s>>YRU_}2^D*4V;NJDj`IzVZY1{cV8*^taPz9*>r?uc` z&c|^7j5Y4R`+TepYl#g$pa$zqIl|dMHMqK#ZwGJtsfYha>WX_EARE{T9XZ%1WB~Np zN!Q^cPT<;hpaQVrUcL}006O|KAwRAEQ*cHY19a9uo$mbXf7|;1-*tX=X8qUB&;B>B z|1^)=eNyH9HRe{~e|UbKIiH5#!@i)S=VSjH&!?;5-#a?rI&**B{(PG5uNmfC8SbqM z{|oM~O(?4c_u%Dt&X-NVT1?NM_TYJ0C_T3X*FS$UHsP!rP@jK?frkTapFc$)Ed%Fq zs}ADa9egTy48k0QaR>_#CLv4*vMHU?02|-}W&?A9Dqsmv4b%dwfHlB+pbiK{A8kdr z6W9kd08PLNAPm=95E|jvLh&3R3GE9852NP;2E#z|eA^`&AAXs} z|8cp-ZyKoad#=>DafrsVuG08hQ5tWJ*7*JyjdvTN@oTTq_#OBqQMH~QU#Iby1dY!c zt??;I8lRJ*@qjdq-*COg*QIOx&v6>xH$mfFGc|tAB#qxWMdM>{(s*Q!#wYz<3dN!uVkN7@GQJZT%m7fRb89xQEx_|4Kbh;Nj(LA>iw zt=w;=ZBTk|X&c0orEL(OCvAiHE@>OY;}SGIcS+lz^r_M|h*wJ6Al_Zt2JsuEZ4loe zZG$);ujy=%wn6E=q-_vSl(s>9zO)VES<*I$$4c8E{`#9h)hh%c44LHwa05OC@`ttb3?2V=nU?;ij?V~G?32oxrsIpbmR=xv9c1o5OG#f1USLpo3;4Hv6#c}P zlquZU40{gK_z{^NjPw^|I`Lk86&d0sI{vMWUpGsWc}T~bbUg8PMJ5P(a?aNHr#hZ` zj+XwejxX2c{Yl4D|Dnl0A^ASEaf+Oq#AnI5NxZ9^o5Zh_^O5-1S15Xj?;EV~Kjqw{ z^h!A&iN7r8Ao1zLHTg+$-ckBYIq!(m5gqvr;sfPeBYr4ZOW&KS@jv9eBbfzqt`UDx z&M)GY8#MXJQ#5{woKqw-M9w4PcTUx0rptLm>F>$8L;Ni{Ux+V~^M!c2oG-*z$oWDX ze|Y0=*K(%V8>Nre@v{T8^be&?l1y|@Ej?7)Hl;7t$uADl$#m2BkH0GA#vL)RR5{kf zt#Yi1|9Yt=bDkV)O8-obHSw)-tcm|1$C~&*m>g^36XjSFA0x+_cpo{|#4nIzP23^Jn)oj| zex6yY+f_QAsN<7${0$xdNXHN8_|G~%S=tqq`?9nv;?GOFB7Uc|E8=m|u82P@?TUEw z46UB$O5aQA=k-x+fcU8}jo0_m`0+orytY=2AJEy1<)oHAU&kNS@t1Xcla8PFo0j(~ z9Z%Hp$vQr(T9Y4MqwxVNHNITOoBpk&*I+Ijm19Tz^;25<&9Xi_k)Cv}l1}_>xo;tU zmq|;%B|_uJ~Ka=x<(m&~;%n{;~YBZVU zD>VMf%Nn2fs>U7bHD3L$#z$_^_zgN<_o0@)SI5IX*U~RLpzy6t1~yyPpLnCJGx1wx zJ&8a6j3z%w>Iui5{GR?w`Z~1h3CTBr=bfvh6SwO47jmtk^n*IS+@#4rspEIcHHhRF z=y;b%O}?j&FS|xde?rI0M``Kvb=){sOYfoMk4@6jYju3s&02b#j>qR}>0@+!mPJc1 z*YO>4y`y&R(ebd`H2L!+pADJivJZ*(mg7bIQ`uL<-;(`9{5shu#J9_SAihqvop_vV zFY#Tnjl_#&yNH*`wh(_s)}MH{Tas3J`#Usq*71fPs{Zp6uj^} zC7t-73pIYl)fyi#QQ={bc}L0OZ;;wPkg78C;q9FUyn9U zm-57~lw(bN;5AAeh+i&kF9LZhq;2#Aze(By@otwY^2EDduJOTg4?yWxUZJJ$AEfbx z(oRUGGFnT2WvIqq9;WfuSdCAK(|Ff-jpM5d8JfdHxpEIcd|EQX!fE>?6BZ)>rW}pu@G8UnS=w@!%*;CNf6j^W;1tndjx)A>Li?jfoGLpvl)to2T@brJWORl(tPg zzEF{0hI*cNnZ`#A)c6y!J|weA)`xii1Wo4JB#l2N>q9c{%lZ%>ag!!9`tKV5M}fva zll6(Ax=9-#UMI(z_;qqjiEor+NBkE#uZgp%icaDaQiuqB161U`Z3~p z(iai`R{EB$;09@j#Cz!YJ<|3leUXm0NiFAI zKgn#?@ohRjOqNA5qjWq?$MLO~!k3cFCLRA+@&=@zEA5&1Zt1&-M@f4oUO7Y2QxE=z zlqc?z_D}p1DNlTWwEvah!FMZpiCgArJZ*u-pRCmQrbQY*algh7KcMkX9@Kc=LmH1> zs`21QG@dT`64c>+Ss&uR=y>iNMV`_>l)M@|r9w$3{^dfA$H}@;dXB7{4flFG$0+wQ z#Orl@Rf?8AC{^Ppbm_}<^5r_t^jw#BpDu5Xj;HEmY&zbklS$Xf)A3rJ%t0Nm z((!m5U$5h3EY)eyT3LSk|Wy{TVOQiSN{Q?xKw2T=3hf726;__c4u|R%$$>UgMAcTk@j@W`0!R zRml7MZjC4IQFs|-zB*ee3)`wQpa0K#AWXK`aC;^*%nmY~7i=_EVEHp4JshZZo@#{) zWh@~i=$?SlJ$XP`_sx(==%L8ONt4gU)Q1bOAyAlqj6AWx8KsStXj7S=*3p9P5X*E)xMP|@2~1m z>wFOPAWk1&W1`BkPV_~ywPSly^$wWQ+S*I0LWgx&3BCS~M{y_WP=UVe$Trpb+BzXS zXtMu)C%qH(-80F5U$U+fb;yBiM}9!HS%d$??;bbTDE}rgxKdhhVe|;m+zy9_^ zOegBwuM@J>Xq&%vSc$fAKj#qjnZJ6=px57Zvw2!8e*QxFbmU8ns6!V&Wox0=U)ky+ z|NTP_Wc}?26J*Km>C;i$8uIqY}Pa-WMll0r8!IMlnJsIkzdB0 z@ZUm=KK-~lmm|me-^W3n8M{vOn<>4uwHwtLpN@P_BkJp~?7>dR?&*Z=l1|80bwW0x z6SDE0kTrEew(ffW`*-zJ|LuJ-Wc{5-g`JR%??fF;ozQzSx8wS%b8cnssn$MZyqI$n zFz09<(#LRnM!Gol>&lXTh-XYa`-{Xiy!yfBBHwB(j>Z`LFpzeJl@fB$5IEV`UE53H zFi@O7ArG^hYCWGqu@6OoSs_6<>e__Id-%l%d~khmIdo>==^te7p>whMfe9g@^Na~0 zVRLv&$m$+}<$2vh!jOhjvfvLOwFN3RyU((kp{CK?+KSg8M4N6tjUS8j@CQ1 zUn(GbiAVcR-!E!?*5BZN88*oNl`?3|`cvKT@ik6rJL=Fs14&RFr+oj~u@(8wqI~%H zDno5^l^?R|oQua^(I5L%Lea&Z@%Y>M;)>gcJ z!i*8he5QF2ACM*uI-y5L?O%+u-;Vam>Uh*+ohSQukH=L1#-l2`<1*AfTZcZrlFC4z zO+QVabyi;O1eO?&7tI*eT_jRnn`8VL4G{78FoIOeCIs! zopX$dA&~(|A)!cs&kTakG;Z;!OKqQNbjR&eWyAgOKWblW#eS(X^IdIQ3-XQe+BVZa z+ceE*+tfOgVV~gPb35<{s;`d6n74brVY*FQ>rg*mW2E-g`UGum`jS=axeDXyQBTY1 z=ZTtcF>Jpx`C?IT%G-c@`Ps6MwP#WR$-31%sYe0DHlZRPgcz~v`f7tZZn0xpTgOSA zo;GQ^)8BDZb*=gj=u-R0n5vDXe$A)r^y$c7x?l?lkTbri`OC;$=`NF%J&!+n4q~3A zk)D6)^i1!(o~cQ)K40kc{Dt~#MY}|Oj_CC0>f>v?O=tu49j%#p2vy(i?i0BWq)Wc~ zmd2UZqA9TZw-6eCQ|jQh#mE5cu-s2b#WUoN#%qeyxf4G465Nm*d-U_Zw@eJl2zbWJ z&N8V7>^}?^9}0^PPS^s=-y9+yad)`3s_lu#`uzm8=W?ZNU3*e=?MbAwg^t=obCvoi z?7G(0$4Tc%MW^T7ilj;F;qd91d`G&~y+9$tiK6oqdmdt z<8PU>F%R(i7Bk+gEAyY)Jm{#*YK(7Z%dCJezLUx<(UnO(C+ z>x|H|Q_<7zJ})t3YG6Ttm&xdRAF8g=NoXrxzZL$+JG=Asf>P*)_QD^Y=`p3bOvT zEeGqmzj|XjAsdT(s*diPRsUB7fA4R7<3@HYOY@3QhduCBG-~R7>~#P3gBi%DKJU`+ zb$pGzS{|L#{gv{zVt?J4^3;CI#=7Hgzil7Y@pz~{VGrz5ZHML)rfWM1bg8etQ0wMG zdH&9sq)y1j`Y~syZZsyLpL(9vQQcyIV5FO~oN6`z?<&JmIuJwV8L`p67>I~N(Sh>|v;^FM&~ZlSFdwXX%( z09}I-s`u>FK72I*+k@%b9k5j`cg9~YHwk(|q2Kn|sn*^BXv2Mqu6FBDN=S_% zu+rdlL4uA9U_JE2?mE>y%>A7?Ta2{o&rb0u{C3U1u2)J?PB zfID=Hn%Fy1dk#{2K0np^Nmu0A=c7G|A&U)xX*i{AcaAFd)TCzs`s2h`r&_PVfEe!@ z=zY(Oe&K;{nSAM?Htd9+ecfAI9|re!E>Y#VO=YxSuSK5t9<8lEc1PJ+gMF2KFd*>S zATMPn^ayC6pWEJL0(@P*emX{$zY_PaE5O^^T71BL?VOQs zzpv`E;lBDmp-&xaI%n-@tku5UiN3r-wnePl@|@J)aj(PvaUAj+qji0$4!a-saqD#B z-cgw}w<3U?0j>A~R+M>@Ql@)u#Rp(5aNoPT=N3e<7J0s3uCCh$VGGxj0Q{+2Pn*5G zFMCvVH2R@~itwdJ9gBmw7ZA@Igm1^Y?i1-vcgp$y?x%_t6Zu&c8-U-+FtGKyw3A3% zg0z#mv?(J4*mk6)AJXy`BP{}d&yu4{+k>VZ8#6VL*L{iNiNKo|#P19^Z8mO4S0S*GezvDaofI^@OSO+u$p?@G9umLr|R-gfB0s6I| zen1XT3v2+Ifbc)@w~@eXUI#34G0tbO$V*pD9T)--z0SM=i z17-s&fjvNYmjD(EOaaP(WxzUMAJ76sbVVM(22=s7fUUp@AUFuufoz}xSPz^8Ox;j- zU<%*@76Yq+2Ef!E*MKR23s?oz11AAf57Zf$3v2+IfQVqo0u{hIU=Pp&n0f}VB%l~r z25bjffbft277r8v%YdDLsTbnFTwo2*0EC4?FHi++02+WGY?=oF*}!a|7T5|H&%)dW z3V~|iAP~_9{Q}GeYJqy73FsGwHUP7MT3`>*0)+QPKLb;NGN1xj2CM@108K!@v(YA? z5U2oF0o#ESK*TxF3)p}fU>(o`g!T(yk-%JF8L%3t2Mz+k{c#OQ1qy-LKs8VYGyYuQbsz~S25NylKr;}0NdOxFWB_GAHLx8pMg*{Upd45UYzIyN{Vqja02fdV)B{aG z*k!O~U@A}ntOoV~#>>$^Kq0UOXaxEVKwW@hpc>c?7zd&}U@lM#)B`7hq$?l;)B`7g zh$~T7U@ou^Fb+ch0EIveuoDO!j5-2^Kn1W0*a|cOp+nHeKn^e$r~$SECxEc4P*-3I zPzEdm)&Y9}7KyTfRKNx-2G#)k08#l;S%%TmCYiPEzz&LXSb z>_S_%u_TMl;<6+nn1agKsgnI!s zv#r#^-Z4(HqBOG&^>CrhjJ>C(+)!$9&cX(f<>pSexQfi^Dd+SOHV*OP++2%&hSiA< zViT13v?50V`Y|7|5{oO>TwI*%npKRH2U%{u1%1U>cSEk#k;mAbhFphTBt35+VI}97 z0COG&hm8-wfC%}l01Sl9kvEc{u9CEw$ysLnmmGu26h}qojzcxj z0LQH4%&}Qfxs=x!=uh70$lR=4OHr|F7AjkuTjFv!&C@N($bVi(`G=cJsP~dH#?HJ2 z^5OoL|&DDoYGaN#zpT z?d@8U$i_}|&%G(jH4-+Ycp1{aBRKb_>`CJ>xMU{gJnM|8s1e{cbi0@^!qAf0uJ&>m z)Z7wldr|he7ul%X+!;k)goAog`TlkaWB-7Bfh~io&h7-a!zv!=NtHL}7MD6Lx$pwy zKInQ4(x;b|P;;#{32RN;qleZI_eWmB2W4_0ZKYb1W2QIN*6Q#|}revBX$2vWDi`GRH7qgBI6Mr+1eW@QWK$g11QNIQH|pPuM)+M*)U>?IbbE6rsoO16o*Z)+oIwR|&6BKpZ)mAn((Pl9_F-%JR{?=9Y&3G&u)HjLI z$r&^bYF}k!<-(z4%*Y&z{-Q+m8OC&umd|C$b2x4#|Dc(Sm+?W9+y=&2Ciw&^hjt4I zE|*i+XAAV#sLyrR7Ph_SwLjejd9O!%6JeLaM~tWR1pBPfr7oAlt{A{4YI+K-KGZ=` zkx7m*))|&mi>(;zfxD&k~1)`D9+fIUS!?n)A;GT z0ao`_d-<3N_B7hR!5gJv)1}znAun=D>5Ai-n3gR3+2Qtb!xZn}ZgaBLX4Q=aV_$p8 zGr_LpQaia#7WSQ&JnnW*widVwOOmY_W5v8W)=m}^2hQ%NcIk>8_3k0(k z31h_vb16~1COjw2v7y+BqEj&fY5BCbV{A-28P)Ku);uUNVC@n1n_;$FU_vDp^?GM! zC1V3sJ(<{qMkis=%vrSSp-s;t>NQb+ayT^U;k!f}ZK0wj zsnihpL|iL|&GM3egsvQAIN;LJM6G1T?rEQIc#>l@7JRbGjHnpdkd#t;KGsp)$TBv+ zecsq4N49fTLNPp|y9-!_SGkGyJXs3X>eCg&7I?`|rA&h((U()%UT!4hMq8#ioaj4u zg(jXQ$C})RHJ>cR>m+fzbZ@JN*ikUHP}QZ_v$8N?bChT8Wp5-WYWfa2GzzGPS_(TVC*jWg)pqwOoa z-b9;dmxEaW}5p<&DqEjfzt2U%7;Fdf&Sa8eQcEMYLSG|K8|b zwoMB%qlV2aQ_`>@5Y83lZ1a+LM4G+Of@2BVPFnLyX%j=YA8dy=S=5NC;xW{y)-Ery zaBh%R8am1Bw3=ZTR4I=Xl=Hc_ylM6s%FT#nG|mu2>#0(Ey~&~!ZA7wMv*7uo;Jwt$1%jv}`-V?TS5A4Y2d9VW;FTb)gMGadF@dmTeltS(`|r`lf|o@^Hz z0~%t+`p9)nsgv0JDehUZ|G@UwM)QT$EHqw9nnIuOd zd?OY^DCz0tx}1V=DKK&}S!W&#@gnDK&F|$!POcwf@eOT%ePjyu9Vw3dQgREuy-z4KwQ;FW0^8Ir_Jk6C2X-_UU3ndTtnvZIMKVmvSMmIsa=;~`2JXLjCN>Tz$2 zvsfQ_{BhQod&x^j7AX!mQgN3{apLZD}3cu>sR73+w;ZoK6}=O z?63*;gc3SGOfg$+bfbVnbt}$Wm4Emf6(9LVBo7Un=!0_meoY5Q`Wcil_&2;v|FC(8Hdx{oHlyBQ?4&Ol@%T}Zd37-95nD3&8;1?^Q%-q0GNcEg$_l8R3gPU;gGk9YG|7t zs?Y1bbYN7d6T1zg{=rFXwxc-RGQ(n1vX9G}92J8O5?;I5>_qF53rSzFZ}}04W_z0~ zGg!~l$SZrcM4O|;q8u?}AJN;le5@ESy35u!vt%L4*3O;A*jYYxL`P;?ZY#xBZz5a{ zwxDPhhJoxY%y*ustU|}k$yQe(N>0Gj_Ze8k$Q!V;eddXwEaHCS9G^17GR@W!u{Z1Q zQwB3GyU;unWu5C&W&|CHVzVfUW9Rvn!-Hg;T!|8~fAA@XySo%T)P_Q`kMn)Y;Yh|> zq!xUEZ#fJbRgrdGbm~TYH14o%;+gh^r_Gmbb4^=UW~IpxvjubT#!i&T_QFQnw40+fDY$X=LQqlI^{g^w6Oz9I)OGDf@m#3ADLUZ706k&0{x9_y_!yqq&vmMGEnpit(M#=hQxeAoyN5ut+6>CJMMZBeh z8Sv!kSBbxO*3!igeyPR9aMh%tZrIM!U5I>T zfX%&LNh9+?6LeFO=JaSQ-oq)TN5^!yus|oP=D%bt^21q+$A(cu;d^zD-#nfK;_v)C zWl#H%>M~DRtXs+gMq4+$$RVDWUG6EcSd zj5ro$gFR(393>c5hh2TVChYDi51A3_BRHQA?jk+qRh^nVw8nVI#NtL!JXj=eC?B=X zU?cT1(NX9pdO{)ZzvN2~bOxR=+-06VE=znB+BNz-x@XRD`t-l}#9)+OhjbE8%QP_u z#c7XzSBCc%(qTjHdDi)Y+nl0{Cl_x@or#4Gybq{UWWAauPV}^fCy!IgSDqma&9qFz zJiudv6p!>_6YX>bvbH%%O3kDV4G3JY|O_IWok1WRvMV zEZW51&e%&HGBNgIM+v<;#kR=w>_WUEQVhpxjTw#X;hDrB9?DGOP*q@eY@D+AKVS&Jc6Q;7taz%Ym0J ztS(o^@YKoUlX7!eKpQzlgx*`kGYZrx(ChUKyj`PQ=WVV}Ham;OJ2ALnpt^SPypG!* zI?7jSPRF8DM%Q*Sm_{^%v#rJAjRnae%6hhuRqE^3mqE{~X^kh@khZcoZWld(8I7{V zI@ZgVOdd>t>IbRN_A;e7bfBYWak}5%^ZHOR9!XB~OmV7##RUd&UV9m((mJOZ-bMz! zkmPg}XX8~%oWF=04K0rLo!?$QxeNz9%Bj7LUF3NkkD%m}!ErcrmwVES+sS0&-B)u7 z4jJ7tL)yrAdynF(HZpXBN^eZ2I_PB`>Ph%aPnlsjgmC0raTcZArNPFfQKD_b+Q^E{ zin1SaJOANrW$>;j=jJh$QCFU&I3FvhVe^mBa`kh z7mUYE$qb8pkP1JpTcBBzKl134%fRjA)_d5TJG;rWqseU} zhjzAK%H1u+&&hhoM@K3fDy0f!dt~X#+OOHX)iV$Ib=&exz7d*sp9<=Z^d#h8&pb$X-^ zOLxpvPL4`E)7|&sr5@?pz4r{ybmiU;3w)VJI&K>9GI&X0a^C-G@5*}HDx&a6ED}p8 zf`UL|k$6Bm z*Th2kZ%l`J-@=I=GF^uL0QVE@AhrY(dh(62bHFK2YHNTK)A0re-SW!nndYfha}$xR zcx;{&k%WVVf1E5YNX0WOCGe|?hbZB-FldTI*9pq|_sTGCQBhg&8>n3kC#{8?U4^10By=LMtI2@u< zuwok}*ngaoM!ahMRjkn{ti^6>3Y}t)SSi4&W8pYCngU%U#yC((+0k7;hSFMC+-*WR z`F4q)2dB$0$u<#$2u+pGAib3$9T*JZ-{_wD-h-c|9#V^N3TPvc)LV5=mD`4B8z#ifj&?Ef`NM0 zhl4mld$IHl8Wp9U_hDy&BnFGk1GS3tuGl9C{C*!EIqZ}}X;L1a08Xg+{lT&9oYDjxKQ{HN=w zo7_ilVC+y3k{c8oRBX17cfo>hn>Y!BKiLf{O13tc7u(d2&vwBl1uts^?Bv=mSYc;o z@#>9+_P-ooCz+P^`@K@O;)vjj5hGnYz|Dm4X4fh(3&7R%r%?4q1b9;5io?f+omHUX5hOIsl z=tv@f9O6U`?=DJMi()<`4CdFkF7^=#ZmQ48DM6dgB?8O}ifpM093y-Xn54*7o$Bde z0_8?=q8%mwiHzyR%O!t?qy;C;(R2Ipa*{oI+k_iK%%KK7BXZ!6JSAb5k31!5m#6U* zb95V9N&1$Sgxw4ti85`&AamL0FGxA6erG94|)G2YqX6g&Mq84n8G2$5f!9R`X37M?6pFakk!V6Q{L+ zK3jsT3@$Q3ykW{kX0h&$ce8j#&B5?w!HvvEb}-bZedUy!sh7;EryP3(?pp8kt~MY713x93vNYdbkK#4cvGXQ7tx|5IQ5~>pc6=j0NKarwfZHZ3zk|Y z`fELYALs$`L|dh>O(5jvUcM)0#%ToV z;pmyRg?U znOQ91{4<0&+L{Kq>S!pUkao392Z zv(-W^ySPa7w>3RK9~6(&j+XPw71cJhV!2YNtpuebL4lox|awH8zn@~#w$g?yDTA6%Axl(Hv-W7%qe`X>sfl=@E7D}}1=1@bqE zMj!eRJxASjMSHW%0HIHhgJxE^AwK~c!pD4s-q13j@zOB_)IAPbw8LWbVnnAsGAwu< zbhC3KDt%Y@Ca=xb8hxT?wcluUr;`U7o8S}sQ1{!H2t0W@r=G&~!cSRs O_hRnO8-P3fjsFIWSak3J diff --git a/fdmdv2/pa_enum/bitmaps/blank.ico b/fdmdv2/pa_enum/bitmaps/blank.ico deleted file mode 100644 index accc4cc8d2eb3b6ec7f41137034d7779c31c19e8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 326 rcmZQzU<5)11tu_Uz{tQL#=yX!0mKSG>;S|dd0gQC|Npq8MwB=JVmbsB diff --git a/fdmdv2/pa_enum/bitmaps/inArrow.ICO b/fdmdv2/pa_enum/bitmaps/inArrow.ICO deleted file mode 100644 index cc7c9176b5bcd885734c2ec6a10a60dcc6be9248..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1078 zcmdUuF;2rk5Ji6i(z-%Ef-96`a3s1-xm|p$?Q)G!g%T=20?hkfEWE2!NECeM?acSg zt}S^YZM4-e^s*ke(k-#?i{EXen=5I@y#elEqia?v(R*2mB9)X%U?qj5oHK=K{%M+= z0he!i`QHl7>&M!M#>d)+7{|3@jNRHF=wJI0-!!Oc(A<#LufYkG=Y-00LhZZ8tMy&o z&zIJ3#SHxDh4c(ZI6#=DPk4t{c;X=^$dF)BBFS(9_qf-4yw_*UXB~-W@H1BOoO|*I zSmr#FUsfs)X0FMAi^MbTS^tAM?>N-r%Uk=Ak+DXVxL1hxrDciX+iQt)*?+YUc!Otn UfJ`OL)v`Fx;F^2f>pkB41u~l0jQ{`u diff --git a/fdmdv2/pa_enum/bitmaps/inArrow16x16.ico b/fdmdv2/pa_enum/bitmaps/inArrow16x16.ico deleted file mode 100644 index 6ed297b437feac42f571c9b12d9fd08abfbad65b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 318 zcmb`CyA8k~3`7r<0ZfycP%)-Q^C}r3Wr~QL`LwBW=-I|TO8^8O5nsktxwRh5hB{^zYd_GheZaedx`N(?RKErj z8fQY|OlUoJuHIwY|NJTXDNCIjGQ$XG*e=Tx9&m>%Pne)UhHo+_1t##0cYTeo^%?J3 KBgYy18C71#2WCA0 diff --git a/fdmdv2/pa_enum/bitmaps/outArrow.ICO b/fdmdv2/pa_enum/bitmaps/outArrow.ICO deleted file mode 100644 index b8b46ea57642901affe0478d8736d0c65f75fab0..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1078 zcmdUuF;c@Y6hzm78K)w?0j`+b0*;hUbf}9vj=)jyQD7)Y#}Uk1*`7$6FhfDZ#w-1= z{rc9(8>!Joec#DAZKONquAB3HBi&p{d)9jR02^I1N|D;jh=?dL&J!acj2g!gVM>pt z$r0q|B@h1$p*n9klnbFM2vtF-3PN}sa)gj)L#$*~vdZ1rS+?|vRi33n&t>PiOkT+3 zg{*BUYg@{{&G0~mFXB)50pH=9`2_FbEj@Rzg*X&jp5fSQ)^@I4_IU3!_i|77_B+n7 z&+Ysku09`$FC$`V6nvc~M#AKAd;Kqb?k>i9+v`^tjyMMl^K@9!RNF2dYZvdeizj^< aE7Je%l@q?eXLv;U;TEl>8rOQyBz*(8S<`g@ diff --git a/fdmdv2/pa_enum/bitmaps/outArrow16x16.ico b/fdmdv2/pa_enum/bitmaps/outArrow16x16.ico deleted file mode 100644 index dffb649e31e0c7464200152ee439a5c2bda451a3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 398 zcmcJKF%E+;3`M`I9)K*J5mS%I=%Ynq=*SVOsskfONS_HPOl-CFZ0FmFV#)B7I^tcU zNe@X+NH9R?SLW`@IbV(ZrDt7x*5b3f8M_>2Y1phsuv*4Sx-~hDEV{{i-PauPB^odzIcTuoDB zuBfVr4n%ZDHK;2}{Mra1P~Z1!ksq(Qh5qhjrE8a*4Z79_;Y<+D1jUsgd)!VVAI*M0 z84a?xK{ykH`v}S@aCL4xo8V?o<(91?Haq2C|3|_I_zadW^-tw6vMc%FqC6^h%B>K! oQL<88E?|vge%zn?r4+S))bpaAA3N!b^;CFM-jxsKxA!dm4OVh^LI3~& diff --git a/fdmdv2/pa_enum/bitmaps/sample.ico b/fdmdv2/pa_enum/bitmaps/sample.ico deleted file mode 100644 index 435cca2471cd31bb825ff1e6eb180c1939a9eb4a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1078 zcmc&zF%p6>5L_S)1H}g<-VtX@^EF=Zz9{mlQwW;#il~Wy%sJax^Jn}JGe|Bw=NmFB ge8aqPJmI~ud$Eb6)2}9ELOWr8V4xU|6W(XUPqgg+2mk;8 diff --git a/fdmdv2/pa_enum/bitmaps/small1.ico b/fdmdv2/pa_enum/bitmaps/small1.ico deleted file mode 100644 index 4cac633cb9d630497f54006e2537fe86ec7d7fd6..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 318 zcmZ{fF%H8Z3`IW@OQtY&ZN?lU0|(<8+@gCHj+DIvc{Z)mp~3wBuq{hQ3Pef?k6MHD zkhG$N7G!lh&HbMhiK|iuaGq+dL2Gos>fK(5u#(x$nQHaUY~1&k^KGOIryBDHr8#f( eonsB z=R4oscR#ijq718Pu1Vzmis%OWEzkfhkkJYn0`9U{B79`6ZqP8vdyicR4j(a#1z<@? z)GuPp4`St9s{s?3mHZPJ9~9{Ez^()zK6ZN>s#Fp?9fe zQYs0?+^ZRMX`I`%$ACXEe`J0|%}@aO5hMJM hn)&UMx&LDB_Pkpkc&z7YsHS49r((CBkTj!MRWLF4jBn%pjN#e(4W#;x`{ zw{tbSwx3(e&-a&v!9>nuq&7yLd$t7oK4q!}$)_iBPJxHhr{L{}zo`9`QT!$I4tU%S uwU!lc#H`+VHEzIx*jTog3`R{GiR{`{w`G}Iq&Z4%zzvvPuhFA$gPtK`lV!4_63UlnehLGTsOsSv zd;Hn55M~J{%UF7_izV~vOq7dx)9x+~v#UkR zu=7m!|8)EN8U8$jf1tG+{MdvJSl6KtT z2X1{sKzl|&LZt~5JmqckycxgDlL?`1-ThM!j|!EI0|J-N(#i!9~8^efOVKr;i^NvX9_ d-KyV<59wM3?HRRq(iyYPT6E^xliR?*@e8fq(T)HB diff --git a/fdmdv2/pa_enum/bitmaps/toolgame.xpm b/fdmdv2/pa_enum/bitmaps/toolgame.xpm deleted file mode 100644 index 7488bd8c..00000000 --- a/fdmdv2/pa_enum/bitmaps/toolgame.xpm +++ /dev/null @@ -1,41 +0,0 @@ -/* XPM */ -static const char *const toolgame_xpm[] = { -/* columns rows colors chars-per-pixel */ -"32 32 3 1", -"X c #808080", -" c None", -". c #FF0000", -/* pixels */ -" ", -" ", -" ", -" ", -" .. ", -" .... ", -" ...... ", -" ........ ", -" .......... ", -" ............ ", -" .............. ", -" ................ ", -" .................. ", -" .................... ", -" ...................... ", -" ........................ ", -" .......................... ", -" ........................X ", -" ......................X ", -" ....................X ", -" ..................X ", -" ................X ", -" ..............X ", -" ............X ", -" ..........X ", -" ........X ", -" ......X ", -" ....X ", -" ..X ", -" ", -" ", -" " -}; diff --git a/fdmdv2/pa_enum/bitmaps/toolnote.ico b/fdmdv2/pa_enum/bitmaps/toolnote.ico deleted file mode 100644 index ff0ec28bf417dd5611116d5a506215303a9ae51a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 766 zcmcIhF%H5o3_K7+w=ywjU^yReX6(cM{D4#{!=f-PP_#Xhj=bFTu{b(3Cii^EjkW5M0z5N~~<9^cVOfI!f}iJjK#7C57X;n*36!~GDq&WIg(_YOB+`n;l1ZB!s3LPPIL~1wCrN_FbsuI-25N{~|#W3o9=5+3Lzt^8CMB|ZttZm}^JdS3*GIOvu+og9e d;5sF5xa-ZfF20+c`KnVrb)?6yoVxX0L?14d089V? diff --git a/fdmdv2/pa_enum/bitmaps/tooltime.xpm b/fdmdv2/pa_enum/bitmaps/tooltime.xpm deleted file mode 100644 index f75477d9..00000000 --- a/fdmdv2/pa_enum/bitmaps/tooltime.xpm +++ /dev/null @@ -1,42 +0,0 @@ -/* XPM */ -static const char *const tooltime_xpm[] = { -/* columns rows colors chars-per-pixel */ -"32 32 4 1", -". c Black", -"o c #FFFFFF", -" c None", -"X c #C0C0C0", -/* pixels */ -" ", -" ", -" ", -" ", -" ..... ", -" ...XX.oo... ", -" .XXXoo.ooooo. ", -" ..Xoooooooooooo.. ", -" .Xoooooo.ooooooo. ", -" .Xooooooo.Xooooooo. ", -" .Xoooooooo.Xoooooooo. ", -" .Xoooooooo.Xoooooooo. ", -" .Xoooooooo.Xoooooooo. ", -" .Xooooooooo.Xooooooooo. ", -" .Xooooooooo.Xooooooooo.X ", -" ...oo.......Xooooooo...X ", -" .oooooXXXXXXXooooooooo.X ", -" .ooooooooooooooooooooo.X ", -" .ooooooooooooooooooo.XX ", -" .ooooooooooooooooooo.XX ", -" .ooooooooooooooooooo.X ", -" .ooooooooooooooooo.XX ", -" .ooooooooooooooo.XX ", -" ..ooooooooooooo..X ", -" .ooooo.ooooo.XX ", -" ...oo.oo...XX ", -" XX.....XXXX ", -" XXXXXXX ", -" ", -" ", -" ", -" " -}; diff --git a/fdmdv2/pa_enum/bitmaps/tooltodo.ico b/fdmdv2/pa_enum/bitmaps/tooltodo.ico deleted file mode 100644 index 6b93a9451f7d32fb8a9448e821f17c25395a04cc..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 766 zcmcJNv2KGv42GSw5+j+ihA}$}(hbR55h+7Y>QX6BgFIX$FFZ=ej(G#}`|N{O>CmC^ z@!R*=KOjyJt+1MAgZxTgYoaX??V$!bLfY4enEf6RLrh|{f{ZPVMf!-D#>v^{p6I~;PX@r&T@7`;UxBih0UJ2(TJE(@LKd63qi8t=);`EFF diff --git a/fdmdv2/pa_enum/bitmaps/tooltodo.xpm b/fdmdv2/pa_enum/bitmaps/tooltodo.xpm deleted file mode 100644 index 9ba1e31a..00000000 --- a/fdmdv2/pa_enum/bitmaps/tooltodo.xpm +++ /dev/null @@ -1,194 +0,0 @@ -/* XPM */ -static const char *const tooltodo_xpm[] = { -/* columns rows colors chars-per-pixel */ -"32 32 156 2", -"0 c #A08020", -"+. c #D0C066", -"q c #A08022", -"T c #98752D", -"6. c #D0C072", -"w c #A88B28", -"@. c #987540", -"l c #A08037", -"~ c #A0803F", -"4. c #A08048", -"7 c #808080", -"m c #704012", -"P c #704013", -"] c #704014", -"B c #704016", -"{ c #704017", -":. c #704019", -"k c #70401B", -"A c #70401C", -"W c #70401D", -".. c #70401E", -"F c #70401F", -"1. c #704020", -"H c #704022", -"s c #EFEA37", -") c #704024", -"5. c #704025", -"} c #784B1E", -"z c #784B22", -"2. c #784B26", -"/ c #784B28", -"Y c #EFEA55", -"N c #E0D547", -"D c #EFEA6C", -"X. c #EFEA70", -"o. c #EFEA74", -"R c #E0D554", -"| c #E0D558", -"#. c #EFEA83", -"y c #E8E05D", -"3. c #E0D570", -"x c #E8E069", -"G c #BFAA57", -"J c #BFAA5D", -">. c #B0953D", -" c None", -"<. c #B8A04D", -"^ c #B8A052", -"X c #FFFF30", -"o c #FFFF34", -"O c #FFFF38", -"+ c #FFFF3C", -"b c #FFFF40", -"@ c #FFFF41", -"' c #FFFF43", -"n c #FFFF44", -"# c #FFFF45", -"*. c #FFFF47", -"L c #FFFF48", -"$ c #FFFF49", -"8. c #FFFF4B", -"M c #FFFF4C", -"V c #906B27", -"% c #FFFF4D", -"p. c #FFFF4F", -"I c #FFFF50", -"& c #FFFF51", -"a. c #FFFF53", -"U c #FFFF54", -"e c #FFFF55", -"* c #FFFF56", -"g. c #FFFF57", -"[ c #FFFF58", -"r c #FFFF59", -"= c #FFFF5A", -"l. c #FFFF5B", -". c #C0C0C0", -"-. c #FFFF5C", -"j c #FFFF5D", -"- c #FFFF5E", -"b. c #FFFF5F", -"9. c #FFFF60", -"C c #FFFF61", -"; c #FFFF62", -"n. c #FFFF63", -"0. c #FFFF64", -": c #FFFF66", -"N. c #FFFF67", -"s. c #FFFF68", -"E c #FFFF69", -"Z c #FFFF6A", -"> c #FFFF6B", -"h. c #FFFF6C", -" . c #FFFF6D", -"u c #FFFF6E", -", c #FFFF6F", -"z. c #FFFF70", -",. c #FFFF71", -"S c #FFFF72", -"< c #FFFF73", -"x. c #FFFF74", -"q. c #FFFF75", -"Q c #FFFF76", -"f c #602B0B", -"1 c #FFFF77", -"m. c #FFFF78", -"w. c #FFFF79", -"! c #FFFF7A", -"2 c #FFFF7B", -"B. c #FFFF7C", -"d. c #FFFF7D", -"e. c #FFFF7E", -"i c #FFFF7F", -"3 c #FFFF80", -"j. c #FFFF81", -"r. c #FFFF82", -"p c #FFFF83", -"4 c #FFFF84", -"c. c #FFFF85", -"k. c #FFFF86", -"c c #FFFF87", -"5 c #FFFF88", -"v. c #FFFF89", -"y. c #FFFF8A", -"( c #FFFF8B", -"6 c #FFFF8C", -"t. c #FFFF8D", -"u. c #FFFF8E", -"O. c #68361C", -"a c #FFFF90", -"V. c #FFFF91", -"f. c #FFFF92", -"$. c #68361F", -"_ c #FFFF93", -"v c #FFFF94", -"Z. c #FFFF95", -"M. c #FFFF96", -"%. c #FFFF97", -"K c #FFFF98", -"A. c #FFFF99", -"C. c #FFFF9A", -"7. c #FFFF9B", -"` c #FFFF9C", -"D. c #FFFF9D", -"S. c #FFFF9E", -"i. c #FFFF9F", -"&. c #FFFFA0", -"F. c #FFFFA1", -"8 c #D0C02A", -"d c #D0C030", -"g c #D0C036", -"h c #D0C03A", -";. c #C8B545", -"t c #C8B548", -"=. c #987527", -"9 c #A0801E", -/* pixels */ -" ", -" ", -" ", -" ", -" ", -" ", -" . . . . . . . . . . . . . . . . . . . . . . . . . ", -" . X o O + @ # $ % & * = - ; : > , < 1 2 3 4 5 6 . 7 ", -" . o 8 9 0 q w % & e r - ; t y u < 1 2 i p 5 6 a . 7 ", -" . O s d f g h & e r j ; : k l z x 2 i p c 6 a v . 7 ", -" . + b n m M & N B V C : Z A S D F i G H J a v K . 7 ", -" . b n L P I U B Y T R E u W Q ! ~ ^ / ( ) _ K ` . 7 ", -" . ' L M ] U [ { C } | .S ..X.o.O.+.@.#.$.%.` &.. 7 ", -" . *.M I =.[ -.;.:.>. .,.Q <.1.2.3.( 4.5.6.7.&.. . 7 ", -" . 8.I U [ -.9.0.E .,.q.w.e.r.t.y.u._ %.7.i.. . . 7 ", -" . p.a.[ -.9.0.s. .,.q.w.d.r.t.t.u.f.%.7.. . . o . 7 ", -" . a.g.-.9.0.s.h.,.q.w.d.j.k.y.t.. . . . . o o . 7 ", -" . g.l.9.0.s.h.z.x.w.d.j.c.v.u.f.. o o o o o . 7 ", -" . l.b.n.s.h.z.x.m.d.j.c.v.t.f.M.. o o o o . 7 ", -" . b.n.N.h.z.x.m.B.j.c.v.t.V.M.C.. o o o . 7 ", -" . n.N.> z.x.m.B.3 4 v.t.V.Z.A.S.. o o . 7 ", -" . N.> , < m.B.3 4 5 t.V.Z.A.D.. o o . 7 ", -" . > , < 1 B.3 4 5 6 V.Z.A.D.. . o . 7 ", -" . , < 1 2 3 4 5 6 a v A.D.F.. o . 7 ", -" . < 1 2 i p 5 6 a v K D.F.. o . 7 ", -" . . . . . . . . . . . . . . . 7 ", -" 7 7 7 7 7 7 7 7 7 7 7 7 7 7 ", -" ", -" ", -" ", -" ", -" " -}; diff --git a/fdmdv2/pa_enum/bitmaps/toolword.ico b/fdmdv2/pa_enum/bitmaps/toolword.ico deleted file mode 100644 index a1a8838c276178deae7c6d9defd32b2909ace453..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 766 zcmcIiI}XAy41KMTItCUN1~PJt9)+6_!NqbEM#hc|2=nY#QQRUVBzWnIf3fwN7HGI) zTtjhdfi3NUjATzXSaBy@k`Jo!tW9YrqwP^K<2^$3M0Uh9MxO$Wba diff --git a/fdmdv2/pa_enum/bitmaps/toolword.xpm b/fdmdv2/pa_enum/bitmaps/toolword.xpm deleted file mode 100644 index b2e96a12..00000000 --- a/fdmdv2/pa_enum/bitmaps/toolword.xpm +++ /dev/null @@ -1,41 +0,0 @@ -/* XPM */ -static const char *const toolword_xpm[] = { -/* width height ncolors chars_per_pixel */ -"32 32 3 1", -/* colors */ -" s None c None", -". c #000000", -"+ c #ffffff", -/* pixels */ -" ", -" ", -" ........ ........ ", -" .++++++. ...........++++++. ", -" .++++++. .++++++++..++++++. ", -" ........ .++++++++......... ", -" .++++++++. ", -" .......... ", -" ", -" ", -" ", -" ..... ", -"...... ......... .+++. .........", -".++++. .+++++++. .+++. .+++++++.", -".++++. .+++++++. .+++. .+++++++.", -".++++. .+++++++. ..... .+++++++.", -"...... ......... .........", -" ", -" ", -" ", -" ", -" .......... ", -" .. .++++++++. ", -" .++. .++++++++. ", -" .++++. .++++++++. ", -" .++++. .......... ", -" ...........+++. ", -" .++++++++. .+. ", -" .++++++++. . ", -" .......... ", -" ", -" "}; diff --git a/fdmdv2/pa_enum/bitmaps/transparent.ico b/fdmdv2/pa_enum/bitmaps/transparent.ico deleted file mode 100644 index eeba3067db0285e5d7d0647f26042b56846ed45e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4286 zcmeHGyA^;S3_LfmvZl0l0!L#U$6zys&c};-q=1Ox!vYfS!vPHOa}Mc`Z3HF&bDVJr zYn*BQALR~&5Xzf6?(p7MQ&$tKnkW+J%ej-ZOIeWWNPAUfweeIWl}&fr?)DPg(>_D# z>I~`Lr8NeFsnMRT?PEBxHCG<8{co(ZpgHHzA`2}rt>?vpCl)y5`Lup-Kfit*IKKx2 Nxm@~nnpd_r9tWbzvkd?M diff --git a/fdmdv2/pa_enum/bitmaps/transparent.xpm b/fdmdv2/pa_enum/bitmaps/transparent.xpm deleted file mode 100644 index 01f4737d..00000000 --- a/fdmdv2/pa_enum/bitmaps/transparent.xpm +++ /dev/null @@ -1,36 +0,0 @@ -/* XPM */ -static char * transparent_xpm[] = { -"32 32 1 1", -" c None", -" ", -" ", -" ", -" ", -" ", -" ", -" ", -" ", -" ", -" ", -" ", -" ", -" ", -" ", -" ", -" ", -" ", -" ", -" ", -" ", -" ", -" ", -" ", -" ", -" ", -" ", -" ", -" ", -" ", -" ", -" ", -" "}; diff --git a/fdmdv2/pa_enum/gui.cpp b/fdmdv2/pa_enum/gui.cpp deleted file mode 100644 index 35cbda2b..00000000 --- a/fdmdv2/pa_enum/gui.cpp +++ /dev/null @@ -1,321 +0,0 @@ -/////////////////////////////////////////////////////////////////////////// -// C++ code generated with wxFormBuilder (version Mar 17 2012) -// http://www.wxformbuilder.org/ -// -// PLEASE DO "NOT" EDIT THIS FILE! -/////////////////////////////////////////////////////////////////////////// - -#include "gui.h" - -/////////////////////////////////////////////////////////////////////////// - -MainFrameBase::MainFrameBase( wxWindow* parent, wxWindowID id, const wxString& title, const wxPoint& pos, const wxSize& size, long style ) : wxFrame( parent, id, title, pos, size, style ) -{ - this->SetSizeHints( wxDefaultSize, wxDefaultSize ); - - wxBoxSizer* mainSizer; - mainSizer = new wxBoxSizer( wxVERTICAL ); - - m_panel1 = new wxPanel( this, wxID_ANY, wxDefaultPosition, wxDefaultSize, wxTAB_TRAVERSAL ); - wxBoxSizer* bSizer4; - bSizer4 = new wxBoxSizer( wxVERTICAL ); - - m_notebook1 = new wxNotebook( m_panel1, wxID_ANY, wxDefaultPosition, wxDefaultSize, wxNB_BOTTOM ); - m_panelRx = new wxPanel( m_notebook1, wxID_ANY, wxDefaultPosition, wxDefaultSize, wxTAB_TRAVERSAL ); - wxBoxSizer* bSizer20; - bSizer20 = new wxBoxSizer( wxVERTICAL ); - - wxGridSizer* gSizer4; - gSizer4 = new wxGridSizer( 2, 1, 0, 0 ); - - wxStaticBoxSizer* sbSizer2; - sbSizer2 = new wxStaticBoxSizer( new wxStaticBox( m_panelRx, wxID_ANY, _("Rx Radio Device") ), wxVERTICAL ); - - m_listCtrlRxInDevices = new wxListCtrl( m_panelRx, wxID_ANY, wxDefaultPosition, wxDefaultSize, wxLC_HRULES|wxLC_REPORT|wxLC_VRULES ); - sbSizer2->Add( m_listCtrlRxInDevices, 1, wxALL|wxEXPAND, 1 ); - - wxBoxSizer* bSizer811; - bSizer811 = new wxBoxSizer( wxHORIZONTAL ); - - m_staticText51 = new wxStaticText( m_panelRx, wxID_ANY, _("Device:"), wxDefaultPosition, wxDefaultSize, 0 ); - m_staticText51->Wrap( -1 ); - bSizer811->Add( m_staticText51, 0, wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL, 5 ); - - m_textCtrlRxIn = new wxTextCtrl( m_panelRx, wxID_ANY, wxEmptyString, wxDefaultPosition, wxDefaultSize, 0 ); - bSizer811->Add( m_textCtrlRxIn, 1, wxALIGN_CENTER_VERTICAL|wxALL, 1 ); - - m_staticText6 = new wxStaticText( m_panelRx, wxID_ANY, _("Sample Rate:"), wxDefaultPosition, wxDefaultSize, 0 ); - m_staticText6->Wrap( -1 ); - bSizer811->Add( m_staticText6, 0, wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL, 5 ); - - m_cbSampleRateRxIn = new wxComboBox( m_panelRx, wxID_ANY, wxEmptyString, wxDefaultPosition, wxDefaultSize, 0, NULL, wxCB_DROPDOWN ); - bSizer811->Add( m_cbSampleRateRxIn, 0, wxALL, 1 ); - - - sbSizer2->Add( bSizer811, 0, wxEXPAND, 5 ); - - - gSizer4->Add( sbSizer2, 1, wxEXPAND, 5 ); - - wxStaticBoxSizer* sbSizer3; - sbSizer3 = new wxStaticBoxSizer( new wxStaticBox( m_panelRx, wxID_ANY, _("Rx Speaker Stream") ), wxVERTICAL ); - - m_listCtrlRxOutDevices = new wxListCtrl( m_panelRx, wxID_ANY, wxDefaultPosition, wxDefaultSize, wxLC_HRULES|wxLC_REPORT|wxLC_VRULES ); - sbSizer3->Add( m_listCtrlRxOutDevices, 1, wxALL|wxEXPAND, 1 ); - - wxBoxSizer* bSizer81; - bSizer81 = new wxBoxSizer( wxHORIZONTAL ); - - m_staticText9 = new wxStaticText( m_panelRx, wxID_ANY, _("Device:"), wxDefaultPosition, wxDefaultSize, 0 ); - m_staticText9->Wrap( -1 ); - bSizer81->Add( m_staticText9, 0, wxALIGN_CENTER_VERTICAL|wxALL, 5 ); - - m_textRxOut = new wxTextCtrl( m_panelRx, wxID_ANY, wxEmptyString, wxDefaultPosition, wxDefaultSize, 0 ); - bSizer81->Add( m_textRxOut, 1, wxALIGN_CENTER_VERTICAL|wxALL, 1 ); - - m_staticText10 = new wxStaticText( m_panelRx, wxID_ANY, _("Sample Rate:"), wxDefaultPosition, wxDefaultSize, 0 ); - m_staticText10->Wrap( -1 ); - bSizer81->Add( m_staticText10, 0, wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL, 5 ); - - m_cbSampleRateRxOut = new wxComboBox( m_panelRx, wxID_ANY, wxEmptyString, wxDefaultPosition, wxDefaultSize, 0, NULL, wxCB_DROPDOWN ); - bSizer81->Add( m_cbSampleRateRxOut, 0, wxALIGN_CENTER_VERTICAL|wxALL, 1 ); - - - sbSizer3->Add( bSizer81, 0, wxEXPAND, 2 ); - - - gSizer4->Add( sbSizer3, 1, wxEXPAND, 2 ); - - - bSizer20->Add( gSizer4, 1, wxEXPAND, 1 ); - - - m_panelRx->SetSizer( bSizer20 ); - m_panelRx->Layout(); - bSizer20->Fit( m_panelRx ); - m_notebook1->AddPage( m_panelRx, _("Receive"), true ); - m_panelTx = new wxPanel( m_notebook1, wxID_ANY, wxDefaultPosition, wxDefaultSize, wxTAB_TRAVERSAL ); - wxBoxSizer* bSizer18; - bSizer18 = new wxBoxSizer( wxVERTICAL ); - - wxGridSizer* gSizer2; - gSizer2 = new wxGridSizer( 2, 1, 0, 0 ); - - wxStaticBoxSizer* sbSizer22; - sbSizer22 = new wxStaticBoxSizer( new wxStaticBox( m_panelTx, wxID_ANY, _("Tx Microphone Stream") ), wxVERTICAL ); - - m_listCtrlTxInDevices = new wxListCtrl( m_panelTx, wxID_ANY, wxDefaultPosition, wxDefaultSize, wxLC_HRULES|wxLC_REPORT|wxLC_VRULES ); - sbSizer22->Add( m_listCtrlTxInDevices, 1, wxALL|wxEXPAND, 1 ); - - wxBoxSizer* bSizer83; - bSizer83 = new wxBoxSizer( wxHORIZONTAL ); - - m_staticText12 = new wxStaticText( m_panelTx, wxID_ANY, _("Device:"), wxDefaultPosition, wxDefaultSize, 0 ); - m_staticText12->Wrap( -1 ); - bSizer83->Add( m_staticText12, 0, wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL, 5 ); - - m_textCtrlTxIn = new wxTextCtrl( m_panelTx, wxID_ANY, wxEmptyString, wxDefaultPosition, wxDefaultSize, 0 ); - bSizer83->Add( m_textCtrlTxIn, 1, wxALIGN_CENTER_VERTICAL|wxALL, 1 ); - - m_staticText11 = new wxStaticText( m_panelTx, wxID_ANY, _("Sample Rate:"), wxDefaultPosition, wxDefaultSize, 0 ); - m_staticText11->Wrap( -1 ); - bSizer83->Add( m_staticText11, 0, wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL, 5 ); - - m_cbSampleRateTxIn = new wxComboBox( m_panelTx, wxID_ANY, wxEmptyString, wxDefaultPosition, wxDefaultSize, 0, NULL, wxCB_DROPDOWN ); - bSizer83->Add( m_cbSampleRateTxIn, 0, wxALL, 1 ); - - - sbSizer22->Add( bSizer83, 0, wxEXPAND, 2 ); - - - gSizer2->Add( sbSizer22, 1, wxEXPAND, 5 ); - - wxStaticBoxSizer* sbSizer21; - sbSizer21 = new wxStaticBoxSizer( new wxStaticBox( m_panelTx, wxID_ANY, _("Tx Radio Stream") ), wxVERTICAL ); - - m_listCtrlTxOutDevices = new wxListCtrl( m_panelTx, wxID_ANY, wxDefaultPosition, wxDefaultSize, wxLC_HRULES|wxLC_REPORT|wxLC_VRULES ); - sbSizer21->Add( m_listCtrlTxOutDevices, 1, wxALL|wxEXPAND, 2 ); - - wxBoxSizer* bSizer82; - bSizer82 = new wxBoxSizer( wxHORIZONTAL ); - - m_staticText81 = new wxStaticText( m_panelTx, wxID_ANY, _("Device:"), wxDefaultPosition, wxDefaultSize, 0 ); - m_staticText81->Wrap( -1 ); - bSizer82->Add( m_staticText81, 0, wxALIGN_CENTER_VERTICAL|wxALL, 5 ); - - m_textCtrTxOut = new wxTextCtrl( m_panelTx, wxID_ANY, wxEmptyString, wxDefaultPosition, wxDefaultSize, 0 ); - bSizer82->Add( m_textCtrTxOut, 1, wxALIGN_CENTER_VERTICAL|wxALL, 1 ); - - m_staticText71 = new wxStaticText( m_panelTx, wxID_ANY, _("Sample Rate:"), wxDefaultPosition, wxDefaultSize, 0 ); - m_staticText71->Wrap( -1 ); - bSizer82->Add( m_staticText71, 0, wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL, 5 ); - - m_cbSampleRateTxOut = new wxComboBox( m_panelTx, wxID_ANY, wxEmptyString, wxDefaultPosition, wxDefaultSize, 0, NULL, wxCB_DROPDOWN ); - bSizer82->Add( m_cbSampleRateTxOut, 0, wxALL, 1 ); - - - sbSizer21->Add( bSizer82, 0, wxEXPAND, 2 ); - - - gSizer2->Add( sbSizer21, 1, wxEXPAND, 5 ); - - - bSizer18->Add( gSizer2, 1, wxEXPAND, 1 ); - - - m_panelTx->SetSizer( bSizer18 ); - m_panelTx->Layout(); - bSizer18->Fit( m_panelTx ); - m_notebook1->AddPage( m_panelTx, _("Transmit"), false ); - m_panelAPI = new wxPanel( m_notebook1, wxID_ANY, wxDefaultPosition, wxDefaultSize, wxTAB_TRAVERSAL ); - wxBoxSizer* bSizer12; - bSizer12 = new wxBoxSizer( wxHORIZONTAL ); - - wxGridSizer* gSizer31; - gSizer31 = new wxGridSizer( 2, 1, 0, 0 ); - - wxStaticBoxSizer* sbSizer1; - sbSizer1 = new wxStaticBoxSizer( new wxStaticBox( m_panelAPI, wxID_ANY, _("PortAudio") ), wxVERTICAL ); - - wxGridSizer* gSizer3; - gSizer3 = new wxGridSizer( 4, 2, 0, 0 ); - - m_staticText7 = new wxStaticText( m_panelAPI, wxID_ANY, _("PortAudio Version String:"), wxDefaultPosition, wxDefaultSize, 0 ); - m_staticText7->Wrap( -1 ); - gSizer3->Add( m_staticText7, 0, wxALIGN_RIGHT|wxALL|wxALIGN_CENTER_VERTICAL, 1 ); - - wxBoxSizer* bSizer151; - bSizer151 = new wxBoxSizer( wxVERTICAL ); - - m_textStringVer = new wxTextCtrl( m_panelAPI, wxID_ANY, wxEmptyString, wxDefaultPosition, wxDefaultSize, wxTE_READONLY ); - bSizer151->Add( m_textStringVer, 0, wxALL|wxEXPAND, 1 ); - - - gSizer3->Add( bSizer151, 1, wxEXPAND, 2 ); - - m_staticText8 = new wxStaticText( m_panelAPI, wxID_ANY, _("PortAudio Int Version:"), wxDefaultPosition, wxDefaultSize, 0 ); - m_staticText8->Wrap( -1 ); - gSizer3->Add( m_staticText8, 0, wxALIGN_RIGHT|wxALL|wxALIGN_CENTER_VERTICAL, 1 ); - - wxBoxSizer* bSizer13; - bSizer13 = new wxBoxSizer( wxVERTICAL ); - - m_textIntVer = new wxTextCtrl( m_panelAPI, wxID_ANY, wxEmptyString, wxDefaultPosition, wxDefaultSize, wxTE_READONLY ); - bSizer13->Add( m_textIntVer, 0, wxALL|wxALIGN_CENTER_VERTICAL, 1 ); - - - gSizer3->Add( bSizer13, 1, wxEXPAND, 5 ); - - m_staticText5 = new wxStaticText( m_panelAPI, wxID_ANY, _("Device Count:"), wxDefaultPosition, wxDefaultSize, 0 ); - m_staticText5->Wrap( -1 ); - gSizer3->Add( m_staticText5, 0, wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL, 1 ); - - wxBoxSizer* bSizer14; - bSizer14 = new wxBoxSizer( wxVERTICAL ); - - m_textCDevCount = new wxTextCtrl( m_panelAPI, wxID_ANY, wxEmptyString, wxDefaultPosition, wxSize( 45,-1 ), wxTE_READONLY ); - m_textCDevCount->SetMaxSize( wxSize( 45,-1 ) ); - - bSizer14->Add( m_textCDevCount, 0, wxALIGN_CENTER_VERTICAL|wxALL, 1 ); - - - gSizer3->Add( bSizer14, 1, wxEXPAND, 5 ); - - m_staticText4 = new wxStaticText( m_panelAPI, wxID_ANY, _("API Count:"), wxDefaultPosition, wxDefaultSize, 0 ); - m_staticText4->Wrap( -1 ); - gSizer3->Add( m_staticText4, 0, wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL, 1 ); - - wxBoxSizer* bSizer15; - bSizer15 = new wxBoxSizer( wxVERTICAL ); - - m_textAPICount = new wxTextCtrl( m_panelAPI, wxID_ANY, wxEmptyString, wxDefaultPosition, wxSize( 45,-1 ), wxTE_READONLY ); - m_textAPICount->SetMaxSize( wxSize( 45,-1 ) ); - - bSizer15->Add( m_textAPICount, 0, wxALIGN_CENTER_VERTICAL|wxALL, 1 ); - - - gSizer3->Add( bSizer15, 1, wxEXPAND, 5 ); - - - sbSizer1->Add( gSizer3, 1, wxEXPAND, 2 ); - - - gSizer31->Add( sbSizer1, 1, wxEXPAND, 2 ); - - wxStaticBoxSizer* sbSizer6; - sbSizer6 = new wxStaticBoxSizer( new wxStaticBox( m_panelAPI, wxID_ANY, _("Other") ), wxVERTICAL ); - - - gSizer31->Add( sbSizer6, 1, wxEXPAND, 5 ); - - - bSizer12->Add( gSizer31, 1, wxEXPAND, 5 ); - - - m_panelAPI->SetSizer( bSizer12 ); - m_panelAPI->Layout(); - bSizer12->Fit( m_panelAPI ); - m_notebook1->AddPage( m_panelAPI, _("API Info"), false ); - - bSizer4->Add( m_notebook1, 1, wxEXPAND | wxALL, 0 ); - - - m_panel1->SetSizer( bSizer4 ); - m_panel1->Layout(); - bSizer4->Fit( m_panel1 ); - mainSizer->Add( m_panel1, 1, wxEXPAND | wxALL, 1 ); - - wxBoxSizer* bSizer6; - bSizer6 = new wxBoxSizer( wxHORIZONTAL ); - - m_btnRefresh = new wxButton( this, wxID_ANY, _("Refresh"), wxDefaultPosition, wxDefaultSize, 0 ); - bSizer6->Add( m_btnRefresh, 0, wxALIGN_CENTER|wxALL, 2 ); - - m_sdbSizer1 = new wxStdDialogButtonSizer(); - m_sdbSizer1OK = new wxButton( this, wxID_OK ); - m_sdbSizer1->AddButton( m_sdbSizer1OK ); - m_sdbSizer1Apply = new wxButton( this, wxID_APPLY ); - m_sdbSizer1->AddButton( m_sdbSizer1Apply ); - m_sdbSizer1Cancel = new wxButton( this, wxID_CANCEL ); - m_sdbSizer1->AddButton( m_sdbSizer1Cancel ); - m_sdbSizer1->Realize(); - - bSizer6->Add( m_sdbSizer1, 1, wxALIGN_CENTER_VERTICAL, 2 ); - - - mainSizer->Add( bSizer6, 0, wxEXPAND, 2 ); - - - this->SetSizer( mainSizer ); - this->Layout(); - - this->Centre( wxBOTH ); - - // Connect Events - this->Connect( wxEVT_ACTIVATE_APP, wxActivateEventHandler( MainFrameBase::OnActivateApp ) ); - this->Connect( wxEVT_CLOSE_WINDOW, wxCloseEventHandler( MainFrameBase::OnCloseFrame ) ); - m_listCtrlRxInDevices->Connect( wxEVT_COMMAND_LIST_ITEM_SELECTED, wxListEventHandler( MainFrameBase::OnRxInDeviceSelect ), NULL, this ); - m_listCtrlRxOutDevices->Connect( wxEVT_COMMAND_LIST_ITEM_SELECTED, wxListEventHandler( MainFrameBase::OnRxOutDeviceSelect ), NULL, this ); - m_listCtrlTxInDevices->Connect( wxEVT_COMMAND_LIST_ITEM_SELECTED, wxListEventHandler( MainFrameBase::OnTxInDeviceSelect ), NULL, this ); - m_listCtrlTxOutDevices->Connect( wxEVT_COMMAND_LIST_ITEM_SELECTED, wxListEventHandler( MainFrameBase::OnTxOutDeviceSelect ), NULL, this ); - m_btnRefresh->Connect( wxEVT_COMMAND_BUTTON_CLICKED, wxCommandEventHandler( MainFrameBase::OnRefreshClick ), NULL, this ); - m_sdbSizer1Apply->Connect( wxEVT_COMMAND_BUTTON_CLICKED, wxCommandEventHandler( MainFrameBase::OnApplyAudioParameters ), NULL, this ); - m_sdbSizer1Cancel->Connect( wxEVT_COMMAND_BUTTON_CLICKED, wxCommandEventHandler( MainFrameBase::OnCancelAudioParameters ), NULL, this ); - m_sdbSizer1OK->Connect( wxEVT_COMMAND_BUTTON_CLICKED, wxCommandEventHandler( MainFrameBase::OnOkAudioParameters ), NULL, this ); -} - -MainFrameBase::~MainFrameBase() -{ - // Disconnect Events - this->Disconnect( wxEVT_ACTIVATE_APP, wxActivateEventHandler( MainFrameBase::OnActivateApp ) ); - this->Disconnect( wxEVT_CLOSE_WINDOW, wxCloseEventHandler( MainFrameBase::OnCloseFrame ) ); - m_listCtrlRxInDevices->Disconnect( wxEVT_COMMAND_LIST_ITEM_SELECTED, wxListEventHandler( MainFrameBase::OnRxInDeviceSelect ), NULL, this ); - m_listCtrlRxOutDevices->Disconnect( wxEVT_COMMAND_LIST_ITEM_SELECTED, wxListEventHandler( MainFrameBase::OnRxOutDeviceSelect ), NULL, this ); - m_listCtrlTxInDevices->Disconnect( wxEVT_COMMAND_LIST_ITEM_SELECTED, wxListEventHandler( MainFrameBase::OnTxInDeviceSelect ), NULL, this ); - m_listCtrlTxOutDevices->Disconnect( wxEVT_COMMAND_LIST_ITEM_SELECTED, wxListEventHandler( MainFrameBase::OnTxOutDeviceSelect ), NULL, this ); - m_btnRefresh->Disconnect( wxEVT_COMMAND_BUTTON_CLICKED, wxCommandEventHandler( MainFrameBase::OnRefreshClick ), NULL, this ); - m_sdbSizer1Apply->Disconnect( wxEVT_COMMAND_BUTTON_CLICKED, wxCommandEventHandler( MainFrameBase::OnApplyAudioParameters ), NULL, this ); - m_sdbSizer1Cancel->Disconnect( wxEVT_COMMAND_BUTTON_CLICKED, wxCommandEventHandler( MainFrameBase::OnCancelAudioParameters ), NULL, this ); - m_sdbSizer1OK->Disconnect( wxEVT_COMMAND_BUTTON_CLICKED, wxCommandEventHandler( MainFrameBase::OnOkAudioParameters ), NULL, this ); - -} diff --git a/fdmdv2/pa_enum/gui.fbp b/fdmdv2/pa_enum/gui.fbp deleted file mode 100644 index 4f69c823..00000000 --- a/fdmdv2/pa_enum/gui.fbp +++ /dev/null @@ -1,3488 +0,0 @@ - - - - - - C++|Python|XRC - 1 - source_name - 0 - 0 - res - UTF-8 - connect - gui - 1000 - none - 1 - PA_Enum - - . - - 1 - 1 - 1 - 0 - 0 - - 1 - 1 - 1 - 1 - - 0 - - - - - - - 1 - wxBOTH - 0 - 1 - - 1 - 0 - Dock - 0 - Left - 1 - impl_virtual - - - 1 - - 0 - 0 - wxID_ANY - - 0 - - - 0 - - 1 - MainFrameBase - 1 - - - 1 - - Resizable - 1 - 790,400 - wxCLOSE_BOX|wxDEFAULT_FRAME_STYLE|wxRESIZE_BORDER - - PortAudio Device Enumeration - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - wxTAB_TRAVERSAL - 1 - - OnActivateApp - - - - - - - - OnCloseFrame - - - - - - - - - - - - - - - - - - - - - - - - - - - - mainSizer - wxVERTICAL - none - - 1 - wxEXPAND | wxALL - 1 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - 1 - - 1 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - - 0 - - - 0 - - 1 - m_panel1 - 1 - - - protected - 1 - - Resizable - 1 - - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - wxTAB_TRAVERSAL - - - - - - - - - - - - - - - - - - - - - - - - - - bSizer4 - wxVERTICAL - none - - 0 - wxEXPAND | wxALL - 1 - - 1 - 1 - 1 - 1 - - - - - - - - - 1 - 0 - 1 - - 1 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - - 0 - - - 0 - - 1 - m_notebook1 - 1 - - - protected - 1 - - Resizable - 1 - - wxNB_BOTTOM - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - Receive - 1 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - 1 - - 1 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - - 0 - - - 0 - - 1 - m_panelRx - 1 - - - protected - 1 - - Resizable - 1 - - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - wxTAB_TRAVERSAL - - - - - - - - - - - - - - - - - - - - - - - - - - bSizer20 - wxVERTICAL - none - - 1 - wxEXPAND - 1 - - 1 - 0 - - gSizer4 - none - 2 - 0 - - 5 - wxEXPAND - 1 - - wxID_ANY - Rx Radio Device - - sbSizer2 - wxVERTICAL - none - - - 1 - wxALL|wxEXPAND - 1 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - 1 - - 0 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - - 0 - - - 0 - - 1 - m_listCtrlRxInDevices - 1 - - - protected - 1 - - Resizable - 1 - - wxLC_HRULES|wxLC_REPORT|wxLC_VRULES - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - OnRxInDeviceSelect - - - - - - - - - - - - - - - - - - 5 - wxEXPAND - 0 - - - bSizer811 - wxHORIZONTAL - none - - 5 - wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL - 0 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - 1 - - 1 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - Device: - - 0 - - - 0 - - 1 - m_staticText51 - 1 - - - protected - 1 - - Resizable - 1 - - - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - - -1 - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 - wxALIGN_CENTER_VERTICAL|wxALL - 1 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - 1 - - 1 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - - 0 - - 0 - - 0 - - 1 - m_textCtrlRxIn - 1 - - - protected - 1 - - Resizable - 1 - - - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 5 - wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL - 0 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - 1 - - 1 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - Sample Rate: - - 0 - - - 0 - - 1 - m_staticText6 - 1 - - - protected - 1 - - Resizable - 1 - - - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - - -1 - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 - wxALL - 0 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - - 1 - - 1 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - - 0 - - - 0 - - 1 - m_cbSampleRateRxIn - 1 - - - protected - 1 - - Resizable - -1 - 1 - - wxCB_DROPDOWN - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 2 - wxEXPAND - 1 - - wxID_ANY - Rx Speaker Stream - - sbSizer3 - wxVERTICAL - none - - - 1 - wxALL|wxEXPAND - 1 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - 1 - - 1 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - - 0 - - - 0 - - 1 - m_listCtrlRxOutDevices - 1 - - - protected - 1 - - Resizable - 1 - - wxLC_HRULES|wxLC_REPORT|wxLC_VRULES - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - OnRxOutDeviceSelect - - - - - - - - - - - - - - - - - - 2 - wxEXPAND - 0 - - - bSizer81 - wxHORIZONTAL - none - - 5 - wxALIGN_CENTER_VERTICAL|wxALL - 0 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - 1 - - 1 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - Device: - - 0 - - - 0 - - 1 - m_staticText9 - 1 - - - protected - 1 - - Resizable - 1 - - - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - - -1 - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 - wxALIGN_CENTER_VERTICAL|wxALL - 1 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - 1 - - 1 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - - 0 - - 0 - - 0 - - 1 - m_textRxOut - 1 - - - protected - 1 - - Resizable - 1 - - - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 5 - wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL - 0 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - 1 - - 1 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - Sample Rate: - - 0 - - - 0 - - 1 - m_staticText10 - 1 - - - protected - 1 - - Resizable - 1 - - - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - - -1 - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 - wxALIGN_CENTER_VERTICAL|wxALL - 0 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - - 1 - - 1 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - - 0 - - - 0 - - 1 - m_cbSampleRateRxOut - 1 - - - protected - 1 - - Resizable - -1 - 1 - - wxCB_DROPDOWN - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - Transmit - 0 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - 1 - - 1 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - - 0 - - - 0 - - 1 - m_panelTx - 1 - - - protected - 1 - - Resizable - 1 - - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - wxTAB_TRAVERSAL - - - - - - - - - - - - - - - - - - - - - - - - - - bSizer18 - wxVERTICAL - none - - 1 - wxEXPAND - 1 - - 1 - 0 - - gSizer2 - none - 2 - 0 - - 5 - wxEXPAND - 1 - - wxID_ANY - Tx Microphone Stream - - sbSizer22 - wxVERTICAL - none - - - 1 - wxALL|wxEXPAND - 1 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - 1 - - 1 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - - 0 - - - 0 - - 1 - m_listCtrlTxInDevices - 1 - - - protected - 1 - - Resizable - 1 - - wxLC_HRULES|wxLC_REPORT|wxLC_VRULES - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - OnTxInDeviceSelect - - - - - - - - - - - - - - - - - - 2 - wxEXPAND - 0 - - - bSizer83 - wxHORIZONTAL - none - - 5 - wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL - 0 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - 1 - - 1 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - Device: - - 0 - - - 0 - - 1 - m_staticText12 - 1 - - - protected - 1 - - Resizable - 1 - - - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - - -1 - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 - wxALIGN_CENTER_VERTICAL|wxALL - 1 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - 1 - - 1 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - - 0 - - 0 - - 0 - - 1 - m_textCtrlTxIn - 1 - - - protected - 1 - - Resizable - 1 - - - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 5 - wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL - 0 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - 1 - - 1 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - Sample Rate: - - 0 - - - 0 - - 1 - m_staticText11 - 1 - - - protected - 1 - - Resizable - 1 - - - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - - -1 - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 - wxALL - 0 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - - 1 - - 1 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - - 0 - - - 0 - - 1 - m_cbSampleRateTxIn - 1 - - - protected - 1 - - Resizable - -1 - 1 - - wxCB_DROPDOWN - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 5 - wxEXPAND - 1 - - wxID_ANY - Tx Radio Stream - - sbSizer21 - wxVERTICAL - none - - - 2 - wxALL|wxEXPAND - 1 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - 1 - - 1 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - - 0 - - - 0 - - 1 - m_listCtrlTxOutDevices - 1 - - - protected - 1 - - Resizable - 1 - - wxLC_HRULES|wxLC_REPORT|wxLC_VRULES - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - OnTxOutDeviceSelect - - - - - - - - - - - - - - - - - - 2 - wxEXPAND - 0 - - - bSizer82 - wxHORIZONTAL - none - - 5 - wxALIGN_CENTER_VERTICAL|wxALL - 0 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - 1 - - 1 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - Device: - - 0 - - - 0 - - 1 - m_staticText81 - 1 - - - protected - 1 - - Resizable - 1 - - - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - - -1 - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 - wxALIGN_CENTER_VERTICAL|wxALL - 1 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - 1 - - 1 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - - 0 - - 0 - - 0 - - 1 - m_textCtrTxOut - 1 - - - protected - 1 - - Resizable - 1 - - - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 5 - wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL - 0 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - 1 - - 1 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - Sample Rate: - - 0 - - - 0 - - 1 - m_staticText71 - 1 - - - protected - 1 - - Resizable - 1 - - - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - - -1 - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 - wxALL - 0 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - - 1 - - 1 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - - 0 - - - 0 - - 1 - m_cbSampleRateTxOut - 1 - - - protected - 1 - - Resizable - -1 - 1 - - wxCB_DROPDOWN - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - API Info - 0 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - 1 - - 1 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - - 0 - - - 0 - - 1 - m_panelAPI - 1 - - - protected - 1 - - Resizable - 1 - - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - wxTAB_TRAVERSAL - - - - - - - - - - - - - - - - - - - - - - - - - - bSizer12 - wxHORIZONTAL - none - - 5 - wxEXPAND - 1 - - 1 - 0 - - gSizer31 - none - 2 - 0 - - 2 - wxEXPAND - 1 - - wxID_ANY - PortAudio - - sbSizer1 - wxVERTICAL - none - - - 2 - wxEXPAND - 1 - - 2 - 0 - - gSizer3 - none - 4 - 0 - - 1 - wxALIGN_RIGHT|wxALL|wxALIGN_CENTER_VERTICAL - 0 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - 1 - - 1 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - PortAudio Version String: - - 0 - - - 0 - - 1 - m_staticText7 - 1 - - - protected - 1 - - Resizable - 1 - - - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - - -1 - - - - - - - - - - - - - - - - - - - - - - - - - - - 2 - wxEXPAND - 1 - - - bSizer151 - wxVERTICAL - none - - 1 - wxALL|wxEXPAND - 0 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - 1 - - 1 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - - 0 - - 0 - - 0 - - 1 - m_textStringVer - 1 - - - protected - 1 - - Resizable - 1 - - wxTE_READONLY - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 - wxALIGN_RIGHT|wxALL|wxALIGN_CENTER_VERTICAL - 0 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - 1 - - 1 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - PortAudio Int Version: - - 0 - - - 0 - - 1 - m_staticText8 - 1 - - - protected - 1 - - Resizable - 1 - - - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - - -1 - - - - - - - - - - - - - - - - - - - - - - - - - - - 5 - wxEXPAND - 1 - - - bSizer13 - wxVERTICAL - none - - 1 - wxALL|wxALIGN_CENTER_VERTICAL - 0 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - 1 - - 1 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - - 0 - - 0 - - 0 - - 1 - m_textIntVer - 1 - - - protected - 1 - - Resizable - 1 - - wxTE_READONLY - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 - wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL - 0 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - 1 - - 1 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - Device Count: - - 0 - - - 0 - - 1 - m_staticText5 - 1 - - - protected - 1 - - Resizable - 1 - - - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - - -1 - - - - - - - - - - - - - - - - - - - - - - - - - - - 5 - wxEXPAND - 1 - - - bSizer14 - wxVERTICAL - none - - 1 - wxALIGN_CENTER_VERTICAL|wxALL - 0 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - 1 - - 1 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - - 0 - 45,-1 - 0 - - 0 - - 1 - m_textCDevCount - 1 - - - protected - 1 - - Resizable - 1 - 45,-1 - wxTE_READONLY - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 - wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL - 0 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - 1 - - 1 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - API Count: - - 0 - - - 0 - - 1 - m_staticText4 - 1 - - - protected - 1 - - Resizable - 1 - - - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - - -1 - - - - - - - - - - - - - - - - - - - - - - - - - - - 5 - wxEXPAND - 1 - - - bSizer15 - wxVERTICAL - none - - 1 - wxALIGN_CENTER_VERTICAL|wxALL - 0 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - 1 - - 1 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - - 0 - 45,-1 - 0 - - 0 - - 1 - m_textAPICount - 1 - - - protected - 1 - - Resizable - 1 - 45,-1 - wxTE_READONLY - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 5 - wxEXPAND - 1 - - wxID_ANY - Other - - sbSizer6 - wxVERTICAL - none - - - - - - - - - - - - - - - 2 - wxEXPAND - 0 - - - bSizer6 - wxHORIZONTAL - none - - 2 - wxALIGN_CENTER|wxALL - 0 - - 1 - 1 - 1 - 1 - - - - - - - - 1 - 0 - 1 - - 1 - 0 - 0 - Dock - 0 - Left - 1 - - 1 - - 0 - 0 - wxID_ANY - Refresh - - 0 - - - 0 - - 1 - m_btnRefresh - 1 - - - protected - 1 - - Resizable - 1 - - - - 0 - - - wxFILTER_NONE - wxDefaultValidator - - - - - OnRefreshClick - - - - - - - - - - - - - - - - - - - - - - - - - - - 2 - wxALIGN_CENTER_VERTICAL - 1 - - 1 - 1 - 0 - 0 - 0 - 1 - 0 - 0 - - m_sdbSizer1 - protected - OnApplyAudioParameters - OnCancelAudioParameters - - - - OnOkAudioParameters - - - - - - - - - - diff --git a/fdmdv2/pa_enum/gui.h b/fdmdv2/pa_enum/gui.h deleted file mode 100644 index d296ef6e..00000000 --- a/fdmdv2/pa_enum/gui.h +++ /dev/null @@ -1,104 +0,0 @@ -/////////////////////////////////////////////////////////////////////////// -// C++ code generated with wxFormBuilder (version Mar 17 2012) -// http://www.wxformbuilder.org/ -// -// PLEASE DO "NOT" EDIT THIS FILE! -/////////////////////////////////////////////////////////////////////////// - -#ifndef __GUI_H__ -#define __GUI_H__ - -#include -#include -#include -#include -#include -#include -#include -#include -#include -#include -#include -#include -#include -#include -#include -#include -#include -#include -#include -#include -#include - -/////////////////////////////////////////////////////////////////////////// - - -/////////////////////////////////////////////////////////////////////////////// -/// Class MainFrameBase -/////////////////////////////////////////////////////////////////////////////// -class MainFrameBase : public wxFrame -{ - private: - - protected: - wxPanel* m_panel1; - wxNotebook* m_notebook1; - wxPanel* m_panelRx; - wxListCtrl* m_listCtrlRxInDevices; - wxStaticText* m_staticText51; - wxTextCtrl* m_textCtrlRxIn; - wxStaticText* m_staticText6; - wxComboBox* m_cbSampleRateRxIn; - wxListCtrl* m_listCtrlRxOutDevices; - wxStaticText* m_staticText9; - wxTextCtrl* m_textRxOut; - wxStaticText* m_staticText10; - wxComboBox* m_cbSampleRateRxOut; - wxPanel* m_panelTx; - wxListCtrl* m_listCtrlTxInDevices; - wxStaticText* m_staticText12; - wxTextCtrl* m_textCtrlTxIn; - wxStaticText* m_staticText11; - wxComboBox* m_cbSampleRateTxIn; - wxListCtrl* m_listCtrlTxOutDevices; - wxStaticText* m_staticText81; - wxTextCtrl* m_textCtrTxOut; - wxStaticText* m_staticText71; - wxComboBox* m_cbSampleRateTxOut; - wxPanel* m_panelAPI; - wxStaticText* m_staticText7; - wxTextCtrl* m_textStringVer; - wxStaticText* m_staticText8; - wxTextCtrl* m_textIntVer; - wxStaticText* m_staticText5; - wxTextCtrl* m_textCDevCount; - wxStaticText* m_staticText4; - wxTextCtrl* m_textAPICount; - wxButton* m_btnRefresh; - wxStdDialogButtonSizer* m_sdbSizer1; - wxButton* m_sdbSizer1OK; - wxButton* m_sdbSizer1Apply; - wxButton* m_sdbSizer1Cancel; - - // Virtual event handlers, overide them in your derived class - virtual void OnActivateApp( wxActivateEvent& event ) { event.Skip(); } - virtual void OnCloseFrame( wxCloseEvent& event ) { event.Skip(); } - virtual void OnRxInDeviceSelect( wxListEvent& event ) { event.Skip(); } - virtual void OnRxOutDeviceSelect( wxListEvent& event ) { event.Skip(); } - virtual void OnTxInDeviceSelect( wxListEvent& event ) { event.Skip(); } - virtual void OnTxOutDeviceSelect( wxListEvent& event ) { event.Skip(); } - virtual void OnRefreshClick( wxCommandEvent& event ) { event.Skip(); } - virtual void OnApplyAudioParameters( wxCommandEvent& event ) { event.Skip(); } - virtual void OnCancelAudioParameters( wxCommandEvent& event ) { event.Skip(); } - virtual void OnOkAudioParameters( wxCommandEvent& event ) { event.Skip(); } - - - public: - - MainFrameBase( wxWindow* parent, wxWindowID id = wxID_ANY, const wxString& title = _("PortAudio Device Enumeration"), const wxPoint& pos = wxDefaultPosition, const wxSize& size = wxSize( 790,400 ), long style = wxCLOSE_BOX|wxDEFAULT_FRAME_STYLE|wxRESIZE_BORDER|wxTAB_TRAVERSAL ); - - ~MainFrameBase(); - -}; - -#endif //__GUI_H__ diff --git a/fdmdv2/pa_enum/gui.py b/fdmdv2/pa_enum/gui.py deleted file mode 100644 index 507c4ee5..00000000 --- a/fdmdv2/pa_enum/gui.py +++ /dev/null @@ -1,332 +0,0 @@ -# -*- coding: utf-8 -*- - -########################################################################### -## Python code generated with wxFormBuilder (version Mar 17 2012) -## http://www.wxformbuilder.org/ -## -## PLEASE DO "NOT" EDIT THIS FILE! -########################################################################### - -import wx -import wx.xrc - -import gettext -_ = gettext.gettext - -########################################################################### -## Class MainFrameBase -########################################################################### - -class MainFrameBase ( wx.Frame ): - - def __init__( self, parent ): - wx.Frame.__init__ ( self, parent, id = wx.ID_ANY, title = _(u"PortAudio Device Enumeration"), pos = wx.DefaultPosition, size = wx.Size( 790,400 ), style = wx.CLOSE_BOX|wx.DEFAULT_FRAME_STYLE|wx.RESIZE_BORDER|wx.TAB_TRAVERSAL ) - - self.SetSizeHintsSz( wx.DefaultSize, wx.DefaultSize ) - - mainSizer = wx.BoxSizer( wx.VERTICAL ) - - self.m_panel1 = wx.Panel( self, wx.ID_ANY, wx.DefaultPosition, wx.DefaultSize, wx.TAB_TRAVERSAL ) - bSizer4 = wx.BoxSizer( wx.VERTICAL ) - - self.m_notebook1 = wx.Notebook( self.m_panel1, wx.ID_ANY, wx.DefaultPosition, wx.DefaultSize, wx.NB_BOTTOM ) - self.m_panelRx = wx.Panel( self.m_notebook1, wx.ID_ANY, wx.DefaultPosition, wx.DefaultSize, wx.TAB_TRAVERSAL ) - bSizer20 = wx.BoxSizer( wx.VERTICAL ) - - gSizer4 = wx.GridSizer( 2, 1, 0, 0 ) - - sbSizer2 = wx.StaticBoxSizer( wx.StaticBox( self.m_panelRx, wx.ID_ANY, _(u"Rx Radio Device") ), wx.VERTICAL ) - - self.m_listCtrlRxInDevices = wx.ListCtrl( self.m_panelRx, wx.ID_ANY, wx.DefaultPosition, wx.DefaultSize, wx.LC_HRULES|wx.LC_REPORT|wx.LC_VRULES ) - sbSizer2.Add( self.m_listCtrlRxInDevices, 1, wx.ALL|wx.EXPAND, 1 ) - - bSizer811 = wx.BoxSizer( wx.HORIZONTAL ) - - self.m_staticText51 = wx.StaticText( self.m_panelRx, wx.ID_ANY, _(u"Device:"), wx.DefaultPosition, wx.DefaultSize, 0 ) - self.m_staticText51.Wrap( -1 ) - bSizer811.Add( self.m_staticText51, 0, wx.ALIGN_CENTER_VERTICAL|wx.ALIGN_RIGHT|wx.ALL, 5 ) - - self.m_textCtrlRxIn = wx.TextCtrl( self.m_panelRx, wx.ID_ANY, wx.EmptyString, wx.DefaultPosition, wx.DefaultSize, 0 ) - bSizer811.Add( self.m_textCtrlRxIn, 1, wx.ALIGN_CENTER_VERTICAL|wx.ALL, 1 ) - - self.m_staticText6 = wx.StaticText( self.m_panelRx, wx.ID_ANY, _(u"Sample Rate:"), wx.DefaultPosition, wx.DefaultSize, 0 ) - self.m_staticText6.Wrap( -1 ) - bSizer811.Add( self.m_staticText6, 0, wx.ALIGN_CENTER_VERTICAL|wx.ALIGN_RIGHT|wx.ALL, 5 ) - - m_cbSampleRateRxInChoices = [] - self.m_cbSampleRateRxIn = wx.ComboBox( self.m_panelRx, wx.ID_ANY, wx.EmptyString, wx.DefaultPosition, wx.DefaultSize, m_cbSampleRateRxInChoices, wx.CB_DROPDOWN ) - bSizer811.Add( self.m_cbSampleRateRxIn, 0, wx.ALL, 1 ) - - - sbSizer2.Add( bSizer811, 0, wx.EXPAND, 5 ) - - - gSizer4.Add( sbSizer2, 1, wx.EXPAND, 5 ) - - sbSizer3 = wx.StaticBoxSizer( wx.StaticBox( self.m_panelRx, wx.ID_ANY, _(u"Rx Speaker Stream") ), wx.VERTICAL ) - - self.m_listCtrlRxOutDevices = wx.ListCtrl( self.m_panelRx, wx.ID_ANY, wx.DefaultPosition, wx.DefaultSize, wx.LC_HRULES|wx.LC_REPORT|wx.LC_VRULES ) - sbSizer3.Add( self.m_listCtrlRxOutDevices, 1, wx.ALL|wx.EXPAND, 1 ) - - bSizer81 = wx.BoxSizer( wx.HORIZONTAL ) - - self.m_staticText9 = wx.StaticText( self.m_panelRx, wx.ID_ANY, _(u"Device:"), wx.DefaultPosition, wx.DefaultSize, 0 ) - self.m_staticText9.Wrap( -1 ) - bSizer81.Add( self.m_staticText9, 0, wx.ALIGN_CENTER_VERTICAL|wx.ALL, 5 ) - - self.m_textRxOut = wx.TextCtrl( self.m_panelRx, wx.ID_ANY, wx.EmptyString, wx.DefaultPosition, wx.DefaultSize, 0 ) - bSizer81.Add( self.m_textRxOut, 1, wx.ALIGN_CENTER_VERTICAL|wx.ALL, 1 ) - - self.m_staticText10 = wx.StaticText( self.m_panelRx, wx.ID_ANY, _(u"Sample Rate:"), wx.DefaultPosition, wx.DefaultSize, 0 ) - self.m_staticText10.Wrap( -1 ) - bSizer81.Add( self.m_staticText10, 0, wx.ALIGN_CENTER_VERTICAL|wx.ALIGN_RIGHT|wx.ALL, 5 ) - - m_cbSampleRateRxOutChoices = [] - self.m_cbSampleRateRxOut = wx.ComboBox( self.m_panelRx, wx.ID_ANY, wx.EmptyString, wx.DefaultPosition, wx.DefaultSize, m_cbSampleRateRxOutChoices, wx.CB_DROPDOWN ) - bSizer81.Add( self.m_cbSampleRateRxOut, 0, wx.ALIGN_CENTER_VERTICAL|wx.ALL, 1 ) - - - sbSizer3.Add( bSizer81, 0, wx.EXPAND, 2 ) - - - gSizer4.Add( sbSizer3, 1, wx.EXPAND, 2 ) - - - bSizer20.Add( gSizer4, 1, wx.EXPAND, 1 ) - - - self.m_panelRx.SetSizer( bSizer20 ) - self.m_panelRx.Layout() - bSizer20.Fit( self.m_panelRx ) - self.m_notebook1.AddPage( self.m_panelRx, _(u"Receive"), True ) - self.m_panelTx = wx.Panel( self.m_notebook1, wx.ID_ANY, wx.DefaultPosition, wx.DefaultSize, wx.TAB_TRAVERSAL ) - bSizer18 = wx.BoxSizer( wx.VERTICAL ) - - gSizer2 = wx.GridSizer( 2, 1, 0, 0 ) - - sbSizer22 = wx.StaticBoxSizer( wx.StaticBox( self.m_panelTx, wx.ID_ANY, _(u"Tx Microphone Stream") ), wx.VERTICAL ) - - self.m_listCtrlTxInDevices = wx.ListCtrl( self.m_panelTx, wx.ID_ANY, wx.DefaultPosition, wx.DefaultSize, wx.LC_HRULES|wx.LC_REPORT|wx.LC_VRULES ) - sbSizer22.Add( self.m_listCtrlTxInDevices, 1, wx.ALL|wx.EXPAND, 1 ) - - bSizer83 = wx.BoxSizer( wx.HORIZONTAL ) - - self.m_staticText12 = wx.StaticText( self.m_panelTx, wx.ID_ANY, _(u"Device:"), wx.DefaultPosition, wx.DefaultSize, 0 ) - self.m_staticText12.Wrap( -1 ) - bSizer83.Add( self.m_staticText12, 0, wx.ALIGN_CENTER_VERTICAL|wx.ALIGN_RIGHT|wx.ALL, 5 ) - - self.m_textCtrlTxIn = wx.TextCtrl( self.m_panelTx, wx.ID_ANY, wx.EmptyString, wx.DefaultPosition, wx.DefaultSize, 0 ) - bSizer83.Add( self.m_textCtrlTxIn, 1, wx.ALIGN_CENTER_VERTICAL|wx.ALL, 1 ) - - self.m_staticText11 = wx.StaticText( self.m_panelTx, wx.ID_ANY, _(u"Sample Rate:"), wx.DefaultPosition, wx.DefaultSize, 0 ) - self.m_staticText11.Wrap( -1 ) - bSizer83.Add( self.m_staticText11, 0, wx.ALIGN_CENTER_VERTICAL|wx.ALIGN_RIGHT|wx.ALL, 5 ) - - m_cbSampleRateTxInChoices = [] - self.m_cbSampleRateTxIn = wx.ComboBox( self.m_panelTx, wx.ID_ANY, wx.EmptyString, wx.DefaultPosition, wx.DefaultSize, m_cbSampleRateTxInChoices, wx.CB_DROPDOWN ) - bSizer83.Add( self.m_cbSampleRateTxIn, 0, wx.ALL, 1 ) - - - sbSizer22.Add( bSizer83, 0, wx.EXPAND, 2 ) - - - gSizer2.Add( sbSizer22, 1, wx.EXPAND, 5 ) - - sbSizer21 = wx.StaticBoxSizer( wx.StaticBox( self.m_panelTx, wx.ID_ANY, _(u"Tx Radio Stream") ), wx.VERTICAL ) - - self.m_listCtrlTxOutDevices = wx.ListCtrl( self.m_panelTx, wx.ID_ANY, wx.DefaultPosition, wx.DefaultSize, wx.LC_HRULES|wx.LC_REPORT|wx.LC_VRULES ) - sbSizer21.Add( self.m_listCtrlTxOutDevices, 1, wx.ALL|wx.EXPAND, 2 ) - - bSizer82 = wx.BoxSizer( wx.HORIZONTAL ) - - self.m_staticText81 = wx.StaticText( self.m_panelTx, wx.ID_ANY, _(u"Device:"), wx.DefaultPosition, wx.DefaultSize, 0 ) - self.m_staticText81.Wrap( -1 ) - bSizer82.Add( self.m_staticText81, 0, wx.ALIGN_CENTER_VERTICAL|wx.ALL, 5 ) - - self.m_textCtrTxOut = wx.TextCtrl( self.m_panelTx, wx.ID_ANY, wx.EmptyString, wx.DefaultPosition, wx.DefaultSize, 0 ) - bSizer82.Add( self.m_textCtrTxOut, 1, wx.ALIGN_CENTER_VERTICAL|wx.ALL, 1 ) - - self.m_staticText71 = wx.StaticText( self.m_panelTx, wx.ID_ANY, _(u"Sample Rate:"), wx.DefaultPosition, wx.DefaultSize, 0 ) - self.m_staticText71.Wrap( -1 ) - bSizer82.Add( self.m_staticText71, 0, wx.ALIGN_CENTER_VERTICAL|wx.ALIGN_RIGHT|wx.ALL, 5 ) - - m_cbSampleRateTxOutChoices = [] - self.m_cbSampleRateTxOut = wx.ComboBox( self.m_panelTx, wx.ID_ANY, wx.EmptyString, wx.DefaultPosition, wx.DefaultSize, m_cbSampleRateTxOutChoices, wx.CB_DROPDOWN ) - bSizer82.Add( self.m_cbSampleRateTxOut, 0, wx.ALL, 1 ) - - - sbSizer21.Add( bSizer82, 0, wx.EXPAND, 2 ) - - - gSizer2.Add( sbSizer21, 1, wx.EXPAND, 5 ) - - - bSizer18.Add( gSizer2, 1, wx.EXPAND, 1 ) - - - self.m_panelTx.SetSizer( bSizer18 ) - self.m_panelTx.Layout() - bSizer18.Fit( self.m_panelTx ) - self.m_notebook1.AddPage( self.m_panelTx, _(u"Transmit"), False ) - self.m_panelAPI = wx.Panel( self.m_notebook1, wx.ID_ANY, wx.DefaultPosition, wx.DefaultSize, wx.TAB_TRAVERSAL ) - bSizer12 = wx.BoxSizer( wx.HORIZONTAL ) - - gSizer31 = wx.GridSizer( 2, 1, 0, 0 ) - - sbSizer1 = wx.StaticBoxSizer( wx.StaticBox( self.m_panelAPI, wx.ID_ANY, _(u"PortAudio") ), wx.VERTICAL ) - - gSizer3 = wx.GridSizer( 4, 2, 0, 0 ) - - self.m_staticText7 = wx.StaticText( self.m_panelAPI, wx.ID_ANY, _(u"PortAudio Version String:"), wx.DefaultPosition, wx.DefaultSize, 0 ) - self.m_staticText7.Wrap( -1 ) - gSizer3.Add( self.m_staticText7, 0, wx.ALIGN_RIGHT|wx.ALL|wx.ALIGN_CENTER_VERTICAL, 1 ) - - bSizer151 = wx.BoxSizer( wx.VERTICAL ) - - self.m_textStringVer = wx.TextCtrl( self.m_panelAPI, wx.ID_ANY, wx.EmptyString, wx.DefaultPosition, wx.DefaultSize, wx.TE_READONLY ) - bSizer151.Add( self.m_textStringVer, 0, wx.ALL|wx.EXPAND, 1 ) - - - gSizer3.Add( bSizer151, 1, wx.EXPAND, 2 ) - - self.m_staticText8 = wx.StaticText( self.m_panelAPI, wx.ID_ANY, _(u"PortAudio Int Version:"), wx.DefaultPosition, wx.DefaultSize, 0 ) - self.m_staticText8.Wrap( -1 ) - gSizer3.Add( self.m_staticText8, 0, wx.ALIGN_RIGHT|wx.ALL|wx.ALIGN_CENTER_VERTICAL, 1 ) - - bSizer13 = wx.BoxSizer( wx.VERTICAL ) - - self.m_textIntVer = wx.TextCtrl( self.m_panelAPI, wx.ID_ANY, wx.EmptyString, wx.DefaultPosition, wx.DefaultSize, wx.TE_READONLY ) - bSizer13.Add( self.m_textIntVer, 0, wx.ALL|wx.ALIGN_CENTER_VERTICAL, 1 ) - - - gSizer3.Add( bSizer13, 1, wx.EXPAND, 5 ) - - self.m_staticText5 = wx.StaticText( self.m_panelAPI, wx.ID_ANY, _(u"Device Count:"), wx.DefaultPosition, wx.DefaultSize, 0 ) - self.m_staticText5.Wrap( -1 ) - gSizer3.Add( self.m_staticText5, 0, wx.ALIGN_CENTER_VERTICAL|wx.ALIGN_RIGHT|wx.ALL, 1 ) - - bSizer14 = wx.BoxSizer( wx.VERTICAL ) - - self.m_textCDevCount = wx.TextCtrl( self.m_panelAPI, wx.ID_ANY, wx.EmptyString, wx.DefaultPosition, wx.Size( 45,-1 ), wx.TE_READONLY ) - self.m_textCDevCount.SetMaxSize( wx.Size( 45,-1 ) ) - - bSizer14.Add( self.m_textCDevCount, 0, wx.ALIGN_CENTER_VERTICAL|wx.ALL, 1 ) - - - gSizer3.Add( bSizer14, 1, wx.EXPAND, 5 ) - - self.m_staticText4 = wx.StaticText( self.m_panelAPI, wx.ID_ANY, _(u"API Count:"), wx.DefaultPosition, wx.DefaultSize, 0 ) - self.m_staticText4.Wrap( -1 ) - gSizer3.Add( self.m_staticText4, 0, wx.ALIGN_CENTER_VERTICAL|wx.ALIGN_RIGHT|wx.ALL, 1 ) - - bSizer15 = wx.BoxSizer( wx.VERTICAL ) - - self.m_textAPICount = wx.TextCtrl( self.m_panelAPI, wx.ID_ANY, wx.EmptyString, wx.DefaultPosition, wx.Size( 45,-1 ), wx.TE_READONLY ) - self.m_textAPICount.SetMaxSize( wx.Size( 45,-1 ) ) - - bSizer15.Add( self.m_textAPICount, 0, wx.ALIGN_CENTER_VERTICAL|wx.ALL, 1 ) - - - gSizer3.Add( bSizer15, 1, wx.EXPAND, 5 ) - - - sbSizer1.Add( gSizer3, 1, wx.EXPAND, 2 ) - - - gSizer31.Add( sbSizer1, 1, wx.EXPAND, 2 ) - - sbSizer6 = wx.StaticBoxSizer( wx.StaticBox( self.m_panelAPI, wx.ID_ANY, _(u"Other") ), wx.VERTICAL ) - - - gSizer31.Add( sbSizer6, 1, wx.EXPAND, 5 ) - - - bSizer12.Add( gSizer31, 1, wx.EXPAND, 5 ) - - - self.m_panelAPI.SetSizer( bSizer12 ) - self.m_panelAPI.Layout() - bSizer12.Fit( self.m_panelAPI ) - self.m_notebook1.AddPage( self.m_panelAPI, _(u"API Info"), False ) - - bSizer4.Add( self.m_notebook1, 1, wx.EXPAND |wx.ALL, 0 ) - - - self.m_panel1.SetSizer( bSizer4 ) - self.m_panel1.Layout() - bSizer4.Fit( self.m_panel1 ) - mainSizer.Add( self.m_panel1, 1, wx.EXPAND |wx.ALL, 1 ) - - bSizer6 = wx.BoxSizer( wx.HORIZONTAL ) - - self.m_btnRefresh = wx.Button( self, wx.ID_ANY, _(u"Refresh"), wx.DefaultPosition, wx.DefaultSize, 0 ) - bSizer6.Add( self.m_btnRefresh, 0, wx.ALIGN_CENTER|wx.ALL, 2 ) - - m_sdbSizer1 = wx.StdDialogButtonSizer() - self.m_sdbSizer1OK = wx.Button( self, wx.ID_OK ) - m_sdbSizer1.AddButton( self.m_sdbSizer1OK ) - self.m_sdbSizer1Apply = wx.Button( self, wx.ID_APPLY ) - m_sdbSizer1.AddButton( self.m_sdbSizer1Apply ) - self.m_sdbSizer1Cancel = wx.Button( self, wx.ID_CANCEL ) - m_sdbSizer1.AddButton( self.m_sdbSizer1Cancel ) - m_sdbSizer1.Realize(); - - bSizer6.Add( m_sdbSizer1, 1, wx.ALIGN_CENTER_VERTICAL, 2 ) - - - mainSizer.Add( bSizer6, 0, wx.EXPAND, 2 ) - - - self.SetSizer( mainSizer ) - self.Layout() - - self.Centre( wx.BOTH ) - - # Connect Events - self.Bind( wx.EVT_ACTIVATE_APP, self.OnActivateApp ) - self.Bind( wx.EVT_CLOSE, self.OnCloseFrame ) - self.m_listCtrlRxInDevices.Bind( wx.EVT_LIST_ITEM_SELECTED, self.OnRxInDeviceSelect ) - self.m_listCtrlRxOutDevices.Bind( wx.EVT_LIST_ITEM_SELECTED, self.OnRxOutDeviceSelect ) - self.m_listCtrlTxInDevices.Bind( wx.EVT_LIST_ITEM_SELECTED, self.OnTxInDeviceSelect ) - self.m_listCtrlTxOutDevices.Bind( wx.EVT_LIST_ITEM_SELECTED, self.OnTxOutDeviceSelect ) - self.m_btnRefresh.Bind( wx.EVT_BUTTON, self.OnRefreshClick ) - self.m_sdbSizer1Apply.Bind( wx.EVT_BUTTON, self.OnApplyAudioParameters ) - self.m_sdbSizer1Cancel.Bind( wx.EVT_BUTTON, self.OnCancelAudioParameters ) - self.m_sdbSizer1OK.Bind( wx.EVT_BUTTON, self.OnOkAudioParameters ) - - def __del__( self ): - pass - - - # Virtual event handlers, overide them in your derived class - def OnActivateApp( self, event ): - event.Skip() - - def OnCloseFrame( self, event ): - event.Skip() - - def OnRxInDeviceSelect( self, event ): - event.Skip() - - def OnRxOutDeviceSelect( self, event ): - event.Skip() - - def OnTxInDeviceSelect( self, event ): - event.Skip() - - def OnTxOutDeviceSelect( self, event ): - event.Skip() - - def OnRefreshClick( self, event ): - event.Skip() - - def OnApplyAudioParameters( self, event ): - event.Skip() - - def OnCancelAudioParameters( self, event ): - event.Skip() - - def OnOkAudioParameters( self, event ): - event.Skip() - - diff --git a/fdmdv2/pa_enum/gui.xrc b/fdmdv2/pa_enum/gui.xrc deleted file mode 100644 index f8b101ec..00000000 --- a/fdmdv2/pa_enum/gui.xrc +++ /dev/null @@ -1,527 +0,0 @@ - - - - - 790,400 - PortAudio Device Enumeration - 1 - 0 - - wxVERTICAL - - - wxEXPAND | wxALL - 1 - - - - wxVERTICAL - - - wxEXPAND | wxALL - 0 - - - - - 1 - - - - wxVERTICAL - - - wxEXPAND - 1 - - 2 - 1 - 0 - 0 - - - wxEXPAND - 5 - - wxVERTICAL - - - - wxALL|wxEXPAND - 1 - - - - - - - wxEXPAND - 5 - - wxHORIZONTAL - - - wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL - 5 - - - -1 - - - - - wxALIGN_CENTER_VERTICAL|wxALL - 1 - - - 0 - - - - - wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL - 5 - - - -1 - - - - - wxALL - 1 - - - - - - - - - - - - - wxEXPAND - 2 - - wxVERTICAL - - - - wxALL|wxEXPAND - 1 - - - - - - - wxEXPAND - 2 - - wxHORIZONTAL - - - wxALIGN_CENTER_VERTICAL|wxALL - 5 - - - -1 - - - - - wxALIGN_CENTER_VERTICAL|wxALL - 1 - - - 0 - - - - - wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL - 5 - - - -1 - - - - - wxALIGN_CENTER_VERTICAL|wxALL - 1 - - - - - - - - - - - - - - - - - - 0 - - - - wxVERTICAL - - - wxEXPAND - 1 - - 2 - 1 - 0 - 0 - - - wxEXPAND - 5 - - wxVERTICAL - - - - wxALL|wxEXPAND - 1 - - - - - - - wxEXPAND - 2 - - wxHORIZONTAL - - - wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL - 5 - - - -1 - - - - - wxALIGN_CENTER_VERTICAL|wxALL - 1 - - - 0 - - - - - wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL - 5 - - - -1 - - - - - wxALL - 1 - - - - - - - - - - - - - wxEXPAND - 5 - - wxVERTICAL - - - - wxALL|wxEXPAND - 2 - - - - - - - wxEXPAND - 2 - - wxHORIZONTAL - - - wxALIGN_CENTER_VERTICAL|wxALL - 5 - - - -1 - - - - - wxALIGN_CENTER_VERTICAL|wxALL - 1 - - - 0 - - - - - wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL - 5 - - - -1 - - - - - wxALL - 1 - - - - - - - - - - - - - - - - - - 0 - - - - wxHORIZONTAL - - - wxEXPAND - 5 - - 2 - 1 - 0 - 0 - - - wxEXPAND - 2 - - wxVERTICAL - - - - wxEXPAND - 2 - - 4 - 2 - 0 - 0 - - - wxALIGN_RIGHT|wxALL|wxALIGN_CENTER_VERTICAL - 1 - - - -1 - - - - - wxEXPAND - 2 - - wxVERTICAL - - - wxALL|wxEXPAND - 1 - - - - 0 - - - - - - - wxALIGN_RIGHT|wxALL|wxALIGN_CENTER_VERTICAL - 1 - - - -1 - - - - - wxEXPAND - 5 - - wxVERTICAL - - - wxALL|wxALIGN_CENTER_VERTICAL - 1 - - - - 0 - - - - - - - wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL - 1 - - - -1 - - - - - wxEXPAND - 5 - - wxVERTICAL - - - wxALIGN_CENTER_VERTICAL|wxALL - 1 - - - 45,-1 - - 0 - - - - - - - wxALIGN_CENTER_VERTICAL|wxALIGN_RIGHT|wxALL - 1 - - - -1 - - - - - wxEXPAND - 5 - - wxVERTICAL - - - wxALIGN_CENTER_VERTICAL|wxALL - 1 - - - 45,-1 - - 0 - - - - - - - - - - - wxEXPAND - 5 - - wxVERTICAL - - - - - - - - - - - - - - - - wxEXPAND - 2 - - wxHORIZONTAL - - - wxALIGN_CENTER|wxALL - 2 - - - 0 - - - - - wxALIGN_CENTER_VERTICAL - 2 - - - wxALIGN_CENTER_HORIZONTAL|wxALL - 5 - - - - - - wxALIGN_CENTER_HORIZONTAL|wxALL - 5 - - - - - - wxALIGN_CENTER_HORIZONTAL|wxALL - 5 - - - - - - - - - - - diff --git a/fdmdv2/pa_enum/main.cpp b/fdmdv2/pa_enum/main.cpp deleted file mode 100644 index 68455e83..00000000 --- a/fdmdv2/pa_enum/main.cpp +++ /dev/null @@ -1,429 +0,0 @@ -//========================================================================= -// Name: main.cpp -// Purpose: Implements simple wxWidgets application with GUI -// created using wxFormBuilder. -// Author: -// Created: -// Copyright: -// License: wxWidgets license (www.wxwidgets.org) -// -// Notes: Note that all GUI creation code is implemented in -// gui.cpp source file which is generated by wxFormBuilder. -//========================================================================= -#include "main.h" - -// initialize the application -IMPLEMENT_APP(MainApp); - -//-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=--=-=-=-= -// application class implementation -//-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=--=-=-=-= -bool MainApp::OnInit() -{ - SetTopWindow(new MainFrame(NULL)); - GetTopWindow()->Show(); - // true = enter the main loop - return true; -} - -//-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=--=-=-=-= -// main application frame implementation -//-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=--=-=-=-= -MainFrame::MainFrame(wxWindow *parent) : MainFrameBase(parent) -{ - m_isPaInitialized = false; - wxInitAllImageHandlers(); - // Give it an icon - SetIcon(wxICON("../bitmaps/sample.ico")); - - // Make an image list containing large icons - m_imageListNormal = new wxImageList(32, 32, true); - m_imageListSmall = new wxImageList(16, 16, true); - -#ifdef __WXMSW__ - m_imageListSmall->Add(wxIcon(wxT("bitmaps/toolchec.ico"), wxBITMAP_TYPE_ICO)); - m_imageListSmall->Add(wxIcon(wxT("bitmaps/transparent.ico"), wxBITMAP_TYPE_ICO)); - m_imageListSmall->Add(wxIcon(wxT("bitmaps/toolchar.ico"), wxBITMAP_TYPE_ICO)); - m_imageListSmall->Add(wxIcon(wxT("bitmaps/tooldata.ico"), wxBITMAP_TYPE_ICO)); - m_imageListSmall->Add(wxIcon(wxT("bitmaps/toolgame.ico"), wxBITMAP_TYPE_ICO)); - m_imageListSmall->Add(wxIcon(wxT("bitmaps/toolnote.ico"), wxBITMAP_TYPE_ICO)); - m_imageListSmall->Add(wxIcon(wxT("bitmaps/tooltime.ico"), wxBITMAP_TYPE_ICO)); - m_imageListSmall->Add(wxIcon(wxT("bitmaps/inArrow16x16.ico"), wxBITMAP_TYPE_ICO)); - m_imageListSmall->Add(wxIcon(wxT("bitmaps/outArrow16x16.ico"), wxBITMAP_TYPE_ICO)); -#else - m_imageListNormal->Add(wxIcon( toolbrai_xpm )); - m_imageListNormal->Add(wxIcon( toolchar_xpm )); - m_imageListNormal->Add(wxIcon( tooldata_xpm )); - m_imageListNormal->Add(wxIcon( toolnote_xpm )); - m_imageListNormal->Add(wxIcon( tooltodo_xpm )); - m_imageListNormal->Add(wxIcon( toolchec_xpm )); - m_imageListNormal->Add(wxIcon( toolgame_xpm )); - m_imageListNormal->Add(wxIcon( tooltime_xpm )); - m_imageListNormal->Add(wxIcon( toolword_xpm )); - m_imageListSmall->Add(wxIcon(small1_xpm)); -#endif - if(!m_isPaInitialized) - { - if((pa_err = Pa_Initialize()) == paNoError) - { - m_isPaInitialized = true; - } - else - { - wxMessageBox(wxT("Port Audio failed to initialize"), wxT("Pa_Initialize"), wxOK); - return; - } - } - m_notebook1->SetSelection(0); - showAPIInfo(); - m_RxInDevices.m_listDevices = m_listCtrlRxInDevices; - m_RxInDevices.direction = AUDIO_IN; - m_RxInDevices.m_textDevice = m_textCtrlRxIn; - m_RxInDevices.m_cbSampleRate = m_cbSampleRateRxIn; - - m_RxOutDevices.m_listDevices = m_listCtrlRxOutDevices; - m_RxOutDevices.direction = AUDIO_OUT; - m_RxOutDevices.m_textDevice = m_textRxOut; - m_RxOutDevices.m_cbSampleRate = m_cbSampleRateRxOut; - - m_TxInDevices.m_listDevices = m_listCtrlTxInDevices; - m_TxInDevices.direction = AUDIO_IN; - m_TxInDevices.m_textDevice = m_textCtrlTxIn; - m_TxInDevices.m_cbSampleRate = m_cbSampleRateTxIn; - - m_TxOutDevices.m_listDevices = m_listCtrlTxOutDevices; - m_TxOutDevices.direction = AUDIO_OUT; - m_TxOutDevices.m_textDevice = m_textCtrTxOut; - m_TxOutDevices.m_cbSampleRate = m_cbSampleRateTxOut; - - populateParams(m_RxInDevices); - populateParams(m_RxOutDevices); - populateParams(m_TxInDevices); - populateParams(m_TxOutDevices); -} - -//-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=--=-=-=-= -// ~MainFrame() -//-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=--=-=-=-= -MainFrame::~MainFrame() -{ -} - -//------------------------------------------------------------------------- -// OnCloseFrame() -//------------------------------------------------------------------------- -void MainFrame::OnCloseFrame(wxCloseEvent& event) -{ - if(m_isPaInitialized) - { - if((pa_err = Pa_Terminate()) == paNoError) - { - m_isPaInitialized = false; - } - else - { - wxMessageBox(wxT("Port Audio failed to Terminate"), wxT("Pa_Terminate"), wxOK); - } - } - Destroy(); -} - -//------------------------------------------------------------------------- -// OnExitClick() -//------------------------------------------------------------------------- -void MainFrame::OnExitClick(wxCommandEvent& event) -{ - if(m_isPaInitialized) - { - if((pa_err = Pa_Terminate()) == paNoError) - { - m_isPaInitialized = false; - } - else - { - wxMessageBox(wxT("Port Audio failed to Terminate"), wxT("Pa_Terminate"), wxOK); - } - } - Destroy(); -} - -/* -//------------------------------------------------------------------------- -// getSelectedItems() -//------------------------------------------------------------------------- -long *MainFrame::getSelectedItems(wxListCtrl*listCtrl) -{ - long *items[]; - long item = listCtrl->GetNextItem(-1, wxLIST_NEXT_ALL, wxLIST_STATE_SELECTED); - items[0] = item; - while ( item != -1 ) - { - wxLogMessage(wxT("\t%ld (%s)"), item, listCtrl->GetItemText(item).c_str()); - if ( ++shownCount > 10 ) - { - wxLogMessage(wxT("\t... more selected items snipped...")); - break; - } - item = listCtrl->GetNextItem(item, wxLIST_NEXT_ALL, wxLIST_STATE_SELECTED); - } -} return items; -*/ - -//------------------------------------------------------------------------- -// OnDeviceSelect() -//------------------------------------------------------------------------- -void MainFrame::OnDeviceSelect( wxListEvent& event ) -{ - wxListItem info; - long item; - item = m_listCtrlRxInDevices->GetNextItem(-1, wxLIST_NEXT_ALL, wxLIST_STATE_SELECTED); - wxMessageBox(m_listCtrlRxInDevices->GetItemText(item, 2), wxT("Item"), wxOK); -} - -//------------------------------------------------------------------------- -// DisplaySupportedSampleRates() -//------------------------------------------------------------------------- -void MainFrame::DisplaySupportedSampleRates(const PaStreamParameters *inputParameters, const PaStreamParameters *outputParameters) -{ - static double standardSampleRates[] = - { - 8000.0, 9600.0, - 11025.0, 12000.0, - 16000.0, 22050.0, - 24000.0, 32000.0, - 44100.0, 48000.0, - 88200.0, 96000.0, - 192000.0, -1 // negative terminated list - }; - int i; - int printCount; - PaError err; - - printCount = 0; - for(i=0; standardSampleRates[i] > 0; i++) - { - err = Pa_IsFormatSupported(inputParameters, outputParameters, standardSampleRates[i]); - if(err == paFormatIsSupported) - { - if(printCount == 0) - { - printf("\t%8.2f", standardSampleRates[i]); - printCount = 1; - } - else if(printCount == 4) - { - printf(",\n\t%8.2f", standardSampleRates[i]); - printCount = 1; - } - else - { - printf(", %8.2f", standardSampleRates[i]); - ++printCount; - } - } - } - if(!printCount) - { - printf("None\n"); - } - else - { - printf("\n"); - } -} - -//------------------------------------------------------------------------- -// OnRefreshClick() -//------------------------------------------------------------------------- -void MainFrame::OnRefreshClick(wxCommandEvent& event) -{ - m_notebook1->SetSelection(0); - showAPIInfo(); - populateParams(m_RxInDevices); - populateParams(m_RxOutDevices); - populateParams(m_TxInDevices); - populateParams(m_TxOutDevices); -} - -//------------------------------------------------------------------------- -// GetAPIInfo() -//------------------------------------------------------------------------- -void MainFrame::showAPIInfo() -{ - wxString strval; - int apiCount = 0; - int numDevices = 0; - - strval = Pa_GetVersionText(); - m_textStringVer->SetValue(strval); - - numDevices = Pa_GetVersion(); - strval.Printf(wxT("%d"), numDevices); - m_textIntVer->SetValue(strval); - - apiCount = Pa_GetHostApiCount(); - strval.Printf(wxT("%d"), apiCount); - m_textAPICount->SetValue(strval); - - numDevices = Pa_GetDeviceCount(); - strval.Printf(wxT("%d"), numDevices); - m_textCDevCount->SetValue(strval); -} - -//------------------------------------------------------------------------- -// populateParams() -//------------------------------------------------------------------------- -void MainFrame::populateParams(AudioInfoDisplay ai) //wxListCtrl* ctrl, int in_out) -{ - const PaDeviceInfo *deviceInfo = NULL; - int j = 0; - wxListCtrl* ctrl = ai.m_listDevices; - int in_out = ai.direction; - //wxTextCtrl* txtCtrl = ai.m_textDevice; - //wxComboBox* cb = ai.m_cbSampleRate; - long idx; - int defaultDisplayed; - int numDevices; - wxListItem listItem; - wxString buf; - int devn; - - numDevices = Pa_GetDeviceCount(); - - if(ctrl->GetColumnCount() > 0) - { - ctrl->ClearAll(); - } - ctrl->SetImageList(m_imageListSmall, wxIMAGE_LIST_SMALL); - - listItem.SetAlign(wxLIST_FORMAT_CENTRE); - listItem.SetText(wxT("Sel")); - idx = ctrl->InsertColumn(0, listItem); - ctrl->SetColumnWidth(0, 37); - - listItem.SetAlign(wxLIST_FORMAT_CENTRE); - listItem.SetText(wxT("Dflt")); - idx = ctrl->InsertColumn(1, listItem); - ctrl->SetColumnWidth(1, 37); - - listItem.SetAlign(wxLIST_FORMAT_LEFT); - listItem.SetText(wxT("Device")); - idx = ctrl->InsertColumn(2, listItem); - ctrl->SetColumnWidth(2, 190); - - listItem.SetAlign(wxLIST_FORMAT_LEFT); - listItem.SetText(wxT("API")); - idx = ctrl->InsertColumn(3, listItem); - ctrl->SetColumnWidth(3, 190); - - if(in_out == AUDIO_IN) - { - listItem.SetAlign(wxLIST_FORMAT_CENTRE); - listItem.SetText(wxT("# Inputs")); - idx = ctrl->InsertColumn(4, listItem); - ctrl->SetColumnWidth(4, 75); - } - else if(in_out == AUDIO_OUT) - { - listItem.SetAlign(wxLIST_FORMAT_CENTRE); - listItem.SetText(wxT("# Outputs")); - idx = ctrl->InsertColumn(4, listItem); - ctrl->SetColumnWidth(4, 75); - } - - listItem.SetAlign(wxLIST_FORMAT_CENTRE); - listItem.SetText(wxT("Min Latency")); - ctrl->InsertColumn(5, listItem); - ctrl->SetColumnWidth(5, 100); - - listItem.SetAlign(wxLIST_FORMAT_CENTRE); - listItem.SetText(wxT("Max Latency")); - ctrl->InsertColumn(6, listItem); - ctrl->SetColumnWidth(6, 100); - - for(devn = 0; devn < numDevices; devn++) - { - buf.Printf(wxT("")); - deviceInfo = Pa_GetDeviceInfo(devn); - if(in_out == AUDIO_IN) - { - if(deviceInfo->maxInputChannels > 0) - { - idx = ctrl->InsertItem(j, ICON_TRANSPARENT); - defaultDisplayed = false; - if(devn == Pa_GetDefaultInputDevice()) - { - buf.Printf("->>"); - ctrl->SetItem(idx, 1, buf); - defaultDisplayed = true; - } - else if(devn == Pa_GetHostApiInfo(deviceInfo->hostApi)->defaultInputDevice) - { - buf.Printf("-->"); - ctrl->SetItem(idx, 1, buf); - defaultDisplayed = true; - } - else - { - buf.Printf("---"); - ctrl->SetItem(idx, 1, buf); - } - buf.Printf(wxT("%s"), deviceInfo->name); - ctrl->SetItem(idx, 2, buf); - - buf.Printf(wxT("%s"), Pa_GetHostApiInfo(deviceInfo->hostApi)->name); - ctrl->SetItem(idx, 3, buf); - - buf.Printf(wxT("%i"), deviceInfo->maxInputChannels); - ctrl->SetItem(idx, 4, buf); - - buf.Printf(wxT("%8.4f"), deviceInfo->defaultLowInputLatency); - ctrl->SetItem(idx, 5, buf); - - buf.Printf(wxT("%8.4f"), deviceInfo->defaultHighInputLatency); - ctrl->SetItem(idx, 6, buf); - } - } - else if(in_out == AUDIO_OUT) - { - if(deviceInfo->maxOutputChannels > 0) - { - idx = ctrl->InsertItem(j, ICON_TRANSPARENT); - defaultDisplayed = false; - if(devn == Pa_GetDefaultOutputDevice()) - { - buf.Printf("<<-"); - ctrl->SetItem(idx, 1, buf); - defaultDisplayed = true; - } - else if(devn == Pa_GetHostApiInfo(deviceInfo->hostApi)->defaultOutputDevice) - { - buf.Printf("<--"); - ctrl->SetItem(idx, 1, buf); - defaultDisplayed = true; - } - else - { - buf.Printf("---"); - ctrl->SetItem(idx, 1, buf); - } - buf.Printf(wxT("%s"), deviceInfo->name); - ctrl->SetItem(idx, 2, buf); - - buf.Printf(wxT("%s"), Pa_GetHostApiInfo(deviceInfo->hostApi)->name); - ctrl->SetItem(idx, 3, buf); - - buf.Printf(wxT("%i"), deviceInfo->maxOutputChannels); - ctrl->SetItem(idx, 4, buf); - - buf.Printf(wxT("%8.4f"), deviceInfo->defaultLowOutputLatency); - ctrl->SetItem(idx, 5, buf); - - buf.Printf(wxT("%8.4f"), deviceInfo->defaultHighOutputLatency); - ctrl->SetItem(idx, 6, buf); - } - } - j++; - } -} - diff --git a/fdmdv2/pa_enum/main.h b/fdmdv2/pa_enum/main.h deleted file mode 100644 index b962a51d..00000000 --- a/fdmdv2/pa_enum/main.h +++ /dev/null @@ -1,112 +0,0 @@ -//========================================================================= -// Name: main.h -// Purpose: Declares simple wxWidgets application with GUI -// created using wxFormBuilder. -// Author: -// Created: -// Copyright: -// License: wxWidgets license (www.wxwidgets.org) -// -// Notes: Note that all GUI creation code is declared in -// gui.h source file which is generated by wxFormBuilder. -//========================================================================= -#ifndef __main__ -#define __main__ - -// main wxWidgets header file -#include -#include -#if !defined(__WXMSW__) && !defined(__WXPM__) - #include "../bitmaps/sample.xpm" -#endif - -#ifndef __WXMSW__ - #include "bitmaps/toolbrai.xpm" - #include "bitmaps/toolchar.xpm" - #include "bitmaps/tooldata.xpm" - #include "bitmaps/toolnote.xpm" - #include "bitmaps/tooltodo.xpm" - #include "bitmaps/toolchec.xpm" - #include "bitmaps/toolgame.xpm" - #include "bitmaps/tooltime.xpm" - #include "bitmaps/toolword.xpm" - #include "bitmaps/small1.xpm" -#endif - -#define AUDIO_IN 0 -#define AUDIO_OUT 1 - -#define ICON_TRANSPARENT 1 -#define ICON_CHECK 0 -#define ICON_toolchar 2 -#define ICON_tooldata 3 -#define ICON_toolgame 4 -#define ICON_toolnote 5 -#define ICON_TOOLTIME 6 -#define ICON_INARROW 7 -#define ICON_OUTARROW 8 - -// gui classes generated by wxFormBuilder -#include "gui.h" -#include "portaudio.h" -#ifdef WIN32 -#if PA_USE_ASIO -#include "pa_asio.h" -#endif -#endif - - -//-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=--=-=-=-= -// application class declaration -//-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=--=-=-=-= -class MainApp : public wxApp -{ - public: - virtual bool OnInit(); -}; - -//-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=--=-=-=-= -// AudioInfoDisplay -//-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=--=-=-=-= -class AudioInfoDisplay -{ - public: - wxListCtrl* m_listDevices; - int direction; - wxTextCtrl* m_textDevice; - wxComboBox* m_cbSampleRate; -}; - -// declare global static function wxGetApp() -DECLARE_APP(MainApp) - -//-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=--=-=-=-= -// main application frame declaration -//-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=--=-=-=-= -class MainFrame : public MainFrameBase -{ - public: - MainFrame( wxWindow *parent ); - virtual ~MainFrame(); - PaError pa_err; - bool m_isPaInitialized; - wxImageList *m_imageListNormal; - wxImageList *m_imageListSmall; - - protected: - // protected event handlers - void OnCloseFrame( wxCloseEvent& event ); - void OnDeviceSelect( wxListEvent& event ); - - void OnExitClick( wxCommandEvent& event ); - void OnRefreshClick( wxCommandEvent& event ); - void DisplaySupportedSampleRates(const PaStreamParameters *inputParameters, const PaStreamParameters *outputParameters); - void populateParams(AudioInfoDisplay); - void showAPIInfo(); - AudioInfoDisplay m_RxInDevices; - AudioInfoDisplay m_RxOutDevices; - AudioInfoDisplay m_TxInDevices; - AudioInfoDisplay m_TxOutDevices; -}; - -#endif //__main__ diff --git a/fdmdv2/pa_enum/pa_enum.mk b/fdmdv2/pa_enum/pa_enum.mk deleted file mode 100644 index 4aca206a..00000000 --- a/fdmdv2/pa_enum/pa_enum.mk +++ /dev/null @@ -1,114 +0,0 @@ -## -## Auto Generated makefile by CodeLite IDE -## any manual changes will be erased -## -## Release -ProjectName :=pa_enum -ConfigurationName :=Release -IntermediateDirectory :=./Release -OutDir := $(IntermediateDirectory) -WorkspacePath := "C:\Users\wittend\Projects\Radio\fdmdv2" -ProjectPath := "C:\Users\wittend\Projects\Radio\fdmdv2\pa_enum" -CurrentFileName := -CurrentFilePath := -CurrentFileFullPath := -User :=wittend -Date :=8/14/2012 -CodeLitePath :="C:\Program Files\CodeLite" -LinkerName :=g++ -ArchiveTool :=ar rcus -SharedObjectLinkerName :=g++ -shared -fPIC -ObjectSuffix :=.o -DependSuffix :=.o.d -PreprocessSuffix :=.o.i -DebugSwitch :=-gstab -IncludeSwitch :=-I -LibrarySwitch :=-l -OutputSwitch :=-o -LibraryPathSwitch :=-L -PreprocessorSwitch :=-D -SourceSwitch :=-c -CompilerName :=g++ -C_CompilerName :=gcc -OutputFile :=$(IntermediateDirectory)/$(ProjectName) -Preprocessors :=$(PreprocessorSwitch)__WX__ -ObjectSwitch :=-o -ArchiveOutputSwitch := -PreprocessOnlySwitch :=-E -ObjectsFileList :="C:\Users\wittend\Projects\Radio\fdmdv2\pa_enum\pa_enum.txt" -PCHCompileFlags := -MakeDirCommand :=makedir -CmpOptions := -O2 -Wall $(shell wx-config --cxxflags --unicode=yes --debug=no) $(Preprocessors) -C_CmpOptions := -O2 -Wall $(shell wx-config --cxxflags --unicode=yes --debug=no) $(Preprocessors) -LinkOptions := -mwindows -s $(shell wx-config --debug=no --libs --unicode=yes) -IncludePath := $(IncludeSwitch). $(IncludeSwitch)/bin/MinGW/msys/1.0/local/include -IncludePCH := -RcIncludePath := -Libs := $(LibrarySwitch)portaudio $(LibrarySwitch)portaudiocpp -LibPath := $(LibraryPathSwitch). $(LibraryPathSwitch)/bin/MinGW/msys/1.0/local/lib - - -## -## User defined environment variables -## -CodeLiteDir:=C:\Program Files\CodeLite -WXWIN:=C:\bin\wxWidgets-2.9.4 -PATH:=$(WXWIN)\lib\gcc_dll;$(PATH) -WXCFG:=gcc_dll\mswu -UNIT_TEST_PP_SRC_DIR:=C:\bin\UnitTest++-1.3 -Objects=$(IntermediateDirectory)/gui$(ObjectSuffix) $(IntermediateDirectory)/main$(ObjectSuffix) - -## -## Main Build Targets -## -.PHONY: all clean PreBuild PrePreBuild PostBuild -all: $(OutputFile) - -$(OutputFile): $(IntermediateDirectory)/.d $(Objects) - @$(MakeDirCommand) $(@D) - @echo "" > $(IntermediateDirectory)/.d - @echo $(Objects) > $(ObjectsFileList) - $(LinkerName) $(OutputSwitch)$(OutputFile) @$(ObjectsFileList) $(LibPath) $(Libs) $(LinkOptions) - -$(IntermediateDirectory)/.d: - @$(MakeDirCommand) "./Release" - -PreBuild: - - -## -## Objects -## -$(IntermediateDirectory)/gui$(ObjectSuffix): gui.cpp $(IntermediateDirectory)/gui$(DependSuffix) - $(CompilerName) $(IncludePCH) $(SourceSwitch) "C:/Users/wittend/Projects/Radio/fdmdv2/pa_enum/gui.cpp" $(CmpOptions) $(ObjectSwitch)$(IntermediateDirectory)/gui$(ObjectSuffix) $(IncludePath) -$(IntermediateDirectory)/gui$(DependSuffix): gui.cpp - @$(CompilerName) $(CmpOptions) $(IncludePCH) $(IncludePath) -MG -MP -MT$(IntermediateDirectory)/gui$(ObjectSuffix) -MF$(IntermediateDirectory)/gui$(DependSuffix) -MM "C:/Users/wittend/Projects/Radio/fdmdv2/pa_enum/gui.cpp" - -$(IntermediateDirectory)/gui$(PreprocessSuffix): gui.cpp - @$(CompilerName) $(CmpOptions) $(IncludePCH) $(IncludePath) $(PreprocessOnlySwitch) $(OutputSwitch) $(IntermediateDirectory)/gui$(PreprocessSuffix) "C:/Users/wittend/Projects/Radio/fdmdv2/pa_enum/gui.cpp" - -$(IntermediateDirectory)/main$(ObjectSuffix): main.cpp $(IntermediateDirectory)/main$(DependSuffix) - $(CompilerName) $(IncludePCH) $(SourceSwitch) "C:/Users/wittend/Projects/Radio/fdmdv2/pa_enum/main.cpp" $(CmpOptions) $(ObjectSwitch)$(IntermediateDirectory)/main$(ObjectSuffix) $(IncludePath) -$(IntermediateDirectory)/main$(DependSuffix): main.cpp - @$(CompilerName) $(CmpOptions) $(IncludePCH) $(IncludePath) -MG -MP -MT$(IntermediateDirectory)/main$(ObjectSuffix) -MF$(IntermediateDirectory)/main$(DependSuffix) -MM "C:/Users/wittend/Projects/Radio/fdmdv2/pa_enum/main.cpp" - -$(IntermediateDirectory)/main$(PreprocessSuffix): main.cpp - @$(CompilerName) $(CmpOptions) $(IncludePCH) $(IncludePath) $(PreprocessOnlySwitch) $(OutputSwitch) $(IntermediateDirectory)/main$(PreprocessSuffix) "C:/Users/wittend/Projects/Radio/fdmdv2/pa_enum/main.cpp" - - --include $(IntermediateDirectory)/*$(DependSuffix) -## -## Clean -## -clean: - $(RM) $(IntermediateDirectory)/gui$(ObjectSuffix) - $(RM) $(IntermediateDirectory)/gui$(DependSuffix) - $(RM) $(IntermediateDirectory)/gui$(PreprocessSuffix) - $(RM) $(IntermediateDirectory)/main$(ObjectSuffix) - $(RM) $(IntermediateDirectory)/main$(DependSuffix) - $(RM) $(IntermediateDirectory)/main$(PreprocessSuffix) - $(RM) $(OutputFile) - $(RM) $(OutputFile).exe - $(RM) "C:\Users\wittend\Projects\Radio\fdmdv2\.build-release\pa_enum" - - diff --git a/fdmdv2/pa_enum/pa_enum.project b/fdmdv2/pa_enum/pa_enum.project deleted file mode 100644 index df12bc4d..00000000 --- a/fdmdv2/pa_enum/pa_enum.project +++ /dev/null @@ -1,116 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - None - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - None - - - - - - - - - - - - - - diff --git a/fdmdv2/pa_enum/pa_enum.txt b/fdmdv2/pa_enum/pa_enum.txt deleted file mode 100644 index 8e987060..00000000 --- a/fdmdv2/pa_enum/pa_enum.txt +++ /dev/null @@ -1 +0,0 @@ -./Release/gui.o ./Release/main.o -- 2.25.1